JP4056195B2 - 半導体集積回路装置の製造方法 - Google Patents

半導体集積回路装置の製造方法 Download PDF

Info

Publication number
JP4056195B2
JP4056195B2 JP2000094986A JP2000094986A JP4056195B2 JP 4056195 B2 JP4056195 B2 JP 4056195B2 JP 2000094986 A JP2000094986 A JP 2000094986A JP 2000094986 A JP2000094986 A JP 2000094986A JP 4056195 B2 JP4056195 B2 JP 4056195B2
Authority
JP
Japan
Prior art keywords
gate electrode
integrated circuit
circuit device
manufacturing
semiconductor integrated
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000094986A
Other languages
English (en)
Other versions
JP2001284283A (ja
JP2001284283A5 (ja
Inventor
一雄 山崎
伸治 國吉
浩介 草刈
武信 池田
昌洋 田所
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Technology Corp
Original Assignee
Renesas Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Technology Corp filed Critical Renesas Technology Corp
Priority to JP2000094986A priority Critical patent/JP4056195B2/ja
Priority to TW090106200A priority patent/TW495876B/zh
Priority to US09/810,577 priority patent/US6479392B2/en
Priority to KR1020010014254A priority patent/KR100721086B1/ko
Priority to US09/811,589 priority patent/US6633072B2/en
Publication of JP2001284283A publication Critical patent/JP2001284283A/ja
Priority to US10/639,465 priority patent/US7375037B2/en
Publication of JP2001284283A5 publication Critical patent/JP2001284283A5/ja
Application granted granted Critical
Publication of JP4056195B2 publication Critical patent/JP4056195B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/2807Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being Si or Ge or C and their alloys except Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82385Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions

Description

【0001】
【発明の属する技術分野】
本発明は、半導体集積回路装置の製造方法および半導体集積回路装置技術に関し、特に、多結晶または単結晶のシリコン(Si)とゲルマニウム(Ge)との合金(以下、単にSiGeという)をゲート電極材料として有する半導体集積回路装置の製造方法および半導体集積回路装置に適用して有効な技術に関するものである。
【0002】
【従来の技術】
SiGeをゲート電極材料に用いる半導体集積回路装置技術については、例えばJ.Vac.Sci.Technol Jul/Aug 1997 p1874〜p1880、J.Vac.Sci.Technol Jul/Aug 1998 p1833〜p1840または特開平11−330463号公報に記載があり、SiGeゲート電極のパターニング技術について開示されている。
【0003】
また、本発明者は、SiGe層を有するゲート電極の形成技術について検討した。以下は、公知とされた技術ではないが、本発明者によって検討された技術であり、その概要は次の通りである。
【0004】
SiGe層を有するゲート電極の形成処理は、ゲート電極形成膜の堆積、そのパターニングおよび後処理の3つの処理工程を有している。すなわち、まず、半導体基板の主面上にゲート絶縁膜を形成した後、その上にSiGe層を有するゲート電極形成膜を堆積する。続いて、そのゲート電極形成膜上にフォトレジストパターンを形成した後、これをエッチングマスクとして、例えば塩素(Cl2)および臭素(Br)を含むエッチングガスを用いて、そのゲート電極形成膜をパターニングすることにより、SiGe層を有するゲート電極を形成する。その後、そのゲート電極の形成時に半導体基板の表面に付着したCl、Br、それらを含む反応生成物およびSiを含む反応生成物(以下、付着物ともいう)を除去するために、半導体基板に対して所定のガス雰囲気中においてプラズマ処理を施す(後処理)。
【0005】
この後処理は、多結晶シリコンをパターニングすることによりゲート電極を形成した後にも行われている処理であり、この後処理を行わないと、例えば次のような弊害がある。すなわち、上記反応生成物を除去しないと、異物の発生原因となり、半導体集積回路装置の信頼性や歩留まりが低下する。上記付着物がゲート電極をパターニングする前の他の半導体基板の主面に付着することにより、他の半導体基板のゲート電極をパターニングする際に、その付着物がマスクとなり、ゲート電極材料のエッチング残りが生じる。また、半導体基板の表面に付着したClやBr等を除去しないと、そのClやBr等によって半導体製造装置の部品が腐食する。さらに、ClやBrは毒性が高いため人体に悪影響を及ぼす。
【0006】
SiGeをゲート電極材料として用いた場合の後処理時のガスは、安定した放電範囲が得られ、また、ゲート電極材料として多結晶シリコンを用いる場合の後処理において一般的に使用されておりデータ量も豊富で導入が容易である等の理由から、多結晶シリコンをゲート電極材料として用いた場合の後処理と同じく、例えばO2/CHF3ガスを用いている。
【0007】
【発明が解決しようとする課題】
ところが、上記したSiGe層を有するゲート電極の形成技術においては、以下の課題があることが、本発明者らの実験・評価によって初めて見い出された。
【0008】
すなわち、ゲート電極におけるSiGe層部分の両側面が中心に向かって削られる、いわゆるサイドエッチングが生じる課題である。
【0009】
まず、本発明者らは、SiGeのエッチング加工性を評価したところ、サイドエッチングが生じ易いことが判明した。そこで、さらに詳細に評価を行ううちに、エッチングチャンバでの処理ではサイドエッチングは生じないが、後処理チャンバでの後処理を行うとサイドエッチングが生じることが判明した。また、サイドエッチング発生の有無はGe濃度に依存していることも判明した。さらに、このサイドエッチング形状は、後処理でのCHF3の濃度の低減や放電パワーの低減等を検討しても改善しなかった。
【0010】
本発明の目的は、SiGeを有するゲート電極の形状を良好にすることのできる技術を提供することにある。
【0011】
また、本発明の他の目的は、SiGeを有するゲート電極の加工寸法精度を向上させることのできる技術を提供することにある。
【0012】
また、本発明の他の目的は、SiGeを有するゲート電極を持つ半導体集積回路装置の歩留まりを向上させることのできる技術を提供することにある。
【0013】
また、本発明の他の目的は、SiGeを有するゲート電極を持つ半導体集積回路装置の性能を向上させることのできる技術を提供することにある。
【0014】
また、本発明の他の目的は、SiGeを有するゲート電極を持つ半導体集積回路装置の製造工程を簡略化することのできる技術を提供することにある。
【0015】
また、本発明の他の目的は、SiGeを有するゲート電極を持つ半導体集積回路装置の開発および製造時間を短縮することのできる技術を提供することにある。
【0016】
本発明の前記ならびにその他の目的と新規な特徴は、本明細書の記述および添付図面から明らかになるであろう。
【0017】
【課題を解決するための手段】
本願において開示される発明のうち、代表的なものの概要を簡単に説明すれば、次のとおりである。
【0018】
すなわち、本発明は、SiGe層を有するゲート電極形成膜をパターニングすることにより、前記SiGe層を有するゲート電極を半導体基板上に形成した後、半導体基板に対して、Geとの反応性の乏しい第1のガスおよびSiのエッチング機能を有する第2のガスの混合ガス雰囲気中においてプラズマ処理を施す工程を有するものである。
【0019】
また、本発明は、半導体基板上に堆積されたゲート電極形成膜をパターニングすることにより、半導体基板上にゲート電極を形成した後、その半導体基板に対して、Geとの反応性の乏しい第1のガスおよびSiのエッチング機能を有する第2のガスの混合ガス雰囲気中においてプラズマ処理を施す工程を有し、前記ゲート電極形成膜の堆積工程は、SiGe層を堆積する工程および前記SiGe層よりも上層に多結晶シリコン層を堆積する工程を有するものである。
【0020】
また、本発明は、前記プラズマ処理後、前記ゲート電極の側面に側壁絶縁膜を形成する工程、前記ゲート電極の上面と、前記半導体基板の主面一部とを露出させる工程、前記半導体基板上に高融点金属膜を堆積する工程、前記ゲート電極の上面と、前記半導体基板の主面の一部とに高融点金属シリサイド層を形成する工程を有するものである。
【0021】
また、本発明は、前記高融点金属シリサイド層を、コバルトシリサイドとするものである。
【0022】
また、本発明は、半導体基板上に堆積されたゲート電極形成膜をパターニングすることにより、半導体基板上にゲート電極を形成した後、その半導体基板に対して、Geとの反応性の乏しい第1のガスおよびSiのエッチング機能を有する第2のガスの混合ガス雰囲気中においてプラズマ処理を施す工程を有し、前記ゲート電極形成膜の堆積工程は、SiGe層を堆積する工程および前記SiGe層よりも上層に金属層を堆積する工程を有するものである。
【0023】
また、本発明は、前記SiGe層にホウ素を導入する工程を有するものである。
【0024】
また、本発明は、前記第1のガスを不活性ガスとするものである。
【0025】
また、本発明は、第1のガスを、Ar、He、KrまたはXeとするものである。
【0026】
また、本発明は、前記第1のガスを、窒素ガスとするものである。
【0027】
また、本発明は、前記第2のガスを、フッ素を含むガスとするものである。
【0028】
また、本発明は、前記第2のガスを、CHF3、CF4、C26またはSF6とするものである。
【0029】
また、本発明は、前記第1のガスをArガスとした際には、その雰囲気中の圧力を70Pa以下とするものである。
【0030】
また、本発明は、前記第1のガスをArガスとした際には、プラズマ形成のためのマイクロ波パワーを750Wとするものである。
【0031】
また、本発明は、前記第1のガスをArガスとし、前記第2のガスをCHF3ガスとした際には、その第2のガスの濃度を全体の5%以下とするものである。
【0032】
また、本発明は、前記SiGe層を有するゲート電極形成膜をパターニングする際に、塩素、臭素またはこれらの混合ガス雰囲気中においてプラズマエッチング処理を施すものである。
【0033】
また、本発明は、前記SiGe層のGeの濃度を、全体の10%以上とするものである。
【0034】
また、本発明は、前記SiGe層のGeの濃度を、全体の20%以上とするものである。
【0035】
また、本発明は、前記SiGe層のGeの濃度を、全体の40%以上とするものである。
【0036】
また、本発明は、前記ゲート電極のパターニング工程後の半導体基板を、真空状態を維持した状態で、前記プラズマ処理工程に移行させる半導体集積回路装置の製造工程を有するものである。
【0037】
また、本発明は、前記プラズマ処理後のゲート電極の両側面におけるサイドエッチング量を、前記ゲート電極のSiGe層以外の部分におけるチャネル長方向の長さの40%以下とするものである。
【0038】
また、本発明は、前記プラズマ処理後のゲート電極のSiGe層におけるチャネル長方向の長さは、ゲート電極のSiGe層以外の部分におけるチャネル長方向の長さと等しいものである。
【0039】
また、本発明は、前記ゲート電極を、同一半導体基板に形成されたnチャネル型の電界効果トランジスタおよびpチャネル型の電界効果トランジスタのゲート電極として使用するものである。
【0040】
【発明の実施の形態】
本願発明の実施の形態を説明するにあたり、半導体ウエハ(半導体基板)とは、半導体集積回路の製造に用いるシリコン単結晶基板(一般にほぼ平面円形状)、サファイア基板、ガラス基板、その他の絶縁、反絶縁または半導体基板等並びにそれらの複合的基板を言う。また、本願において半導体装置というときは、シリコンウエハやサファイア基板等の半導体または絶縁体基板上に作られるものの他、特に、そうでない旨明示された場合を除き、TFT(Tin-Film-Transistor)およびSTN(Super-Twisted-Nematic)液晶等のようなガラス等の他の絶縁基板上に作られるもの等も含むものとする。
【0041】
以下の実施の形態においては便宜上その必要があるときは、複数のセクションまたは実施の形態に分割して説明するが、特に明示した場合を除き、それらはお互いに無関係なものではなく、一方は他方の一部または全部の変形例、詳細、補足説明等の関係にある。
【0042】
また、以下の実施の形態において、要素の数等(個数、数値、量、範囲等を含む)に言及する場合、特に明示した場合および原理的に明らかに特定の数に限定される場合等を除き、その特定の数に限定されるものではなく、特定の数以上でも以下でも良い。
【0043】
さらに、以下の実施の形態において、その構成要素(要素ステップ等も含む)は、特に明示した場合および原理的に明らかに必須であると考えられる場合等を除き、必ずしも必須のものではないことは言うまでもない。
【0044】
同様に、以下の実施の形態において、構成要素等の形状、位置関係等に言及するときは、特に明示した場合および原理的に明らかにそうでないと考えられる場合等を除き、実質的にその形状等に近似または類似するもの等を含むものとする。このことは、上記数値および範囲についても同様である。
【0045】
また、実施の形態を説明するための全図において同一機能を有するものは同一の符号を付し、その繰り返しの説明は省略する。
【0046】
また、本実施の形態においては、電界効果トランジスタを代表するMISFET(Metal Insulator Semiconductor Field Effect Transistor)を単にMISと略し、pチャネル型のMISFETをpMISと略し、nチャネル型のMISFETをnMISと略す。
【0047】
以下、本発明の実施の形態を図面に基づいて詳細に説明する。
【0048】
(実施の形態1)
図1は、本実施の形態1の半導体集積回路装置の製造方法で用いたエッチング装置1の構成を例示している。
【0049】
エッチング装置1は、SiGe層を有する配線形成膜を所定の形状にパターニングするための一連の処理を行う装置である。エッチング処理が施される半導体ウエハは、ウエハカセット台2a〜2cの任意の場所に載置されている。このウエハカセット台2a〜2cの半導体ウエハは、大気搬送ロボット3によってアライメントユニット4に搬送される。アライメントユニット4では、半導体ウエハのノッチまたはオリエンテーションフラットの方向合わせが行われる。このアライメント処理が終了した半導体ウエハは、再び大気搬送ロボット3によってロードロック室5に搬送される。ロードロック室5では、半導体ウエハが搬送されると真空引きを行う。ロードロック室5内の真空引きが終了すると、半導体ウエハは、真空搬送ロボット6によって真空搬送室7を通り、エッチングチャンバ8に搬入される。なお、真空搬送室7は、真空状態が維持されている。
【0050】
エッチングチャンバ8では、例えばプラズマ・ドライエッチング処理によって上記SiGe層を有する配線形成膜を所定形状にパターニングする。エッチングチャンバ8での放電方式は、例えばECR(Electron Cyclotron Resonance)、RIE(Reactive Ion Etching)、2周波RIE、マグネトロンRIEまたはICP(Inductively Coupled Plasma)等、全ての放電方式を使用できる。エッチングガスは、後ほど詳細に説明するように、Cl2、HBr、O2のうちの単独ガスまたは混合ガスによって行われる。このエッチング処理の第1ステップでは、例えば酸化シリコン膜(SiO2)に対して選択比の低いCl2単独ガスを用い、第2ステップ以降では、異方性形状を得るために、例えばHBr/Cl2またはHBr/Cl2/O2等のようなガスを用いる。また、このエッチング処理の終点検出ステップおよびオーバーエッチングステップでは、例えば酸化シリコン膜に対する選択比の高いHBr/O2またはCl2/O2等のようなガスを用いる。
【0051】
エッチング処理の終了した半導体ウエハは、再び真空搬送ロボット6によって真空搬送室7を通り、後処理チャンバ9に搬入される。すなわち、エッチング処理後の半導体ウエハは、真空状態を維持したまま外気に触れることなく、後処理チャンバ9に搬入される。後処理チャンバ9では、後ほど詳細に説明するように、半導体ウエハに対してプラズマ処理を施す。後処理チャンバ9での放電方式は、上記エッチングチャンバ8と同様に、例えばECR、RIE、2周波RIE、マグネトロンRIEまたはICP等、全ての放電方式を使用できる。後処理の終了した半導体ウエハは、再び真空搬送ロボット6によってアンロード室10に搬送され、さらに大気搬送ロボット3によってウエハカセット台2a〜2cに戻る構成になっている。
【0052】
次に、本実施の形態1においては、例えば本発明を、同一半導体基板にロジック回路とメモリ回路とを有するシステムLSI(Large Scale Integrated circuit;半導体集積回路装置)の製造方法に適用した場合について図2〜図15によって説明する。なお、図4〜図9および図11〜図15の各々の(b)は、各図の(a)のnMIS形成領域の要部拡大断面図である。pMIS形成領域のゲート電極構造は、nMIS形成領域のゲート電極構造と同じなので図示を省略してある。また、図8は、本発明者らが本発明をするのに検討した技術の課題を説明するための図である。
【0053】
まず、図2に示すように、例えばp型の単結晶シリコンからなる半導体基板11Sを用意する。この段階の半導体基板11Sは、半導体ウエハと称する、例えば8インチ(=約20cm)程度平面円形状の半導体の薄板である。この半導体基板11Sの主面に深さ300〜400nm程度の溝12aを形成する。この溝12aは、半導体基板11Sの素子形成領域を窒化シリコン膜で覆った後、この窒化シリコン膜をマスクにして素子分離領域の半導体基板11をドライエッチングすることにより形成する。
【0054】
続いて、上記溝12aの内部を含む半導体基板11S上に、例えば膜厚600nm程度の酸化シリコン膜をCVD(Chemical Vapor Deposition)法等で堆積した後、この酸化シリコン膜を化学的機械研磨(Chemical Mechanical Polishing;CMP)法で研磨して溝12aの内部のみに残すことにより、半導体基板11Sの素子分離領域に、例えば溝型の素子分離部12(トレンチアイソレーション)を形成し、それに平面的に取り囲まれた素子形成領域に活性領域を形成する。
【0055】
その後、図3に示すように、半導体基板11SのnMIS形成領域に、例えばホウ素をイオン注入してp型ウエル13Pを形成し、pMIS形成領域に、例えばリンをイオン注入してn型ウエル13Nを形成する。また、このとき、nMIS形成領域に、nMISのしきい値電圧を制御するための不純物(例えばホウ素)をイオン注入し、pMIS形成領域に、pMISのしきい値電圧を制御するための不純物(例えばリン)をイオン注入する。
【0056】
次いで、上記p型ウエル13Pおよびn型ウエル13Nのそれぞれの表面をHF(フッ酸)系の洗浄液を使って洗浄した後、半導体基板11Sを、例えば850℃程度の高温でウェット酸化することにより、p型ウエル13Pおよびn型ウエル13Nのそれぞれの表面に酸化シリコン膜からなる清浄なゲート絶縁膜14を形成する。ゲート絶縁膜14の膜厚は、例えば3nm以下、例えば2.5nm程度とした。なお、ここでいうゲート絶縁膜14の膜厚とは、二酸化シリコン換算膜厚であり、実際の膜厚と一致しない場合もある。
【0057】
ゲート絶縁膜14は、酸化シリコン膜に代えて酸窒化シリコン膜で構成しても良い。すなわち、ゲート絶縁膜14の膜厚が薄くなると、後述のようにゲート電極の一部を構成するSiGe層中の不純物(ホウ素)の一部がプロセス中の熱処理によってゲート絶縁膜14を貫通して半導体基板11Sに拡散し、しきい電圧が変動し易くなる。酸窒化シリコン膜は、酸化シリコン膜に比べて不純物が貫通し難いので、ゲート絶縁膜14を酸窒化シリコン膜で構成することにより、しきい値電圧の変動を抑制することができる。また、酸窒化シリコン膜は、酸化シリコン膜に比べて膜中における界面準位の発生を抑制したり、電子トラップを低減したりする効果が高いので、ゲート絶縁膜14のホットキャリア耐性を向上でき、絶縁耐性を向上させることができる。
【0058】
酸窒化シリコン膜を形成するには、例えば半導体基板1をNO、NO2またはNH3といった含窒素ガス雰囲気中で熱処理すれば良い。また、p型ウエル13Pおよびn型ウエル13Nのそれぞれの表面に酸化シリコンからなるゲート絶縁膜14を形成した後、半導体基板11Sを上記した含窒素ガス雰囲気中で熱処理し、ゲート絶縁膜14と半導体基板11Sとの界面に窒素を偏析させることによっても、上記と同様の効果を得ることができる。
【0059】
また、ゲート絶縁膜14を、例えば窒化シリコン膜あるいは酸化シリコン膜と窒化シリコン膜との複合絶縁膜で形成しても良い。酸化シリコンからなるゲート絶縁膜14を二酸化シリコン換算膜厚で5nm未満、特に3nm未満まで薄くすると、直接トンネル電流の発生やストレス起因のホットキャリア等による絶縁耐圧の低下が顕在化する。窒化シリコン膜は、酸化シリコン膜よりも誘電率が高いためにその二酸化シリコン換算膜厚は実際の膜厚よりも薄くなる。すなわち、窒化シリコン膜を有する場合には、物理的に厚くても、相対的に薄い二酸化シリコン膜と同等の容量を得ることができる。従って、ゲート絶縁膜14を単一の窒化シリコン膜あるいはそれと酸化シリコンとの複合膜で構成することにより、その実効膜厚を、酸化シリコン膜で構成されたゲート絶縁膜よりも厚くすることができるので、トンネル漏れ電流の発生やホットキャリアによる絶縁耐圧の低下を改善することができる。
【0060】
ここで、単一絶縁膜または複合絶縁膜の二酸化シリコン換算膜厚(以下、単に換算膜厚ともいう)drとは、対象となる絶縁膜の比誘電率をεi、その膜厚をdi、二酸化シリコンの比誘電率をεsとしたときに、次式で定義される膜厚である。
【0061】
【数1】
Figure 0004056195
【0062】
例えば酸化シリコン(SiO2)および窒化シリコン(Si34)の誘電率は、それぞれ4〜4.2および8である。そこで、窒化シリコンの誘電率を酸化シリコンの誘電率の2倍として計算すると、例えば膜厚6nmの窒化シリコン膜の二酸化シリコン換算膜厚は3nmとなる。すなわち、膜厚6nmの窒化シリコン膜からなるゲート絶縁膜と膜厚3nmの酸化シリコン膜からなるゲート絶縁膜とは容量が等しい。また、膜厚2nmの酸化シリコン膜と膜厚2nmの窒化シリコン膜(換算膜厚=1nm)との複合膜からなるゲート絶縁膜の容量は、膜厚3nmの単一酸化シリコン膜からなるゲート絶縁膜の容量と同じである。
【0063】
続いて、図4(a),(b)に示すように、半導体基板11S上に、多結晶シリコン層15a、SiGe層15bおよび多結晶シリコン層15cをCVD法等により下層から順に堆積することにより、ゲート電極形成膜15を形成する。
【0064】
多結晶シリコン層15aは、電気的特性に影響を与えることなく、SiGe層15bの形成を助ける機能を有している。すなわち、多結晶シリコン層15aは、その上のSiGe層15bの成膜時における成膜初期の核形成を助け、均一な厚さのSiGe層15bを形成する。この多結晶シリコン層15aがないと、SiGe層15bの成膜初期の核形成が行われ難くなり、SiGe層15bの表面の凹凸が大きくなる。多結晶シリコン層15aの厚さは、例えば3nm程度である。
【0065】
この多結晶シリコン層15a上には、SiGe層15bが堆積されている。SiGe層15bの厚さは、例えば100〜200nm程度である。また、SiGe層15bの組成は、Geの濃度が全体の10%以上にされている。このSiGe層15bのGeの濃度は高い方が好ましい。これは、例えば次の理由からである。SiGe層15bには、低抵を低減し、かつ、その仕事関数を所定値に設定するためにホウ素が導入されるが、そのSiGe層15bのGeの濃度が高ければ、そのホウ素の濃度を低減できるので、ホウ素抜けを抑制でき、ホウ素抜けに起因する空乏化を抑制または防止できるからである。ホウ素の濃度を低減できる理由は、SiGe層15bのGe濃度が高ければ、ホウ素の活性効率を向上させることができるので、ホウ素の濃度が低くてもSiGe層15bの抵抗を下げることができるからである。また、SiGe層15bのGe濃度が高ければ、ホウ素の濃度が低くても、SiGe層15bの仕事関数を所定値にすることができるからである。
【0066】
そこで、本実施の形態1においては、Geの濃度を全体の20%以上、好ましくは40%以上とされている。後述するように、SiGe層15bのGeの濃度を高くすると、SiGe層15bのパターニング後の後処理の際にSiGe層15bの側面が削られる、いわゆるサイドエッチングが生じるが、本実施の形態1では、そのサイドエッチングを抑制または防止できるので、Geの濃度を高くすることができる。
【0067】
特に、SiGe層15bのGeの濃度が40%以上の領域においては、CMIS(Complementary MIS)回路においてゲート電極をシングルゲート電極構造とすることが可能である。すなわち、多結晶シリコンをゲート電極材料とする場合は、CMOS回路のnMISのゲート電極はリンを導入してn型多結晶シリコンで構成し、pMISのゲート電極はホウ素を導入してp型多結晶シリコンで構成する、いわゆるデュアルゲート電極構造を採用している場合がある。この構造は、ゲート電極の仕事関数をnMISおよびpMISに応じて変えてやることで、半導体基板の不純物濃度を高くすることなく、nMISおよびpMISのしきい値電圧の低下を防止することができる。しかし、リンおよびホウ素を別々のフォトレジスト膜をマスクとして選択的に導入するので、製造工程が複雑となり、また、製造工程数が増加するので製品のコスト増大を招く。さらに、エッチング処理によってゲート電極をパターニングする際にnMISとpMISとでゲート電極部に含まれる不純物が異なることからゲート電極の形状に差が生じ、ゲート電極の加工寸法にばらつきが生じ、その加工寸法精度が劣化する。
【0068】
これに対して、本実施の形態1においては、SiGe層15bのGeの濃度を40%以上とすることにより、SiGe層15bの仕事関数を上記ホウ素の導入によりn型多結晶シリコンの仕事関数(約4.15V)とp型多結晶シリコンの仕事関数(約5.15V)との間の値に容易に設定できる。したがって、ゲート電極に対しては、リンおよびホウ素の2種の不純物を導入する必要性がなくなる。すなわち、nMISおよびpMISの両方のゲート電極を、ホウ素のみを導入したp型のシングルゲート電極構造とすることができる。したがって、本実施の形態1によれば、半導体基板11Sの不純物濃度を高くすることなく、CMOS回路を構成するnMISおよびpMISのしきい値電圧の低下を防止することができる。また、半導体集積回路装置の製造工程を簡略化することができる。また、半導体集積回路装置の製造工程数を低減できる。したがって、半導体集積回路装置の開発および製造時間を短縮させることが可能となる。また、半導体集積回路装置のコストを低減させることが可能となる。また、ゲート電極のパターニングの際にnMISとpMISとでゲート電極の形状差を考慮する必要性が無くなり、nMISおよびpMISにおけるゲート電極の加工寸法のばらつきを低減させることができるので、ゲート電極の加工寸法精度を向上させることが可能となる。したがって、半導体集積回路装置の歩留まりを向上させることが可能となる。また、半導体集積回路装置の性能を向上させることが可能となる。
【0069】
このようなSiGe層15bは、例えばモノシラン(SiH4)とゲルマン(GeH4)との混合ガスを用いたCVD法等によって形成することができる。ただし、SiGe層15bを、例えばシラン(SiH4)、ゲルマン(GeH4)およびジボラン(B26)をソースガスに用いたCVD法で堆積することにより、SiGe層15bの成膜中にホウ素をインサイチュにて導入しても良い。
【0070】
このSiGe層15b上には、多結晶シリコン層15cが堆積されている。この多結晶シリコン層15cは、後述するようにコバルト(Co)を堆積し、コバルトシリサイド層を形成することを考慮して設けられたものである。すなわち、SiGe層15b上に直接Coを形成した場合、SiGeとCoとでは、整合性が低く、ゲート電極の抵抗値が高くなるので、それを防止するために設けられている。多結晶シリコン層15cの厚さは、後述するように多結晶シリコン層15c上に形成される酸化シリコンからなるマスクをエッチング除去する際にそのオーバーエッチング処理においても突き抜けないように設定されており、例えば50nm程度とされている。これは、そのマスクのエッチング除去後に行う洗浄処理の際にSiGe層15bが直接、洗浄液に曝されないようにするためである。
【0071】
次いで、図4の矢印で示すように、ゲート電極形成膜15に上記したホウ素をイオン注入法等によって導入する。上記したようにGeの濃度が40%以上のSiGe層15bにおいては、ホウ素のみのシングルゲート電極構造となる。なお、上記したようにSiGe層15bの成膜中にホウ素をインサイチュにて導入した場合には、再度、ホウ素をイオン注入する必要性はない。
【0072】
続いて、図5に示すように、多結晶シリコン層15c上に、例えば酸化シリコン膜からなる絶縁膜16をCVD法によって形成する。この絶縁膜16は、ゲート電極形成膜をドライエッチング法等によってパターニングする際のマスクとなる。絶縁膜16の膜厚は、SiGe層15bをエッチングする際に下地をエッチングしないように充分な厚さに設定する必要があり、例えば50nm程度である。
【0073】
その後、絶縁膜16上に、例えば厚さ0.1μm程度の反射防止膜17を塗布した後、その上に、例えば厚さ0.5μm程度のフォトレジストパターン18を形成する。このフォトレジストパターン18は、反射防止膜17上に有機系のフォトレジスト膜を塗布した後、そのフォトレジスト膜に対してエキシマレーザ光等のような露光光をフォトマスクを介して照射することにより露光することで形成されている。
【0074】
次いで、そのフォトレジストパターン18をエッチングマスクとして、そこから露出する反射防止膜17および絶縁膜16をドライエッチング法等によってエッチング除去する。ここでは、反射防止膜17および絶縁膜16のエッチング処理に際して、例えば平行平板型のエッチング装置を使用したが、反射防止膜17と絶縁膜16とで別々のチャンバでエッチング処理を行った。
【0075】
反射防止膜17のエッチング処理時にはプロセスガスとして、例えばO2/N2/CF4を用いた。また、絶縁膜16のエッチング処理時にはプロセスガスとして、例えばC48/Ar/O2を用いた。絶縁膜16のエッチング処理時に、C48等のようなカーボン比率の高いガスを用いることにより、下地の多結晶シリコン層15cに対するエッチレートの選択性を得ることができるので、多結晶シリコン層15cの削れ量を小さくすることができる。
【0076】
続いて、フォトレジストパターン18および反射防止膜17をアッシングによって図6に示すように除去した後、半導体基板11Sに対してウエット洗浄処理を施すことにより、上記ドライエッチング処理によって生じたポリマーを除去する。
【0077】
その後、上記ドライエッチング処理によってパターニングされた絶縁膜16をエッチングマスクとして、そこから露出するゲート電極形成膜15(すなわち、多結晶シリコン層15a,SiGe層15bおよび多結晶シリコン層15c)をドライエッチング法等によってエッチング除去する。
【0078】
このドライエッチング処理は、前記図1に示したエッチング装置1内のエッチングチャンバ8内で行う。この処理においては、異方性形状(垂直性)および下地のゲート絶縁膜14に対する高選択性が要求される。そのため、本実施の形態1においては、例えばマイクロ波エッチャを用い、エッチング条件を、例えば次のような5段階ステップとした。
【0079】
第1ステップは、酸化シリコン膜に対して非選択の条件とした。プロセスガスは、例えばCl2を用い、その流量は、例えば80ml/min程度とした。また、圧力は、例えば0.4Pa程度とした。また、マイクロ波/RFは、例えば400/80W程度とした。処理時間は、例えば5sec程度とした。
【0080】
第2ステップは、主として放電の安定性を得るための条件とした。プロセスガスは、例えばHBr/Cl2を用い、その流量は、例えば90/20ml/min程度とした。また、圧力は、例えば0.4Pa程度とした。また、マイクロ波/RFは、例えば800/40W程度とした。処理時間は、例えば2sec程度とした。
【0081】
第3ステップは、酸化シリコン膜に対して選択性の低い条件とした。この第3ステップによりSiGe層15bの途中の厚さ位置までをエッチング除去する。プロセスガスは、例えばHBr/Cl2/O2を用い、その流量は、例えば90/20/3ml/min程度とした。また、圧力は、例えば0.4Pa程度とした。また、マイクロ波/RFは、例えば400/30(または40)W程度とした。処理時間は、例えば30sec程度とした。
【0082】
第4ステップは、酸化シリコン膜に対して選択性の高い条件とした。この第4ステップにより最下層の多結晶シリコン層15aまで全てエッチング除去する(ジャストエッチング)。ここで言うジャストエッチングは、素子分離部12の段差部を除いた部分においてゲート絶縁膜14が露出された時点のことである。プロセスガスは、例えばHBr/O2を用い、その流量は、例えば74/3ml/min程度とした。また、圧力は、例えば0.4Pa程度とした。また、マイクロ波/RFは、例えば400/25W程度とした。処理時間は、上記ジャストエッチングまでとした。この際、HBr/O2を用いた場合は、SiBr(波長=426nm)を検出することで、また、Cl2/O2を用いた場合は、SiCl(波長=391nm)を検出することで、それぞれ終点検出をすることが可能となる。
【0083】
第5ステップは、オーバーエッチング処理であり、多結晶シリコン層15a,15bのエッチ残りを除去する条件とした。プロセスガスとしては、前記したように酸化シリコン膜に対して選択性の高い、例えばHBr/O2またはCl2/O2を用い、その流量は、例えば105/8ml/min程度とした。また、圧力は、例えば0.6Pa程度とした。また、マイクロ波/RFは、例えば400/45W程度とした。処理時間は、例えば30sec程度とした。
【0084】
このようなゲート電極形成膜のエッチング処理により、図7に示すように、ゲート電極15Gを形成する。この処理後においては、ゲート電極15Gの側面が、半導体基板11Sの主面に対してほぼ垂直に形成されている。すなわち、ゲート電極15Gの側面には、前記サイドエッチが生じていない。
【0085】
次いで、このような処理が終了した半導体基板11Sを、前記図1のエッチング装置1のエッチングチャンバ8から取り出し、真空を維持した状態で外気に曝すことなく、後処理チャンバ9内に搬入する。この後処理の目的は、上記ゲート電極形成膜15のエッチング処理中に生成されたSiを含む反応生成物(SiCl、SiOCl、SiOBr等)、半導体基板11Sの表面に吸着したCl、BrまたはCl、Brを含む反応生成物を除去することにある。
【0086】
この後処理を行わないと、この後の洗浄処理だけでは反応生成物(特にSiを含む反応生成物)等を除去できないため、これはその後の工程中に剥離して異物の原因となる。また、エッチング処理が終了した後の半導体基板11Sをウエハカセットに戻した際にエッチング処理前の別の半導体基板11Sの主面に上記反応生成物等が付着し、その別の半導体基板11Sに対してゲート電極形成膜のエッチング処理を行う際に、その付着物がマスクとなりエッチング残りが生じる。また、半導体基板11Sの表面に付着したClやBr等を除去しないと、そのClやBr等によってエッチング装置の部品が腐食する。さらに、ClやBrは毒性が高いため人体に悪影響を及ぼす。したがって、そのエッチング処理後の後処理は必須である。ゲート電極材料として多結晶シリコンを用いる場合も、この後処理は行われており、その場合のプロセスガスとしては、例えばO2/CHF3を用いている。
【0087】
そこで、ゲート電極材料としてSiGe層を有する場合においても、同一条件で後処理を行った。すなわち、多結晶シリコンをゲート電極材料として用いた場合の後処理と同じく、例えばO2/CHF3ガスを用いて後処理を行った。この後処理においてO2/CHF3ガスを用いたのは、安定した放電範囲が得られ、また、ゲート電極材料として多結晶シリコンを用いる場合の後処理において一般的に使用されておりデータ量も豊富で導入が容易である等の理由からである。
【0088】
その結果、図8に示すように、SiGe層15bの両側面が中心に向かって削られる、サイドエッチングが発生することが本発明者らによって初めて見出された。なお、本明細書中においてサイドエッチング量を図8を用いて説明すると次の通りである。すなわち、サイドエッチング量(LS1+LS2)は、ゲート電極15Gの多結晶シリコン層15a,15cのチャネル方向(半導体基板11Sの主面に沿う方向)の長さLAからSiGe層15bのチャネル方向の長さLBを引いた値(LS1+LS2=(LA−LB))である。ここで、サイドエッチング量を、残されている多結晶シリコン層15a,15bのチャネル方向の長さに対する割合で定義すると、((LA−LB)/LA)×100(%)で表すことができる。図8では、サイドエッチング量が70%程度である(すなわち、70%が削られてしまっている)。
【0089】
図8は、例えばSiGe層15bのGe濃度が50%とした場合を示している。詳細な後処理条件は、例えばO2/CHF3=600/40(ml/min)、圧力100Pa、マイクロ波パワー1000W、放電時間20secとした。
【0090】
また、本発明者らは、その後処理条件を、O2流量を減少させた条件、例えばO2/CHF3=100/10(ml/min)で後処理を行ったが、その場合も上記サイドエッチングが発生した。すなわち、O2量を減少させただけではサイドエッチングを防止することができないことが判明した。
【0091】
ただし、O2ガス単独条件においては、上記サイドエッチングは発生しない。これは、フッ素系のガスが無いためにSiのエッチングが進行したないためである。しかし、O2のみでは、半導体基板表面の上記付着物を除去することができないので、実際のプロセスに使用することはできない。
【0092】
また、O2にCHF3を微少添加した条件、例えばO2/CHF3=600/10(ml/min)の条件でもサイドエッチングが発生した。さらに、本発明者らの実験では、SiGe層のGe濃度が20%では、上記サイドエッチングが生じなかった。
【0093】
本発明者らは、以上のような実験結果に基づいて検討した結果、後処理条件にO2/CHF3を使用すると、プラズマ中においてOとGeとが爆発的に反応し、揮発性の高いGeOを生成する(このため、Ge濃度が高くなるとサイドエッチング量が増える)結果、サイドエッチングが発生するモデルが考えられることを初めて見出した。
【0094】
そこで、本実施の形態1においては、後処理条件として、Geに対する反応性の乏しいガスと、Siのエッチング機能を有するガスとの混合ガス雰囲気中においてプラズマ処理を施すようにした。Geに対して反応性の乏しいガスとしては、例えばアルゴン(Ar)ガス等のような不活性ガスを用いた。また、Siのエッチング機能を有するガスとしては、例えばCHF3等のようなフッ素を含むガスを用いた。
【0095】
その結果、図9に示すように、ゲート電極15の側面の垂直形状を達成することができる。図9では、多少のサイドエッチングが生じている場合を例示したが、本後処理条件によれば、サイドエッチングが無い状態でゲート電極15Gを形成することも可能である。なお、この際の後処理時間は、多結晶シリコン層15cの削れ量がO2/CHF3条件とほぼ揃うように設定されており、エッチング量が少ないためにサイドエッチングが生じなかった訳ではない。
【0096】
具体的な後処理条件の一例をあげると、次の通りである。すなわち、例えばAr/CHF3=400/20(ml/min)程度、圧力が70Pa程度、マイクロ波パワーが750W程度、放電時間が20sec程度である。また、SiGe層15bのGe濃度は、例えば20%以上である。本発明者らの実験結果によれば、後処理時のCHF3の濃度が高い条件、例えばAr/CHF3=600/40(ml/min)のような条件では、例えばSi、H(水素)、F(フッ素)を含む化合物のデポジションが生じ、エッチングが進行しなくなる。よって、CHF3の濃度は、5%以下程度が適切である。また、Ar/CHF3は、O2/CHF3条件に比べて放電安定領域が狭く、圧力80Pa以上またはマイクロ波パワー1000W以上のような条件では放電が不安定になる。したがって、以上の条件から後処理条件は、CHF3濃度が5%程度で、圧力70Pa以下、マイクロ波パワーが750W程度が好ましい。
【0097】
また、上記後処理条件においては、Geに対して反応性の乏しいガスとして、放電安定性の高いArを用いたが、これに限定されるものではなく種々変更可能であり、Arに代えて、例えばヘリウム(He)、ネオン(Ne)、クリプトン(Kr)、キセノン(Xe)等のような他の不活性ガスを用いることもできる。また、Arに代えて窒素(N2)ガス等を用いることもできる。その場合、後処理中にゲート電極の表面(側面や上面)に窒化シリコン(SiN)膜が形成される結果、そのSiN膜が保護膜となりゲート電極両側面のサイドエッチングを防ぐように機能する。
【0098】
また、Siをエッチングする機能を有するガスとして、CHF3を用いたが、これに限定されるものではなく種々変更可能であり、CHF3に代えて、例えばCF4、C26またはSF6等のようなフッ素を含むガスを用いることもできる。Ar/CF4の後処理ガス条件では、多結晶シリコン層15a,15cのエッチレートが速い。例えばAr/CF4=400/40(ml/min)では、エッチレートが、Ar/CHF3の約9倍となる。そこで、この場合は、後処理時間を短縮し、例えば5sec程度とした。この場合、Ar/CHF3に比べて上記サイドエッチング量は大きく、約20%程度であったが、O2/CHF3条件のようなサイドエッチングは生じない。すなわち、比較的サイドエッチングが大きいAr/CF4のガス系でサイドエッチング量が20%程度である。このように本発明によれば、上記サイドエッチング量を、40%以下、Ar/CF4ガスを用いた場合は実際に得られた結果とした20%程度、Ar/CHF3ガスを用いた場合は実際に得られた結果として10%以下とすることが可能となる。
【0099】
このように、本実施の形態1によれば、後処理後であっても、SiGe層15bを有するゲート電極15Gの断面形状を良好にすることができる。すなわち、そのゲート電極15Gの加工寸法精度を向上させることが可能となる。したがって、本実施の形態1によれば、半導体集積回路装置の歩留まりを向上させることが可能となる。また、半導体集積回路装置の性能を向上させることが可能となる。
【0100】
また、半導体基板11Sに付着したCl、Br等の除去性を全反射蛍光X線により調べた結果を図10に示す。図10の横軸のA1〜A3は、後処理ガスとしてAr/CHF3を用いた場合(本発明)を示しており、A1は後処理時間が10sec、A2は後処理時間が20sec、A3は後処理時間が25secの場合である。また、Bは、後処理ガスとしてAr/CF4を用いた場合(本発明)であり、後処理時間が5secの場合である。また、Cは、後処理ガス条件としてO2/CHF3を用いた場合(発明者が検討した技術)であり、後処理時間が20secである。Dは、後処理を行わなかった場合、Eはゲート電極のパターニングも後処理も行わなかった場合である。この図10からAr/CHF3条件では、ClおよびBrの除去性に関してO2/CHF3条件と同等以上の効果があることが分かる。以上のような後処理の後、半導体基板11Sを図1に示したエッチング装置1から取り出す。
【0101】
次いで、図11に示すように、ゲート電極15GをマスクとしてnMIS形成領域に、例えばリンをイオン注入することによって、nMISのソース・ドレイン(LDD;lightly Doped Drain)を構成する低不純物濃度のn-型半導体領域19aを形成する。続いて、ゲート電極15GをマスクとしてpMIS形成領域に、例えばホウ素をイオン注入することによって、pMISのソース・ドレイン(LDD)を構成する低不純物濃度のp-型半導体領域20aを形成する。
【0102】
続いて、半導体基板11S上に、例えば酸化シリコンからなる絶縁膜をCVD法等によって堆積した後、これを異方性のドライエッチングによってエッチバックする。この際、絶縁膜16もエッチバックされて多結晶シリコン層15cがむき出しになる。このようにして図12に示すように、ゲート電極15Gの両側面にサイドウォール(側壁絶縁膜)21を形成する。
【0103】
その後、図13に示すように、ゲート電極15Gおよびサイドウォール21をマスクとしてnMIS形成領域に、例えばリンをイオン注入することによって、nMISのソース・ドレインを構成する高不純物濃度のn+型半導体領域19bを形成する。続いて、ゲート電極15Gおよびサイドウォール21をマスクとしてpMIS形成領域に、例えばホウ素をイオン注入することによって、pMISのソース・ドレインを構成する高不純物濃度のp+型半導体領域20bを形成する。ここまでの工程により、LDD構造のソース、ドレインを有するnMISQnおよびpMISQpが略完成する。
【0104】
次いで、半導体基板11S上に、例えばコバルト(Co)をスパッタリング法によって堆積した後、熱処理を施すことにより、Co層と半導体基板11Sおよび多結晶シリコン層15cとの接触界面部分に、図14に示すように、例えばコバルトシリサイド(CoSix)等からなるシリサイド層22を形成する(サリサイドプロセス)。このようなシリサイド層22を形成することにより、配線とn+型半導体領域19b、p+型半導体領域20bおよびゲート電極15Gとの接触抵抗を低減できる。また、寄生容量を低減できる。したがって、微細な素子(nMISQnやpMISQp等)を有する半導体集積回路装置の動作速度の向上を推進させることが可能となる。なお、コバルトシリサイド層に代えて、タングステンシリサイド層やチタンシリサイド層とすることもできる。
【0105】
続いて、図15に示すように、半導体基板11S上に、例えば酸化シリコン膜からなる絶縁膜23aをCVD法等によって堆積した後、その絶縁膜23aにシリサイド層22が露出するようなコンタクトホール24を穿孔する。その後、半導体基板11S上に、例えばタングステンまたは窒化チタン(TiN)等をスパッタリング法等によって堆積した後、その上に、例えばタングステンをCVD法等によって堆積し、さらに、それら導体膜がコンタクトホール24内のみに残されるようにその導体膜をCMP(Chemical Mechanical Polish)法等によって研磨することにより、コンタクトホール24内にプラグ25aを形成する。
【0106】
次いで、絶縁膜23aおよびプラグ25a上に、例えば窒化チタン、アルミニウム(またはアルミニウム合金)および窒化チタンを下層から順にスパッタリング法等によって堆積した後、これを通常のフォトリソグラフィ技術およびドライエッチング技術によってパターニングすることにより、第1層配線26aを形成する。
【0107】
続いて、絶縁膜23a上に、例えば酸化シリコン膜からなる絶縁膜23bをCVD法等によって堆積した後、その絶縁膜23bに第1層配線26aの一部が露出するようなスルーホール27を穿孔する。その後、プラグ25aと同様にしてスルーホール27内にプラグ25bを形成した後、第1層配線26aと同様にして絶縁膜23b上に第2層配線26bを形成する。以下は、通常の半導体集積回路装置の製造方法により、多層配線構造のシステムLSIを製造する。
【0108】
(実施の形態2)
本実施の形態2においては、例えばSiGe層を含むポリメタルゲート電極構造を有するシステムLSIの製造方法に本発明を適用した場合について図16〜図22によって説明する。なお、図16〜図22の各々の(b)は、各図の(a)のnMIS形成領域の要部拡大断面図である。ここでもpMIS形成領域のゲート電極構造は、nMIS形成領域のゲート電極構造と同じなので図示を省略してある。
【0109】
まず、前記実施の形態1で用いた図2および図3の工程を経た後、図16に示すように、前記実施の形態1と同様に、半導体基板11Sの主面上に、ゲート絶縁膜14を形成する。続いて、半導体基板11S上に、前記実施の形態1と同様に、多結晶シリコン層15aおよびSiGe層15bを下層から順に堆積する。その後、図16の矢印で示すように、前記実施の形態1と同様に、SiGe層15bに上記したホウ素をイオン注入法等によって導入する。上記したように本実施の形態2においてもGeの濃度が40%以上のSiGe層15bにおいては、ホウ素のみのシングルゲート電極構造となる。また、上記したようにSiGe層15bの成膜中にホウ素をインサイチュにて導入した場合には、再度、ホウ素をイオン注入する必要性はない。
【0110】
次いで、図17に示すように、ポリメタルゲート電極構造とすべく、SiGe層15b上に、例えば厚さが5nm程度の窒化タングステン(WN)または窒化チタン(TiN)等からなるバリア導体層15dをスパッタリング法等によって堆積した後、その上に、例えば厚さが80nm程度のタングステン(W)またはモリブデン(Mo)等のような金属層15eをスパッタリング法等によって堆積することにより、ゲート電極形成膜15を形成する。バリア導体層15dは、例えばSiGe層15bと金属層15eとのストレス緩和および接着性向上のために設けられている。
【0111】
続いて、金属層15e上に、例えば窒化シリコン膜(Si34)等からなる絶縁膜28を形成する。ここでは、絶縁膜28が、プラズマCVD法によって形成された窒化シリコン膜上に熱CVD法等によって形成された窒化シリコン膜が堆積されてなる。これは、熱CVD法等による窒化シリコン膜の方が、プラズマCVD法による窒化シリコン膜よりも、エッチングレートが低い(酸化シリコン膜に対して選択比を高くとれる)からである。すなわち、後の工程でコンタクトホールを形成する際にコンタクトホールから絶縁膜28が露出されたとしても絶縁膜28がエッチング除去されないようにするためである。
【0112】
その後、絶縁膜28上に、前記実施の形態1と同様に、反射防止膜17およびフォトレジストパターン18を形成した後、そのフォトレジストパターン18をエッチングマスクとして、そこから露出する反射防止膜17および絶縁膜28をドライエッチング法等によってエッチング除去する。ここでは、反射防止膜17および絶縁膜28のエッチング処理に際して、例えば平行平板型のエッチング装置を使用したが、反射防止膜17と絶縁膜28とで別々のチャンバでエッチング処理を行った。絶縁膜28のエッチング処理時にはプロセスガスとして、例えばCF4/Arを用いた。
【0113】
次いで、前記実施の形態1と同様に、フォトレジストパターン18および反射防止膜17をアッシングによって図18に示すように除去し、半導体基板11Sに対してウエット洗浄処理を施し上記ドライエッチング処理によって生じたポリマーを除去した後、上記ドライエッチング処理によってパターニングされた絶縁膜28をエッチングマスクとして、そこから露出するゲート電極形成膜15(すなわち、金属層15e、バリア導体層15d、SiGe層15bおよび多結晶シリコン層15a)をドライエッチング法等によってエッチング除去する。
【0114】
このドライエッチング処理は、前記図1に示したエッチング装置1内のエッチングチャンバ8内で行う。金属層15eおよびバリア導体層15dのエッチング処理に際しては、例えばCl/O2ガスを用いた高温(例えば100〜150℃程度)エッチング処理、または、例えばCF4/O2/N2/Cl2ガスを用いた常温エッチング処理を行った。SiGe層15bおよび多結晶シリコン層15aのエッチング処理は、前記実施の形態1と同じなので説明を省略する。
【0115】
このようなゲート電極形成膜15のエッチング処理により、図19に示すように、SiGe層15b上に金属層15eを有するポリメタル構造のゲート電極15Gを形成する。この処理後も、ゲート電極15Gの側面が、半導体基板11Sの主面に対してほぼ垂直に形成されている。すなわち、ゲート電極15Gの側面には、前記サイドエッチが生じていない。
【0116】
次いで、このような処理が終了した半導体基板11Sを、前記実施の形態1と同様に、後処理チャンバ9内に搬入し、前記実施の形態1と同様に後処理を施す。これにより、図20に示すように、ポリメタル構造のゲート電極15の側面の垂直形状を達成することができる。図20においても、多少のサイドエッチングが生じている場合を例示したが、本後処理条件によれば、サイドエッチングが無い状態でゲート電極15Gを形成することも可能である。以上のような後処理の後、半導体基板11Sを図1に示したエッチング装置1から取り出す。
【0117】
続いて、図21に示すように、前記実施の形態1と同様に、nMIS形成領域に低不純物濃度のn-型半導体領域19aを形成した後、pMIS形成領域に低不純物濃度のp-型半導体領域20aを形成する。その後、半導体基板11S上に、例えば窒化シリコンからなる絶縁膜をCVD法等によって堆積した後、これを異方性のドライエッチングによってエッチバックすることにより、ゲート電極15Gおよび絶縁膜28の両側面に窒化シリコン膜等からなるサイドウォール21を形成する。その後、図22に示すように、前記実施の形態1と同様に、nMIS形成領域に高不純物濃度のn+型半導体領域19bを形成した後、pMIS形成領域に高不純物濃度のp+型半導体領域20bを形成する。ここまでの工程により、LDD構造のソース、ドレインを有するnMISQnおよびpMISQpが略完成する。
【0118】
次いで、半導体基板11S上に、例えば酸化シリコン膜からなる絶縁膜23aをCVD法等によって堆積した後、その絶縁膜23aにコンタクトホール24を穿孔する。この際、酸化シリコン膜と窒化シリコン膜とのエッチング選択比を高くし、酸化シリコン膜の方がエッチングされ易いエッチング条件でエッチング処理を行いコンタクトホール24を形成する。この場合、図22(b)に示すように、コンタクトホール24が多少平面的にゲート電極15Gにかかったとしても窒化シリコン等からなるサイドウォール21および絶縁膜28によりゲート電極15Gが露出することがない(すなわち、コンタクトホール24をゲート電極15Gに対して自己整合的に形成できる)ので、素子の集積度を向上させることが可能となる。これ以降は、前記実施の形態1と同様にして多層配線構造のシステムLSIを製造する。
【0119】
このような本実施の形態2においても前記実施の形態1と同様の効果を得ることが可能となる。
【0120】
以上、本発明者によってなされた発明を実施の形態に基づき具体的に説明したが、本発明は前記実施の形態に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能であることはいうまでもない。
【0121】
例えば前記実施の形態1,2においては、ゲート電極をパターニングするためのエッチングチャンバと後処理チャンバとを別々にしたが、これに限定されるものではなく、そのエッチング処理と後処理とを同一チャンバ内で行うこともできる。この場合、後処理チャンバが不要となるので、エッチング装置のコストを低減することが可能となる。
【0122】
また、前記実施の形態1,2においては、SiGe層を有するゲート電極の加工技術に本発明を適用した場合について説明したが、これに限定されるものではなく、例えばGe化合物を有する配線の加工技術に本発明を適用することも可能である。
【0123】
また、前記実施の形態1,2においては、ゲート絶縁膜の厚さ(SiO2換算膜厚)が同一の素子のみが形成されている場合について説明したが、これに限定されるものではなく、同一の半導体基板上にゲート絶縁膜の厚さが異なる素子が複数形成される場合にも本発明を適用できる。この場合、相対的に高い電源電圧を用いるMISのゲート絶縁膜の膜厚を、相対的に低い電源電圧で駆動し、高速動作が要求されるようなMISのゲート絶縁膜の膜厚よりも厚くする。
【0124】
以上の説明では主として本発明者によってなされた発明をその背景となった利用分野であるシステムSIの製造技術に適用した場合について説明したが、それに限定されるものではなく、例えばDRAM(Dynamic Random Access Memory)、SRAM(Static Random Access Memory)またはフラッシュメモリ(EEPROM;Electric Erasable Read Only Electric Erasable Read Only Memory)等のようなメモリ回路を有する半導体集積回路装置やマイクロプロセッサ等のような論理回路を有する半導体集積回路装置にも適用できる。
【0125】
【発明の効果】
本願によって開示される発明のうち、代表的なものによって得られる効果を簡単に説明すれば、以下の通りである。
(1).本発明によれば、SiGe層を有するゲート電極をパターニングした後、半導体基板に対して、Geとの反応性の乏しい第1のガスおよびSiのエッチング機能を有する第2のガスの混合ガス雰囲気中においてプラズマ処理を施すことにより、SiGe層を有するゲート電極の形状を良好にすることが可能となる。
(2).本発明によれば、SiGe層を有するゲート電極をパターニングした後、半導体基板に対して、Geとの反応性の乏しい第1のガスおよびSiのエッチング機能を有する第2のガスの混合ガス雰囲気中においてプラズマ処理を施すことにより、SiGeを有するゲート電極の加工寸法精度を向上させることが可能となる。
(3).上記(1)または(2)により、SiGeを有するゲート電極を持つ半導体集積回路装置の歩留まりを向上させることが可能となる。
(4).上記(1)または(2)により、SiGeを有するゲート電極を持つ半導体集積回路装置の性能を向上させることが可能となる。
(5).本発明によれば、半導体基板上にnチャネル型およびpチャネル型の電界効果トランジスタを有する半導体集積回路装置の製造方法において、その各々の電界効果トランジスタのSiGe層を有するゲート電極をパターニングした後、半導体基板に対して、Geとの反応性の乏しい第1のガスおよびSiのエッチング機能を有する第2のガスの混合ガス雰囲気中においてプラズマ処理を施すことにより、SiGeを有するゲート電極を持つ半導体集積回路装置の開発および製造時間を短縮することが可能となる。
(6).本発明によれば、半導体基板上にnチャネル型およびpチャネル型の電界効果トランジスタを有する半導体集積回路装置の製造方法において、その各々の電界効果トランジスタのSiGe層を有するゲート電極をパターニングした後、半導体基板に対して、Geとの反応性の乏しい第1のガスおよびSiのエッチング機能を有する第2のガスの混合ガス雰囲気中においてプラズマ処理を施すことにより、SiGeを有するゲート電極を持つ半導体集積回路装置の製造工程を簡略化することが可能となる。
【図面の簡単な説明】
【図1】本発明の一実施の形態である半導体集積回路装置の製造方法に用いたエッチング装置の説明図である。
【図2】本発明の一実施の形態である半導体集積回路装置の製造工程中の要部断面図である。
【図3】図2に続く半導体集積回路装置の製造工程中の要部断面図である。
【図4】(a)は図3に続く半導体集積回路装置の製造工程中の要部断面図、(b)は(a)のnチャネル型MISFETの形成領域の要部拡大断面図である。
【図5】(a)は図4に続く半導体集積回路装置の製造工程中の要部断面図、(b)は(a)のnチャネル型MISFETの形成領域の要部拡大断面図である。
【図6】(a)は図5に続く半導体集積回路装置の製造工程中の要部断面図、(b)は(a)のnチャネル型MISFETの形成領域の要部拡大断面図である。
【図7】(a)は図6に続く半導体集積回路装置の製造工程中の要部断面図、(b)は(a)のnチャネル型MISFETの形成領域の要部拡大断面図である。
【図8】(a)は本発明者らが本発明をするのに検討した技術の課題を説明するための後処理後の半導体基板の部分断面図、(b)は(a)の要部拡大断面図である。
【図9】(a)は図7に続く半導体集積回路装置の製造工程中の要部断面図、(b)は(a)のnチャネル型MISFETの形成領域の要部拡大断面図である。
【図10】半導体基板に付着したCl、Br等が本発明の後処理により除去されたか否かを全反射蛍光X線により調べた結果を示すグラフ図である。
【図11】(a)は図9に続く半導体集積回路装置の製造工程中の要部断面図、(b)は(a)のnチャネル型MISFETの形成領域の要部拡大断面図である。
【図12】(a)は図11に続く半導体集積回路装置の製造工程中の要部断面図、(b)は(a)のnチャネル型MISFETの形成領域の要部拡大断面図である。
【図13】(a)は図12に続く半導体集積回路装置の製造工程中の要部断面図、(b)は(a)のnチャネル型MISFETの形成領域の要部拡大断面図である。
【図14】(a)は図13に続く半導体集積回路装置の製造工程中の要部断面図、(b)は(a)のnチャネル型MISFETの形成領域の要部拡大断面図である。
【図15】図14に続く半導体集積回路装置の製造工程中の要部断面図である。
【図16】(a)は本発明の他の実施の形態である半導体集積回路装置の製造工程中の要部断面図、(b)は(a)のnチャネル型MISFETの形成領域の要部拡大断面図である。
【図17】(a)は図16に続く半導体集積回路装置の製造工程中の要部断面図、(b)は(a)のnチャネル型MISFETの形成領域の要部拡大断面図である。
【図18】(a)は図17に続く半導体集積回路装置の製造工程中の要部断面図、(b)は(a)のnチャネル型MISFETの形成領域の要部拡大断面図である。
【図19】(a)は図18に続く半導体集積回路装置の製造工程中の要部断面図、(b)は(a)のnチャネル型MISFETの形成領域の要部拡大断面図である。
【図20】(a)は図19に続く半導体集積回路装置の製造工程中の要部断面図、(b)は(a)のnチャネル型MISFETの形成領域の要部拡大断面図である。
【図21】(a)は図20に続く半導体集積回路装置の製造工程中の要部断面図、(b)は(a)のnチャネル型MISFETの形成領域の要部拡大断面図である。
【図22】(a)は図21に続く半導体集積回路装置の製造工程中の要部断面図、(b)は(a)のnチャネル型MISFETの形成領域の要部拡大断面図である。
【符号の説明】
1 エッチング装置
2a〜2c ウエハカセット台
3 大気搬送ロボット
4 アライメントユニット
5 ロードロック室
6 真空搬送ロボット
7 真空搬送室
8 エッチングチャンバ
9 後処理チャンバ
10 アンロードロック室
11S 半導体基板
12 素子分離部
12a 溝
13P p型ウエル
13N n型ウエル
14 ゲート絶縁膜
15 ゲート電極形成膜
15a 多結晶シリコン層
15b SiGe層
15c 多結晶シリコン層
15d バリア導体層
15e 金属層
16 絶縁膜
17 反射防止膜
18 フォトレジストパターン
19a n-型半導体領域
19b n+型半導体領域
20a n-型半導体領域
20b n+型半導体領域
21 サイドウォール(側壁絶縁膜)
22 シリサイド層
23a 絶縁膜
24 コンタクトホール
25a プラグ
25b プラグ
26a 第1層配線
26b 第2層配線
27 スルーホール
28 絶縁膜

Claims (25)

  1. (a)半導体基板上にゲート絶縁膜を形成する工程、
    (b)前記ゲート絶縁膜上に、SiGe層を有するゲート電極形成膜を堆積する工程、
    (c)前記ゲート電極形成膜をパターニングすることにより、前記SiGe層を有するゲート電極を形成する工程、
    (d)前記(c)工程後、前記半導体基板に対して、Geとの反応性の乏しい不活性ガスおよびSiのエッチング機能を有するフッ素を含むガスの混合ガス雰囲気中において、前記ゲート電極表面の反応生成物を除去するプラズマ処理を施す工程を有することを特徴とする半導体集積回路装置の製造方法。
  2. 請求項記載の半導体集積回路装置の製造方法において、前記不活性ガスが、アルゴンガスであることを特徴とする半導体集積回路装置の製造方法。
  3. 請求項1記載の半導体集積回路装置の製造方法において、前記不活性ガスが、窒素ガスであることを特徴とする半導体集積回路装置の製造方法。
  4. 請求項記載の半導体集積回路装置の製造方法において、前記フッ素を含むガスが、CHFであることを特徴とする半導体集積回路装置の製造方法。
  5. 請求項1記載の半導体集積回路装置の製造方法において、前記不活性ガスの濃度は、前記フッ素を含むガスの濃度よりも相対的に高いことを特徴とする半導体集積回路装置の製造方法。
  6. 請求項1記載の半導体集積回路装置の製造方法において、前記SiGe層のGeの濃度が全体の10%以上であることを特徴とする半導体集積回路装置の製造方法。
  7. 請求項1記載の半導体集積回路装置の製造方法において、前記SiGe層のGeの濃度が全体の20%以上であることを特徴とする半導体集積回路装置の製造方法。
  8. 請求項1記載の半導体集積回路装置の製造方法において、前記SiGe層のGeの濃度が全体の40%以上であることを特徴とする半導体集積回路装置の製造方法。
  9. 請求項1記載の半導体集積回路装置の製造方法において、前記(d)工程後のゲート電極の両側面におけるサイドエッチング量は、前記(c)工程後に残されたゲート電極形成膜であって前記SiGe層以外の部分におけるチャネル長方向の長さの40%以下であることを特徴とする半導体集積回路装置の製造方法。
  10. 請求項1記載の半導体集積回路装置の製造方法において、前記(d)工程後のゲート電極のSiGe層におけるチャネル長方向の長さは、前記(d)工程後のゲート電極の前記SiGe層以外の部分におけるチャネル長方向の長さと等しいことを特徴とする半導体集積回路装置の製造方法。
  11. 請求項1記載の半導体集積回路装置の製造方法において、前記半導体基板に前記ゲート電極を有するnチャネル型の電界効果トランジスタおよびpチャネル型の電界効果トランジスタを形成することを特徴とする半導体集積回路装置の製造方法。
  12. 請求項1記載の半導体集積回路装置の製造方法において、前記(c)工程後の半導体基板を、真空状態を維持した状態で、前記(d)工程に移行させることを特徴とする半導体集積回路装置の製造方法。
  13. 請求項1記載の半導体集積回路装置の製造方法において、
    前記(b)工程は、前記ゲート電極形成膜にホウ素を導入する工程を有することを特徴とする半導体集積回路装置の製造方法。
  14. 請求項1記載の半導体集積回路装置の製造方法において、
    前記(b)工程は、前記SiGe層上に多結晶シリコン層を堆積する工程を有し、
    前記(d)工程後、
    (e)前記ゲート電極の側面に側壁絶縁膜を形成する工程、
    (f)前記ゲート電極の上面と、前記半導体基板の主面一部とを露出させる工程、
    (g)前記半導体基板上に高融点金属膜を堆積する工程、
    (h)前記ゲート電極の上面と、前記半導体基板の主面の一部とに高融点金属シリサイド層を形成する工程を有することを特徴とする半導体集積回路装置の製造方法。
  15. (a)半導体基板の主面上にゲート絶縁膜を形成する工程、
    (b)前記ゲート絶縁膜上にゲート電極形成膜を堆積する工程、
    (c)前記ゲート電極形成膜をパターニングすることによりゲート電極を形成する工程、
    (d)前記(c)工程後、前記半導体基板に対して、Geとの反応性の乏しい不活性ガスおよびSiのエッチング機能を有するフッ素を含むガスの混合ガス雰囲気中において、前記ゲート電極表面の反応生成物を除去するプラズマ処理を施す工程を有し、
    前記(b)工程は、SiGe層を堆積する工程および前記SiGe層よりも上層に多結晶シリコン層を堆積する工程を有することを特徴とする半導体集積回路装置の製造方法。
  16. 請求項15記載の半導体集積回路装置の製造方法において、前記不活性ガスが、アルゴンガスであることを特徴とする半導体集積回路装置の製造方法。
  17. 請求項15記載の半導体集積回路装置の製造方法において、前記不活性ガスが、窒素ガスであることを特徴とする半導体集積回路装置の製造方法。
  18. 請求項15記載の半導体集積回路装置の製造方法において、前記フッ素を含むガスが、CHFであることを特徴とする半導体集積回路装置の製造方法。
  19. 請求項15記載の半導体集積回路装置の製造方法において、前記SiGe層のGeの濃度が全体の10%以上であることを特徴とする半導体集積回路装置の製造方法。
  20. 請求項15記載の半導体集積回路装置の製造方法において、前記(d)工程後のゲート電極の両側面におけるサイドエッチング量は、前記(c)工程後に残された前記多結晶シリコン層のチャネル長方向の長さの40%以下であることを特徴とする半導体集積回路装置の製造方法。
  21. 請求項15記載の半導体集積回路装置の製造方法において、
    前記(d)工程後、
    (e)前記ゲート電極の側面に側壁絶縁膜を形成する工程、
    (f)前記ゲート電極の上面と、前記半導体基板の主面一部とを露出させる工程、
    (g)前記半導体基板上に高融点金属膜を堆積する工程、
    (h)前記ゲート電極の上面と、前記半導体基板の主面の一部とに高融点金属シリサイド層を形成する工程を有することを特徴とする半導体集積回路装置の製造方法。
  22. 請求項15記載の半導体集積回路装置の製造方法において、
    前記(d)工程後、前記半導体基板のnチャネル型の電界効果トランジスタの形成領域に第1の不純物を導入する工程、
    前記(d)工程後、前記半導体基板のpチャネル型の電界効果トランジスタの形成領域に、前記第1の不純物で形成される半導体領域とは反対の導電型の半導体領域を形成する第2の不純物を導入する工程を有することを特徴とする半導体集積回路装置の製造方法。
  23. (a)半導体基板の主面上にゲート絶縁膜を形成する工程、
    (b)前記ゲート絶縁膜上にゲート電極形成膜を堆積する工程、
    (c)前記ゲート電極形成膜をパターニングすることによりゲート電極を形成する工程、
    (d)前記(c)工程後、前記半導体基板に対して、Geとの反応性の乏しい不活性ガスおよびSiのエッチング機能を有するフッ素を含むガスの混合ガス雰囲気中において、前記ゲート電極表面の反応生成物を除去するプラズマ処理を施す工程を有し、
    前記(b)工程は、SiGe層を堆積する工程、前記SiGe層よりも上層に金属層を堆積する工程を有することを特徴とする半導体集積回路装置の製造方法。
  24. 請求項23記載の半導体集積回路装置の製造方法において、前記(d)工程後のゲート電極の両側面におけるサイドエッチング量は、前記(c)工程後に残された前記金属層のチャネル長方向の長さの40%以下であることを特徴とする半導体集積回路装置の製造方法。
  25. 請求項23記載の半導体集積回路装置の製造方法において、
    前記(b)工程は、前記SiGe層にホウ素を導入した後、前記金属層を堆積する工程を有することを特徴とする半導体集積回路装置の製造方法。
JP2000094986A 2000-03-30 2000-03-30 半導体集積回路装置の製造方法 Expired - Fee Related JP4056195B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2000094986A JP4056195B2 (ja) 2000-03-30 2000-03-30 半導体集積回路装置の製造方法
TW090106200A TW495876B (en) 2000-03-30 2001-03-16 Semiconductor integrated circuit device and its manufacture method
US09/810,577 US6479392B2 (en) 2000-03-30 2001-03-19 Fabrication method for semiconductor integrated circuit devices and semiconductor integrated circuit device
US09/811,589 US6633072B2 (en) 2000-03-30 2001-03-20 Fabrication method for semiconductor integrated circuit devices and semiconductor integrated circuit device
KR1020010014254A KR100721086B1 (ko) 2000-03-30 2001-03-20 반도체 집적 회로 장치와 그 제조 방법
US10/639,465 US7375037B2 (en) 2000-03-30 2003-08-13 Fabrication method for semiconductor integrated circuit device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000094986A JP4056195B2 (ja) 2000-03-30 2000-03-30 半導体集積回路装置の製造方法

Publications (3)

Publication Number Publication Date
JP2001284283A JP2001284283A (ja) 2001-10-12
JP2001284283A5 JP2001284283A5 (ja) 2006-04-06
JP4056195B2 true JP4056195B2 (ja) 2008-03-05

Family

ID=18609946

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000094986A Expired - Fee Related JP4056195B2 (ja) 2000-03-30 2000-03-30 半導体集積回路装置の製造方法

Country Status (4)

Country Link
US (3) US6479392B2 (ja)
JP (1) JP4056195B2 (ja)
KR (1) KR100721086B1 (ja)
TW (1) TW495876B (ja)

Families Citing this family (168)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1265276B1 (en) * 2000-03-13 2011-06-22 Tadahiro Ohmi Method for forming dielectric film
JP4056195B2 (ja) * 2000-03-30 2008-03-05 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US6762129B2 (en) * 2000-04-19 2004-07-13 Matsushita Electric Industrial Co., Ltd. Dry etching method, fabrication method for semiconductor device, and dry etching apparatus
JP2001358233A (ja) * 2000-06-15 2001-12-26 Hitachi Ltd 半導体集積回路装置および半導体集積回路装置の製造方法
JP4447128B2 (ja) * 2000-07-12 2010-04-07 富士通マイクロエレクトロニクス株式会社 絶縁ゲート型半導体装置の製造方法
JP2002353205A (ja) * 2000-08-28 2002-12-06 Mitsubishi Electric Corp 半導体装置の製造方法およびそれに用いられるウェハ処理装置並びに半導体装置
US6762463B2 (en) * 2001-06-09 2004-07-13 Advanced Micro Devices, Inc. MOSFET with SiGe source/drain regions and epitaxial gate dielectric
US6770521B2 (en) * 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
WO2004017418A1 (ja) * 2002-08-15 2004-02-26 Renesas Technology Corp. 半導体集積回路装置およびその製造方法
US6727127B1 (en) * 2002-11-21 2004-04-27 Cree, Inc. Laterally diffused MOS transistor (LDMOS) and method of making same
US6838695B2 (en) 2002-11-25 2005-01-04 International Business Machines Corporation CMOS device structure with improved PFET gate electrode
JP4098225B2 (ja) * 2003-12-01 2008-06-11 松下電器産業株式会社 プラズマエッチング方法
JP2004253778A (ja) 2003-01-30 2004-09-09 Nec Electronics Corp 半導体装置及びその製造方法
KR20050098879A (ko) * 2003-02-03 2005-10-12 코닌클리즈케 필립스 일렉트로닉스 엔.브이. 반도체 장치 및 반도체 장치의 제조 방법
US20040209468A1 (en) * 2003-04-17 2004-10-21 Applied Materials Inc. Method for fabricating a gate structure of a field effect transistor
US6905976B2 (en) * 2003-05-06 2005-06-14 International Business Machines Corporation Structure and method of forming a notched gate field effect transistor
TWI242232B (en) * 2003-06-09 2005-10-21 Canon Kk Semiconductor substrate, semiconductor device, and method of manufacturing the same
KR101083558B1 (ko) * 2003-12-01 2011-11-14 파나소닉 주식회사 플라즈마 에칭 방법
JP4580657B2 (ja) * 2004-01-30 2010-11-17 株式会社東芝 半導体装置およびその製造方法
US7682985B2 (en) * 2004-03-17 2010-03-23 Lam Research Corporation Dual doped polysilicon and silicon germanium etch
KR100532509B1 (ko) * 2004-03-26 2005-11-30 삼성전자주식회사 SiGe를 이용한 트렌치 커패시터 및 그 형성방법
CA2589539A1 (en) * 2004-12-07 2006-06-15 Thunderbird Technologies, Inc. Strained silicon, gate engineered fermi-fets
US20060205129A1 (en) * 2005-02-25 2006-09-14 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
JP4767641B2 (ja) * 2005-09-27 2011-09-07 大日本スクリーン製造株式会社 基板処理装置および基板搬送方法
JP4854317B2 (ja) * 2006-01-31 2012-01-18 東京エレクトロン株式会社 基板処理方法
TW200809980A (en) * 2006-03-10 2008-02-16 Koninkl Philips Electronics Nv Method of manufacturing a bipolar transistor
JP2008118017A (ja) * 2006-11-07 2008-05-22 Hitachi High-Technologies Corp プラズマ処理方法および処理装置
US8390026B2 (en) * 2006-11-14 2013-03-05 Freescale Semiconductor, Inc. Electronic device including a heterojunction region
KR100842675B1 (ko) * 2006-12-27 2008-06-30 동부일렉트로닉스 주식회사 트랜지스터의 폴리 게이트에 대한 식각 방법
KR100859113B1 (ko) * 2007-02-13 2008-09-18 홍익대학교부설과학기술연구소 문턱 전압의 조절이 가능한 유기 박막 트랜지스터 및 그것의 제조방법
JP5386810B2 (ja) * 2007-09-13 2014-01-15 沖電気工業株式会社 Mis型fet及びその製造方法
JP5232512B2 (ja) * 2008-03-26 2013-07-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
JP2013125955A (ja) * 2011-12-16 2013-06-24 Elpida Memory Inc 半導体装置及びその製造方法
KR101900042B1 (ko) 2012-05-10 2018-09-18 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
JP6138653B2 (ja) 2013-10-08 2017-05-31 株式会社日立ハイテクノロジーズ ドライエッチング方法
JP2015079793A (ja) * 2013-10-15 2015-04-23 東京エレクトロン株式会社 プラズマ処理方法
US9236265B2 (en) * 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
JP5920496B2 (ja) * 2014-02-18 2016-05-18 住友化学株式会社 積層多孔質フィルムおよび非水電解液二次電池
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US20150371889A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Methods for shallow trench isolation formation in a silicon germanium layer
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
KR20160148795A (ko) * 2015-06-16 2016-12-27 삼성전자주식회사 반도체 소자 및 이의 제조 방법
JP6457896B2 (ja) * 2015-07-09 2019-01-23 株式会社ジャパンディスプレイ 半導体装置及び半導体装置の製造方法
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10615166B2 (en) * 2017-12-19 2020-04-07 International Business Machines Corporation Programmable device compatible with vertical transistor flow
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2740087B2 (ja) * 1992-08-15 1998-04-15 株式会社東芝 半導体集積回路装置の製造方法
FR2765395B1 (fr) * 1997-06-30 1999-09-03 Sgs Thomson Microelectronics Procede de realisation de grille de transistors mos a forte teneur en germanium
JP3998765B2 (ja) * 1997-09-04 2007-10-31 シャープ株式会社 多結晶半導体層の製造方法及び半導体装置の評価方法
US6200866B1 (en) * 1998-02-23 2001-03-13 Sharp Laboratories Of America, Inc. Use of silicon germanium and other alloys as the replacement gate for the fabrication of MOSFET
JPH11330463A (ja) 1998-05-15 1999-11-30 Sony Corp 半導体装置および半導体装置の製造方法
US6674134B2 (en) * 1998-10-15 2004-01-06 International Business Machines Corporation Structure and method for dual gate oxidation for CMOS technology
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
FR2805924A1 (fr) * 2000-03-06 2001-09-07 France Telecom Procede de gravure d'une couche de silicium polycristallin et son application a la realisation d'un emetteur auto- aligne avec la base extrinseque d'un transistor bipolaire simple ou double polysilicium
JP4056195B2 (ja) * 2000-03-30 2008-03-05 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US6319840B1 (en) * 2000-06-29 2001-11-20 International Business Machines Corporation For mol integration

Also Published As

Publication number Publication date
US20020014662A1 (en) 2002-02-07
JP2001284283A (ja) 2001-10-12
KR100721086B1 (ko) 2007-05-22
US7375037B2 (en) 2008-05-20
US20040033692A1 (en) 2004-02-19
TW495876B (en) 2002-07-21
US6479392B2 (en) 2002-11-12
US20010028093A1 (en) 2001-10-11
KR20010094985A (ko) 2001-11-03
US6633072B2 (en) 2003-10-14

Similar Documents

Publication Publication Date Title
JP4056195B2 (ja) 半導体集積回路装置の製造方法
US6667246B2 (en) Wet-etching method and method for manufacturing semiconductor device
US6838695B2 (en) CMOS device structure with improved PFET gate electrode
JP4164324B2 (ja) 半導体装置の製造方法
US20070072403A1 (en) Semiconductor device and method for fabricating the same
US20050158986A1 (en) Method of forming contact plug on silicide structure
US6878646B1 (en) Method to control critical dimension of a hard masked pattern
JP2009033032A (ja) 半導体装置及び半導体装置の製造方法
US6083815A (en) Method of gate etching with thin gate oxide
US6727187B2 (en) Fabrication method for semiconductor device
CN104183477B (zh) 一种制作半导体器件的方法
KR20050085415A (ko) 다층 게이트 스택
CN107978514B (zh) 晶体管及其形成方法
JP3646718B2 (ja) 半導体装置の製造方法
JP2002237603A (ja) 半導体装置の製造方法
CN108807377B (zh) 半导体器件及其形成方法
US20080303069A1 (en) Two step photoresist stripping method sequentially using ion activated and non-ion activated nitrogen containing plasmas
JP4283017B2 (ja) 半導体装置の製造方法
US20050095867A1 (en) Method of manufacturing semiconductor device
JP2003078034A (ja) 半導体集積回路装置の製造方法
JP2002289554A (ja) 半導体装置及びその製造方法
CN110148562B (zh) Fdsoi器件的赝栅极去除方法
KR100575620B1 (ko) 살리사이드막 형성방법
US20240055265A1 (en) Treatment methods for silicon nanosheet surfaces
JP2000058513A (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040326

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040326

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060127

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060629

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070904

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071102

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20071127

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20071211

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101221

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101221

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101221

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101221

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111221

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121221

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121221

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131221

Year of fee payment: 6

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees