KR100843236B1 - 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법 - Google Patents

더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법 Download PDF

Info

Publication number
KR100843236B1
KR100843236B1 KR1020070012347A KR20070012347A KR100843236B1 KR 100843236 B1 KR100843236 B1 KR 100843236B1 KR 1020070012347 A KR1020070012347 A KR 1020070012347A KR 20070012347 A KR20070012347 A KR 20070012347A KR 100843236 B1 KR100843236 B1 KR 100843236B1
Authority
KR
South Korea
Prior art keywords
etching
pattern
mask
region
forming
Prior art date
Application number
KR1020070012347A
Other languages
English (en)
Inventor
전경엽
김명철
이학선
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020070012347A priority Critical patent/KR100843236B1/ko
Priority to US11/810,200 priority patent/US7601647B2/en
Priority to DE102008007671.6A priority patent/DE102008007671B4/de
Priority to JP2008026786A priority patent/JP5492381B2/ja
Priority to CN2008100097317A priority patent/CN101241842B/zh
Application granted granted Critical
Publication of KR100843236B1 publication Critical patent/KR100843236B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Abstract

패턴 밀도가 서로 다른 각 영역에서 식각되어야 할 두께가 서로 다른 막을 식각하기 위하여 폴리머 부산물 발생량을 변화시키는 2 단계 식각 공정을 포함하는 반도체 소자의 미세 패턴 형성 방법에 관하여 개시한다. 제1 식각 단계에서는 복수의 마스크 패턴을 식각 마스크로 하여 저밀도 패턴 영역에서 피식각막이 노출될 때까지 제1 식각 분위기하에서 저밀도 패턴 영역 및 고밀도 패턴 영역에 있는 버퍼층 및 하드마스크층을 RIE 방식으로 식각한다. 제2 식각 단계에서는 마스크 패턴을 식각 마스크로 하여, 상기 제1 식각 분위기에서보다 폴리머 부산물 발생량이 많은 제2 식각 분위기하에서 저밀도 패턴 영역에서는 피식각막 위에 폴리머 부산물을 퇴적시키면서 고밀도 패턴 영역에서는 피식각막이 노출될 때까지 하드마스크층을 식각한다.
하드마스크, 더블 패터닝, iRIE 래그, 폴리머

Description

더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴 형성 방법{Method of forming fine patterns of semiconductor device using double patterning process}
도 1a 내지 도 1k는 본 발명의 바람직한 실시예에 따른 반도체 소자의 미세 패턴 형성 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다.
도 2는 본 발명에 따른 반도체 소자의 미세 패턴 형성 방법에서 하드마스크층의 패터닝 공정시 이용되는 2 단계 식각 공정시 사용되는 식각 가스 내의 O2 유량에 따른 산화막 식각량을 측정한 결과를 나타낸 그래프이다.
<도면의 주요 부분에 대한 부호의 설명>
100: 반도체 기판, 120: 피식각막, 120a: 피식각막 패턴, 124: 하드마스크층, 124a: 낮은 표면부, 124b: 하드마스크 패턴, 130: 제1 마스크 패턴, 140: 버퍼층, 142: 리세스, 150: 제2 마스크층, 150a: 제2 마스크 패턴, 160: 폴리머 부산물, A: 저밀도 패턴 영역, B: 고밀도 패턴 영역.
본 발명은 반도체 소자의 미세 패턴 형성 방법에 관한 것으로, 특히 더블 패터닝 (double patterning) 공정에 의해 형성되는 미세 피치의 하드마스크 패턴을 이용하는 반도체 소자의 미세 패턴 형성 방법에 관한 것이다.
고집적화된 반도체 소자를 제조하는 데 있어서 패턴 미세화가 필수적이다. 좁은 면적에 많은 소자를 집적시키기 위하여는 개별 소자의 크기를 가능한 한 작게 형성하여야 하며, 이를 위하여는 형성하고자 하는 패턴들 각각의 폭과 상기 패턴들 사이의 간격과의 합인 피치(pitch)를 작게 하여야 한다. 최근, 반도체 소자의 디자인 룰 (design rule)이 급격하게 감소됨에 따라 반도체 소자 구현에 필요한 패턴을 형성하기 위한 포토리소그래피 공정에 있어서 해상 한계로 인하여 미세 피치를 가지는 패턴을 형성하는 데 한계가 있다. 특히, 기판에 활성 영역을 정의하는 소자분리 영역을 형성하기 위한 포토리소그래피 공정, 또는 라인 앤드 스페이스 패턴 (line and space pattern, 이하, "L/S 패턴"이라 함) 형성을 위한 포토리소그래피 공정에 있어서 해상 한계로 인하여 미세 피치를 가지는 원하는 패턴을 형성하는 데 한계가 있다.
상기와 같은 포토리소그래피 공정에서의 해상 한계를 극복하기 위하여, 더블 패터닝 공정을 이용하여 미세 피치를 가지는 하드마스크 패턴을 형성하는 방법들이 제안되었다.
그러나, 반도체 기판상의 셀 어레이 영역에서와 같이 패턴 밀도가 비교적 높은 영역과, 주변회로 영역 또는 코어 영역과 같이 패턴 밀도가 비교적 낮은 영역에 동시에 소정의 패턴을 형성하고자 할 때, 패턴 밀도가 높은 영역에서만 선택적으로 더블 패터닝 공정이 적용될 수 있도록 하기 위하여 형성하고자 하는 패턴을 각 영역별로 서로 다른 피치로 형성할 수 있는 더블 패터닝 공정을 개발할 필요가 있다. 특히, 형성하고자 하는 패턴 밀도가 서로 다른 각 영역에서 서로 다른 피치의 패턴을 동시에 형성할 때 각 영역에서의 패턴 밀도 차이로 인해 각 영역 마다 식각율이 달라질 수 있다. 이와 같은 패턴 밀도 차이로 인한 식각율 차이로 인해 후속의 식각 공정시 식각에 의해 제거되어야 하는 막 두께가 각 영역에서의 패턴 밀도에 따라 다르게 될 수 있다. 그 결과, 패턴 밀도 차이에 따라 각 영역에서 원하는 패턴 형상이 얻어질 수 없는 결과가 야기된다. 따라서, 패턴 밀도가 서로 다른 복수의 영역에서 동시에 소정의 패턴을 형성하고자 할 때 패턴 밀도에 따라 제거되어야 할 막의 두께 차이가 존재하는 경우에 발생될 수 있는 문제를 해결할 수 있는 새로운 더블 패터닝 공정 개발이 요구된다.
본 발명의 목적은 상기한 종래 기술에서의 문제점을 해결하고자 하는 것으로, 포토리소그래피 공정에서의 해상 한계를 극복할 수 있는 미세 피치의 패턴을 구현하기 위한 더블 패터닝 공정을 이용하여 동일한 기판상에 다양한 크기 및 다양한 피치의 패턴을 동시에 형성하는 데 있어서, 패턴 밀도가 서로 다른 복수의 영역에서 각 영역에서 제거되어야 할 막 두께 차이로 인해 야기될 수 있는 문제를 방지할 수 있는 반도체 소자의 미세 패턴 형성 방법을 제공하는 것이다.
상기 목적을 달성하기 위하여, 본 발명에 따른 반도체 소자의 미세 패턴 형성 방법에서는 피식각막을 포함하고 있는 기판상의 제1 영역 및 제2 영역에 하드마스크층을 형성한다. 상기 제1 영역에서는 제1 패턴 밀도를 가지고 상기 제2 영역에서는 상기 제1 패턴 밀도보다 큰 제2 패턴 밀도를 가지도록 반복 형성되어 있는 복수의 마스크 패턴과, 상기 제2 영역에서는 상기 복수의 마스크 패턴 각각의 양 측벽을 소정의 폭으로 덮고 있고 상기 제1 영역에서는 상기 복수의 마스크 패턴 중 일부의 측벽은 덮지 않는 버퍼층을 상기 하드마스크층 위에 형성한다. 상기 복수의 마스크 패턴을 식각 마스크로 하여 상기 제1 영역에서 상기 피식각막의 제1 표면이 노출될 때까지 제1 식각 분위기하에서 상기 제1 영역 및 제2 영역에서 상기 버퍼층 및 하드마스크층을 RIE (reactive ion etching) 방식으로 식각하는 제1 식각 단계를 행한다. 상기 제1 영역에서는 상기 피식각막의 제1 표면이 노출되어 있고 상기 제2 영역에서는 상기 피식각막이 노출되어 있지 않은 상태에서, 상기 마스크 패턴을 식각 마스크로 하여, 상기 제1 식각 분위기에서보다 폴리머 부산물 발생량이 많은 제2 식각 분위기하에서 상기 제1 영역에서는 노출되어 있는 상기 피식각막의 제1 표면 위에 폴리머 부산물을 퇴적시키면서 상기 제2 영역에서는 상기 하드마스크층을 상기 피식각막의 제2 표면이 노출될 때까지 식각하여 하드마스크 패턴을 형성하는 제2 식각 단계를 행한다. 상기 피식각막의 제1 표면이 노출되도록 상기 제1 표면 위에 퇴적되어 있는 폴리머 부산물을 제거한다. 상기 하드마스크 패턴을 식각 마스크로 하여 상기 피식각막의 노출된 제1 표면 및 제2 표면을 식각하여 피식각막 패턴을 형성한다.
상기 마스크 패턴 및 버퍼층을 형성하는 단계에서, 상기 버퍼층은 상기 제2 영역에서 상기 마스크 패턴의 양 측벽을 각각 a 및 b의 폭으로 덮도록 형성될 수 있으며, 이 경우 상기 제1 영역은 상기 복수의 마스크 패턴중 상호 인접한 2 개의 마스크 패턴 사이의 간격은 2(a+b) 보다 큰 영역이 될 수 있다.
상기 제1 식각 분위기 및 제2 식각 분위기는 각각 O2 를 포함하는 동일한 식각 가스 성분들로 이루어질 수 있다. 이 경우, 상기 제2 식각 단계를 상기 제1 식각 단계에서보다 폴리머 부상물이 다량 발생되는 조건으로 행하기 위하여, 상기 제2 식각 분위기에서의 O2 유량을 상기 제1 식각 분위기에서의 O2 유량보다 더 작게 할 수 있다. 또는, 상기 제2 식각 단계를 상기 제1 식각 단계에서보다 폴리머 부상물이 다량 발생되는 조건으로 행하기 위하여, 상기 제2 식각 분위기의 온도를 상기 제1 식각 분위기의 온도보다 더 낮게 설정할 수 있다.
상기 폴리머 부산물을 제거하기 위하여 플라즈마 방식의 건식 식각 공정을 이용할 수 있다. 또는, 상기 폴리머 부산물을 제거하기 위하여 애싱 및 스트립 공정을 이용할 수도 있다.
상기 제1 식각 단계는 상기 제1 영역에는 상기 복수의 마스크 패턴 사이로 상기 하드마스크층이 노출되어 있고 상기 제2 영역에는 상기 복수의 마스크 패턴 사이로 상기 버퍼층이 노출되어 있는 상태에서 행해질 수 있다.
상기 마스크 패턴은 상기 제1 영역 및 제2 영역에 각각 형성되는 제1 마스크 패턴과, 상기 제2 영역에만 형성되는 제2 마스크 패턴을 포함할 수 있다. 이 경우, 상기 마스크 패턴 및 버퍼층을 형성하는 단계는 상기 제1 영역에서는 제1 패턴 밀도를 가지도록 소정의 피치로 반복 형성되고 상기 제2 영역에서는 상기 제2 패턴 밀도보다 2 배 큰 제3 패턴 밀도를 가지도록 제1 피치로 반복 형성되는 복수의 제1 마스크 패턴을 형성하는 단계와, 상기 제1 마스크 패턴의 상면 및 측벽과 상기 하드마스크층의 상면을 덮는 상기 버퍼층을 형성하는 단계와, 상기 제2 영역에서 상기 복수의 제1 마스크 패턴중 상호 인접한 2 개의 제1 마스크 패턴 사이에 1 개씩 위치되는 복수의 제2 마스크 패턴을 상기 버퍼층 위에 형성하는 단계를 포함할 수 있다.
상기 버퍼층은 상기 복수의 제1 마스크 패턴중 상호 인접한 2 개의 제1 마스크 패턴 사이의 위치에 리세스(recess)가 형성되어 있는 상면을 가지도록 형성될 수 있으며, 이 때 상기 제2 마스크 패턴은 상기 버퍼층의 상면에 형성된 상기 리세스 내에 형성된다. 상기 제2 마스크 패턴은 상기 제1 마스크 패턴과 동일한 수평면상에 형성될 수 있다.
상기 제2 마스크 패턴이 형성된 후, 상기 제1 마스크 패턴의 상면이 노출되도록 상기 버퍼층의 일부를 제거하는 단계를 더 포함할 수 있다.
또한, 상기 복수의 제1 마스크 패턴을 형성한 후, 상기 버퍼층을 형성하기 전에, 상기 복수의 제1 마스크 패턴을 통해 노출되는 상기 하드마스크층을 그 상면으로부터 제1 두께 만큼 제거하여 상기 하드마스크층의 상면에 낮은 표면부를 형성하는 단계를 더 포함할 수 있다.
본 발명에 의하면, 패턴 밀도가 서로 다른 각 영역에서 식각되어야 할 막의 두께가 서로 다른 경우에도 상기 두께 차이에 따른 단차가 피식각막에 전사되는 것을 효과적으로 방지할 수 있으며, 따라서 포토리소그래피 공정에서의 해상 한계를 극복할 수 있는 미세 피치의 패턴을 용이하게 구현할 수 있다.
다음에, 본 발명의 바람직한 실시예에 대하여 첨부 도면을 참조하여 상세히 설명한다.
도 1a 내지 도 1k는 본 발명의 바람직한 실시예에 따른 반도체 소자의 미세 패턴 형성 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다.
도 1a를 참조하면, 기판(100)의 저밀도 패턴 영역(A) 및 고밀도 패턴 영역(B)에 각각 피식각막(120)을 형성한다. 상기 기판(100)은 통상의 반도체 기판으로 이루어질 수 있다.
상기 기판(100)의 저밀도 패턴 영역(A)은 단위 면적당 패턴 밀도가 비교적 낮은 영역으로서, 예를 들면 주변회로 영역 또는 코어 영역일 수 있다. 또는, 상기 저밀도 패턴 영역(A)은 셀 어레이 영역중 형성하고자 하는 패턴의 밀도가 비교적 낮은 영역일 수 있다. 상기 고밀도 패턴 영역(B)은 상기 저밀도 패턴 영역(A)에 비해 단위 면적당 패턴 밀도가 높은 영역으로서, 예를 들면 셀 어레이 영역의 일부일 수 있다.
상기 피식각막(120)은 반도체 소자를 구성하기 위하여 미세 피치로 반복 배치되는 복수의 패턴을 형성하기 위한 도전층 또는 절연층일 수 있으며, 금속, 반도체, 또는 절연 물질로 이루어질 수 있다. 예를 들면, 상기 피식각막(120)은 텅스텐(W), 텅스텐 실리사이드, 폴리실리콘, 알루미늄(Al), 또는 이들의 조합으로 이루어질 수 있다. 상기 기판(100)에 미세 피치로 반복 배치되는 소자분리 영역을 형성하고자 하는 경우, 상기 피식각막(120)은 생략 가능하다. 본 예에서는 상기 피식각 막(120)으로부터 미세 패턴을 형성하는 경우를 예로 들어 설명한다.
상기 피식각막(120) 위에 하드마스크층(124)을 형성한다. 상기 하드마스크층(124)은 상기 피식각막(120)의 재료 및 형성하고자 하는 패턴의 용도에 따라 다양한 물질로 이루어질 수 있다. 예를 들면, 상기 하드마스크층(124)은 산화막, 질화막, 또는 이들의 조합으로 이루어질 수 있다. 또는, 상기 피식각막(120)이 절연막 또는 도전막인 경우, 상기 피식각막(120) 재료에 따라 식각 선택비를 제공할 수 있는 물질로 이루어진다. 예를 들면, 상기 하드마스크층(124)은 열산화막, CVD (chemical vapor deposition) 산화막, USG막 (undoped silicate glass film) 및 HDP 산화막 (high density plasma oxide film)으로 이루어지는 군에서 선택되는 적어도 하나의 산화막으로 이루어질 수 있다. 또는, 상기 하드마스크층(124)은 SiON, SiN, SiBN 및 BN으로 이루어지는 군에서 선택되는 적어도 하나의 막으로 이루어질 수 있다. 또는, 상기 하드마스크층(124)은 위에서 예시된 산화막들 중에서 선택되는 적어도 하나의 산화막과 위에서 예시된 질화막들중에서 선택되는 적어도 하나의 질화막으로 구성되는 다중층으로 이루어질 수도 있다.
도 1b를 참조하면, 통상의 포토리소그래피 공정을 이용하여 상기 하드마스크층(124) 위에 복수의 제1 마스크 패턴(130)을 형성한다.
상기 기판(100)상의 저밀도 패턴 영역(A)에서 상기 복수의 제1 마스크 패턴(130)은 상기 피식각막(120)에 최종적으로 형성하고자 하는 패턴의 피치(PA)와 동일한 피치(PA)로 반복 형성되는 패턴으로 형성된다. 그리고, 상기 기판(100)상의 고 밀도 패턴 영역(B)에서 상기 복수의 제1 마스크 패턴(130)은 상기 피식각막(120)에 최종적으로 형성하고자 하는 패턴의 피치(PB) 보다 2배 큰 제1 피치(2PB)를 가지도록 형성된다.
상기 고밀도 패턴 영역(B)에서 상기 제1 마스크 패턴(130)의 제1 폭(W1)은 상기 제1 피치(2PB)의 1/4인 값을 가지도록 설계될 수 있다. 상기 제1 마스크 패턴(130)은 예를 들면 상기 기판(100)상에서 상기 제1 피치(2PB)를 가지고 소정의 방향으로 반복 형성되는 복수의 라인 패턴으로 이루어질 수 있다.
상기 하드마스크층(124)이 산화막으로 이루어진 경우, 상기 제1 마스크 패턴(130)은 폴리실리콘막, 또는 SiON, SiN, SiBN, BN 등과 같은 질화막으로 이루어질 수 있다. 또는, 상기 하드마스크층(124)이 질화막으로 이루어진 경우, 상기 제1 마스크 패턴(130)은 산화막으로 이루어질 수 있다.
도 1c를 참조하면, 저밀도 패턴 영역(A) 및 고밀도 패턴 영역(B)에서 상기 복수의 제1 마스크 패턴(130) 사이에서 노출되는 상기 하드마스크층(124)을 그 상면으로부터 제1 두께(d) 만큼 제거하여 상기 하드마스크층(124)의 낮은 표면부(124a)를 형성한다.
바람직하게는, 상기 제1 두께(d)는 상기 고밀도 패턴 영역(B)에 형성된 상기 제1 마스크 패턴(130)의 제1 폭(W1)과 동일한 치수를 가지도록 한다.
상기 하드마스크층(124)의 상면에 상기 낮은 표면부(124a)를 형성하기 위하 여 건식 식각 공정을 행할 수 있다, 예를 들면, 도 1b를 참조하여 설명한 상기 제1 마스크 패턴(130) 형성 공정에서, 상기 제1 마스크 패턴(130) 형성을 위한 건식 식각 공정시 상기 제1 마스크 패턴(130)이 형성된 후 연속적으로 과도 식각을 행하여 상기 낮은 표면부(124a)가 형성되도록 할 수 있다. 다른 방법으로서, 상기 낮은 표면부(124a)를 형성하기 위한 별도의 건식 식각 공정을 행할 수도 있다.
도 1d를 참조하면, 상기 복수의 제1 마스크 패턴(130) 및 이들 사이에서 노출되는 상기 하드마스크층(124) 위에 버퍼층(140)을 형성한다.
상기 버퍼층(140)은 상기 제1 마스크 패턴(130)의 상면 및 측벽과, 상기 하드마스크층(120)의 낮은 표면부(124a)를 각각 균일한 두께로 덮도록 형성될 수 있다. 바람직하게는, 상기 버퍼층(140)은 상기 제1 마스크 패턴(130)과 상기 하드마스크층(124)의 낮은 표면부(124a)를 각각 상기 제1 두께(d)와 동일한 두께로 균일하게 덮도록 형성된다. 또한 바람직하게는, 상기 버퍼층(140)의 상면에 의해 한정되는 상기 리세스(142)의 제2 폭(W2)이 상기 제1 마스크 패턴(130)의 제1 폭(W1)과 동일한 치수를 가지도록 상기 버퍼층(140)의 두께를 결정한다.
고밀도 패턴 영역(B)에서, 상기 버퍼층(140)은 상기 제1 마스크 패턴(130)의 상면 및 측벽을 균일한 두께로 덮도록 형성된다. 바람직하게는, 상기 고밀도 패턴 영역(B)에서 상기 제1 마스크 패턴(130)의 측벽을 덮는 상기 버퍼층(140)의 폭(a, b)은 상기 제1 피치(2PB)의 1/4인 값, 즉 상기 제1 마스크 패턴(130)의 폭(W1)과 동일한 값이 되도록 형성될 수 있다. 그 결과, 고밀도 패턴 영역(B)에서, 상기 복수의 제1 마스크 패턴(130)중 상호 인접한 2개의 제1 마스크 패턴(130) 사이의 위치에서 상기 버퍼층(140)의 상면에는 리세스(recess)(142)가 형성된다.
저밀도 패턴 영역(A)에서, 상기 복수의 제1 마스크 패턴(130) 중 상호 인접한 2개의 제1 마스크 패턴(130) 사이의 간격이 고밀도 패턴 영역(B)에서보다 더 작은 경우, 즉 도 1d에서 상호 인접한 2 개의 제1 마스크 패턴(130) 사이의 간격(d1)이 고밀도 패턴 영역(B)에서 상기 제1 마스크 패턴(130)의 양 측벽을 덮는 버퍼층(140)의 폭 a 및 b의 합 이하인 경우 [d1 ≤ a+b ]에는 상기 간격(d1) 범위 내에서 상기 버퍼층(140)의 상면에 리세스(142)가 형성되지 않는다.
또한, 저밀도 패턴 영역(A)에서, 상기 복수의 제1 마스크 패턴(130) 중 상호 인접한 2개의 제1 마스크 패턴(130) 사이의 간격이 고밀도 패턴 영역(B)에서보다 더 큰 경우, 특히 상호 인접한 2 개의 제1 마스크 패턴(130) 사이의 간격(d2)이 고밀도 패턴 영역(B)에서 상기 제1 마스크 패턴(130)의 측벽을 덮는 버퍼층(140)의 폭 a 및 b의 합의 2 배 보다 큰 경우 [d2 > 2(a+b)]에는 상기 간격(d2) 범위 내에서 상기 버퍼층(140)의 상면에 리세스(142)가 형성된다.
상기 버퍼층(140)은 상기 하드마스크층(124)을 패터닝하기 위한 식각 마스크로 사용될 상기 제1 마스크 패턴(130)과, 후속 공정에서 상기 리세스(142) 내에 형성될 제2 마스크 패턴(도 1f의 "150a" 참조)의 높이가 상호 동일하게 되도록 하기 위한 버퍼 역할을 한다.
상기 버퍼층(140)은 상기 하드마스크층(124)과 유사한 식각 특성을 가지는 물질로 이루어질 수 있다. 예를 들면, 상기 버퍼층(140)은 상기 하드마스크층(124) 구성 물질과 동일한 물질로 이루어질 수 있다. 또는, 상기 버퍼층(140)은 상기 하드마스크층(124)과 식각 특성은 유사하나 상호 다른 물질로 이루어질 수도 있다. 일 예로서, 상기 하드 마스크층(124) 및 버퍼층(140)은 각각 산화막으로 이루어질 수 있다. 또한, 상기 버퍼층(140)은 ALD (atomic layer deposition) 방법에 의하여 형성된 산화막 또는 질화막으로 이루어질 수 있다. 다른 예로서, 상기 제1 마스크 패턴(130)이 폴리실리콘막으로 이루어지는 경우, 상기 하드 마스크층(124)은 PEOX (plasam enhanced oxide)막으로 이루어지고, 상기 버퍼층(140)은 ALD 방법에 의하여 형성된 산화막으로 이루어질 수 있다.
도 1e를 참조하면, 상기 버퍼층(140) 위에 제2 마스크층(150)을 형성한다. 상기 제2 마스크층(150)은 상기 제1 마스크 패턴(130)과 유사한 식각 특성을 가지는 물질로 이루어질 수 있다. 상기 제2 마스크층(150)은 상기 제1 마스크 패턴(130)과 동일한 물질로 이루어질 수도 있고, 식각 특성은 유사하나 상호 다른 물질로 이루어질 수도 있다. 예를 들면, 상기 제1 마스크 패턴(130) 및 제2 마스크층(150)은 각각 폴리실리콘막으로 이루어질 수 있다. 또는, 상기 제1 마스크 패턴(130)은 질화막으로 이루어지고 상기 제2 마스크층(150)은 폴리실리콘막으로 이루어질 수 있다. 물론, 그 반대의 경우도 가능하다.
고밀도 패턴 영역(B)에서, 상기 버퍼층(140)의 상면에 형성된 상기 리세 스(142)는 상기 제2 마스크층(150)에 의해 완전히 채워지게 된다. 상기 제1 마스크 패턴(130)의 측벽을 덮는 상기 버퍼층(140)의 폭(a, b)이 상기 제1 피치(2PB)의 1/4인 값을 가지는 경우, 고밀도 패턴 영역(B)에서 상기 제2 마스크층(150)중 상기 리세스(142) 내에 채워진 부분의 폭(W2)은 상기 제1 피치(2PB)의 1/4인 값, 즉 상기 제1 마스크 패턴(130)의 폭(W1)과 동일한 값이 될 수 있다. 상기 제2 마스크층(150)은 상기 리세스(142) 내에서 상기 제1 마스크 패턴(130) 연장 방향과 동일한 방향으로 연장된다.
저밀도 패턴 영역(A)에서, 상호 인접한 2 개의 상기 제1 마스크 패턴(130) 사이의 간격이 좁은 경우, 즉 도 1d에서 상기 제1 마스크 패턴(130) 사이의 간격(d1)이 상기 버퍼층(140)의 폭 a 및 b의 합 이하 [d1 ≤ a+b] 인 부분에는, 상기 제1 마스크 패턴(130)의 측벽을 덮는 상기 버퍼층(140)의 폭(a, b)이 상기 제1 피치(2PB)의 1/4인 값을 가질 때 상기 버퍼층(140)의 상면에 리세스(142)가 형성되어 있지 않으므로 상기 간격(d1) 내의 영역에서는 제2 마스크층(150)중 상기 리세스(142) 내에 연장되는 부분이 없다. 반면, 저밀도 패턴 영역(A)에서, 상호 인접한 2 개의 상기 제1 마스크 패턴(130) 사이의 간격이 고밀도 패턴 영역(B)에서보다 더 큰 경우, 특히 상호 인접한 2 개의 제1 마스크 패턴(130) 사이의 간격(d2)이 고밀도 패턴 영역(B)에서 상기 제1 마스크 패턴(130)의 측벽을 덮는 버퍼층(140)의 폭 a 및 b의 합의 2 배 보다 큰 [d2 > 2(a+b)] 부분에서는 상기 버퍼층(140)의 상면에 형성된 리세스(142) 부분에 상기 제2 마스크층(150)이 형성된 후 상기 간격(d2)에 포함되는 영역 내에서 상기 제2 마스크층(150)의 상면에 단차가 형성되고, 상기 단차로 인해 상기 리세스(142)의 일부 공간이 상기 제2 마스크층(150)의 위에서 소정의 폭(W3) 만큼 노출될 수 있다.
도 1f를 참조하면, 상기 제2 마스크층(150)의 일부를 제거하여 고밀도 패턴 영역(B)에서 상기 상기 리세스(142) 내에 제2 마스크 패턴(150a)을 형성한다.
그 결과, 고밀도 패턴 영역(B)에서는 상기 리세스(142) 내에서 상기 제1 마스크 패턴(130) 연장 방향과 동일한 방향으로 연장되는 제2 마스크 패턴(150a)으로 이루어지는 복수의 라인 패턴이 형성된다. 그리고, 상기 복수의 제2 마스크 패턴(150a) 사이에서 상기 제1 마스크 패턴(130)을 덮고 있는 버퍼층(140)이 노출된다. 고밀도 패턴 영역(B)에서 상기 리세스(142) 내에 남아 있는 상기 제2 마스크 패턴(150a)은 상기 제1 마스크 패턴(130)과 대략 동일한 수평면상에 위치된다.
반면, 상기 저밀도 패턴 영역(A)에서는 상기 버퍼층(140)의 상부에 있던 제2 마스크층(150) 부분 뿐 만 아니라 상호 인접한 2 개의 제1 마스크 패턴(130) 사이의 간격(d2)이 d2 > 2(a+b) 인 영역에서 상기 리세스(142) 내에 있던 제2 마스크층(150)까지 완전히 제거된다. 그 결과, 저밀도 패턴 영역(A)에서는 상기 제1 마스크 패턴(130)을 덮고 있는 버퍼층(140)이 완전히 노출된다.
상기 제2 마스크층(150)의 일부를 제거할 때, 고밀도 패턴 영역(B)에서 상기 제2 마스크 패턴(150a)의 상면이 상기 제1 마스크 패턴(130)의 상면과 동일한 레벨로 되도록 상기 제1 마스크층(150)의 식각량을 조절할 수 있다. 상기 제2 마스크층(150)의 일부를 제거하기 위하여 예를 들면 습식 식각 방법을 이용할 수 있다.
도 1g를 참조하면, 상기 버퍼층(140)의 노출된 부분, 즉 상기 버퍼층(140)중 상기 제1 마스크 패턴(130)의 상면을 덮고 있는 부분을 제거하여 저밀도 패턴 영역(A) 및 고밀도 패턴 영역(B)에서 각각 상기 제1 마스크 패턴(130)의 상면을 노출시킨다. 그 결과, 고밀도 패턴 영역(B)에서는 상기 제1 마스크 패턴(130)의 상면 및 제2 마스크 패턴(150a)의 상면이 함께 노출되는 구조가 얻어진다.
이 때, 저밀도 패턴 영역(A) 및 고밀도 패턴 영역(B)에서는 패턴 밀도 차이로 인하여 상기 버퍼층(140)의 식각율이 다르게 나타난다. 즉, 고밀도 패턴 영역(B)에서 상기 제1 마스크 패턴(130)의 상면이 노출되는 시점까지 상기 버퍼층(140)이 식각되는 동안, 저밀도 패턴 영역(A)중 상기 제1 마스크 패턴(130) 사이의 간격(d2)이 d2 > 2(a+b) 인 영역에서는 상기 버퍼층(140)이 거의 다 제거되어 상기 하드마스크층(124)의 상면이 노출되거나 상기 하드마스크층(124)의 일부가 과도식각될 수 있다. 도 1g에 도시한 바와 같이, 저밀도 패턴 영역(A)중 상기 제1 마스크 패턴(130) 사이의 간격(d1)이 d1 ≤ a+b 인 영역에서는 고밀도 패턴 영역(B)에서와 같은 양상으로 상기 제1 마스크 패턴(130)의 상면이 노출되는 시점에서 상호 인접한 2 개의 제1 마스크 패턴(130) 사이의 영역에 상기 버퍼층(140)이 남아 있을 수 있다.
도 1h 및 도 1i를 참조하면, 상기 제1 마스크 패턴(130) 및 제2 마스크 패턴(150a)을 식각 마스크로 하여 이들 사이로 노출되는 상기 버퍼층(140) 및 하드마스크층(124)을 식각하여 상기 피식각막(120)의 상면을 노출시킨다. 이를 위하여 서로 다른 식각 분위기가 조성되는 제1 식각 공정 및 제2 식각 공정을 순차적으로 행한다.
다음에, 상기 피식각막(120)의 상면이 노출될 때까지 상기 버퍼층(140) 및 하드마스크층(124)을 식각하기 위한 제1 식각 공정 (도 1h 참조) 및 제2 식각 공정(도 1i 참조)에 대하여 보다 상세히 설명한다.
먼저 도 1h를 참조하면, RIE (reactive ion etching) 방식의 건식 식각 공정을 이용하여 상기 제1 마스크 패턴(130) 및 제2 마스크 패턴(150a)을 식각 마스크로 하여 이들 사이로 노출되는 상기 버퍼층(140) 및 하드마스크층(124)을 식각하는 제1 식각 공정을 행한다.
상기 버퍼층(140) 및 하드마스크층(124)이 각각 산화막 계열의 물질로 이루어지고 상기 제1 마스크 패턴(130) 및 제2 마스크 패턴(150a)이 각각 폴리실리콘막으로 이루어진 경우, 상기 버퍼층(140) 및 하드마스크층(124)을 식각하기 위하여 상기 제1 식각 공정시의 식각 가스로서 CxFy (x 및 y는 각각 1 내지 10의 정수), O2 및 Ar의 혼합 가스를 사용할 수 있다. 상기 CxFy 가스로서 예를 들면 C4F6 또는 C4F8을 사용할 수 있다. 여기서, 저밀도 패턴 영역(A)에서 상기 피식각막(120)의 상면이 노출될 때까지 식각 공정중에 폴리머(polymer) 부산물 생성이 억제되는 식각 분위기를 조성한다. 폴리머 부산물 생성이 억제되는 식각 분위기를 조성하기 위하여, 상기 식각 가스 중 O2 가스 및 CxFy 가스의 유량비 (O2 가스의 유량/CxFy 가스의 유량, 부피비)를 O2 가스의 유량이 비교적 높은 제1 유량비로 설정한 식각 분위기를 조성할 수 있다. 예를 들면, 상기 제1 식각 공정에서 CxFy, O2 및 Ar을 각각 30 sccm, 55 sccm 및 1000 sccm의 유량으로 공급할 수 있다. 이 경우, O2 가스 및 CxFy 가스의 제1 유량비 (O2 가스 유량 : CxFy 가스의 유량)는 55:30이다. 본 예에서 예시된 제1 유량비는 제한적인 것은 아니며, 패턴의 크기 및 밀도, 각 막질의 종류 등에 따라 달라질 수 있다. 상기 제1 식각 공정은 상온에서 행해질 수 있다.
여기서, 상기 버퍼층(140) 및 하드마스크층(124)을 식각하는 데 있어서, 도 1g에 각각 나타낸 바와 같이 이미 하드마스크층(124)의 상면이 노출되어 있는 저밀도 패턴 영역(A)에서는 상기 피식각막(120)을 노출시키기 위하여 남아 있는 하드마스크층(124)의 두께에 대응되는 제1 두께(T1) 만큼 식각하여야 하고, 고밀도 패턴 영역(B)에서는 상기 피식각막(120)을 노출시키기 위하여 상기 하드마스크층(120) 및 그 위에 남아 있는 버퍼층(140)의 두께의 합인 제2 두께(T2) 만큼 식각하여야 한다. 이와 같은 저밀도 패턴 영역(A) 및 고밀도 패턴 영역(B)에서의 식각 두께 차이로 인하여, 상기 제1 식각 공정을 행하는 동안 고밀도 패턴 영역(B)에서는 상기 하드마스크층(124)의 식각이 완료되지 않은 상태에서 저밀도 패턴 영역(A)에서는 하드마스크층(124)의 식각이 완료되어 피식각막(120)이 먼저 노출된다. 즉, 저밀도 패턴 영역(A)중 상기 제1 마스크 패턴(130) 사이의 간격(d2)이 d2 > 2(a+b) 인 영역에서는 상기 하드마스크층(124)이 다 제거되어 상기 피식각막(120)의 상면이 노출되는 시점에서 고밀도 패턴 영역(B)에서는 하드마스크층(124)의 일부가 식각되지 않고 남아 있는 상태로 있게 된다. 이 상태에서 상기 제1 식각 공정을 계속 진행하는 경우, 저밀도 패턴 영역(A)에서는 상기 피식각막(120)까지 과도식각되어 도 1g에 나타낸 바와 같은 식각 두께(T1, T2) 차이가 상기 피식각막(120)까지 그대로 전사될 수 있다. 따라서, 상기와 같은 결과를 방지하기 위하여, 저밀도 패턴 영역(A)에서 상기 피식각막(120)의 상면이 노출되는 시점, 특히 상기 제1 마스크 패턴(130) 사이의 간격(d2)이 d2 > 2(a+b) 인 영역에서 상기 피식각막(120)의 상면이 노출되는 시점에 상기 제1 식각 공정을 종료한다.
이어서, 도 1i를 참조하면, 상기 제1 식각 공정에 연속하여 인시튜(in-situ)로 제2 식각 공정을 진행한다. 상기 제2 식각 공정에서는 상기 제1 식각 공정 후 남아 있는 상기 하드마스크층(124)의 잔류 부분중 상호 인접한 2 개의 제1 마스크 패턴(130) 사이, 또는 상기 제1 마스크 패턴(130)과 상기 제2 마스크 패턴(150a)과의 사이에 존재하는 나머지 부분을 제거하여, 저밀도 패턴 영역(A) 및 고밀도 패턴 영역(B)에서 각각 상기 제1 마스크 패턴(130) 및 제2 마스크 패턴(150a) 사이로 상기 피식각막(120)의 상면을 노출시킨다.
저밀도 패턴 영역(A) 및 고밀도 패턴 영역(B)에서 각각 상기 피식각막(120)의 상면이 노출될 때까지 상기 하드마스크층(124)을 식각하기 위하여, iRIE 래그 (inverse reactive ion etching lag) 방식의 건식 식각 공정을 이용할 수 있다. 즉, 상기 제1 식각 공정시보다 폴리머 부산물 발생량이 많아지는 식각 분위기하에서 제2 식각 공정을 행한다. iRIE 래그 방식의 상기 제2 식각 공정시, 저밀도 패턴 영역(A)중 간격(d2)이 d2 > 2(a+b) 인 영역에서와 같이 비교적 작은 종횡비(aspect ratio)를 가지는 개구(opening) 내에서는 폴리머 부산물의 퇴적이 용이하여 노출된 피식각막(120)의 상면에 폴리머 부산물(160)이 퇴적된다. 이와 같이 퇴적된 폴리머 부산물(160)에 의해 저밀도 패턴 영역(A)에서 노출되어 있던 상기 피식각막(120)이 식각되는 것을 방지할 수 있다. 저밀도 패턴 영역(A)에서 노출된 피식각막(120) 위에 폴리머 부산물(160)이 퇴적되는 동안, 고밀도 패턴 영역(B)에서는 상기 제1 마스크 패턴(130)과 제2 마스크 패턴(150a)과의 사이에서 노출되는 하드마스크층(124)의 식각이 원활하게 이루어져서 상기 피식각막(120)의 상면을 노출시키는 하드마스크 패턴(124b)이 얻어진다. 그 결과, 고밀도 패턴 영역(B)에서 상기 제1 마스크 패턴(130)과 제2 마스크 패턴(150a)과의 사이로 피식각막(120)의 상면이 노출된다. 상기 하드마스크 패턴(124b)이 얻어질 때까지 식각 공정을 거치는 동안 식각 마스크로 사용된 상기 제1 마스크 패턴(130) 및 제2 마스크 패턴(150a)은 도 1i에 도시된 바와 같이 그 일부 또는 전부가 소모될 수 있다.
상기 하드마스크층(124)이 산화막 계열의 물질로 이루어지고 상기 제1 마스크 패턴(130) 및 제2 마스크 패턴(150a)이 각각 폴리실리콘막으로 이루어진 경우, 상기 제2 식각 공정시의 식각 가스로서 CxFy (x 및 y는 각각 1 내지 10의 정수), O2 및 Ar의 혼합 가스를 사용할 수 있다. 상기 CxFy 가스로서 예를 들면 C4F6 또는 C4F8을 사용할 수 있다. 여기서, 상기 제2 식각 공정에서 상기 제1 식각 공정시보다 폴리머 부산물 생성량이 많아지는 식각 분위기를 조성하기 위하여, 상기 제1 식각 공정시와 동일한 조성의 식각 가스를 이용하되, 식각 가스 내의 O2 가스 함량을 낮출 수 있다. 즉, 제2 식각 공정시에는 O2 가스의 유량이 제1 식각 공정시보다 더 낮은 제2 유량비로 설정한 식각 분위기를 조성할 수 있다. 예를 들면, 상기 제2 식각 공정에서 CxFy, O2 및 Ar을 각각 30 sccm, 35 sccm 및 1000 sccm의 유량으로 공급할 수 있다. 이 경우, 상기 O2 가스 및 CxFy 가스의 제2 유량비 (O2 가스 유량 : CxFy 가스의 유량)는 35:30이다. 본 예에서 예시된 제2 유량비는 제한적인 것은 아니며, 패턴의 크기 및 밀도, 각 막질의 종류 등에 따라 달라질 수 있다.
또는, 상기 iRIE 래그 방식의 상기 제2 식각 공정을 행하는 데 있어서, 상기 제1 식각 공정시보다 폴리머 부산물 생성량이 많아지는 제2 식각 공정을 행하기 위하여 상기 제2 식각 공정시의 식각 가스의 조성 및 유량비는 제1 식각 공정시와 동일하게 설정하면서 상기 제1 식각 공정시의 식각 온도 보다 더 낮은 식각 온도 (예를 들면, 약 -5℃)로 설정하는 방법을 이용할 수도 있다. 또는, 상기 제2 식각 공정시, O2 가스의 유량이 제1 식각 공정시보다 더 낮게 설정된 제2 유량비를 적용하는 동시에 상기 제1 식각 공정시의 식각 온도 보다 더 낮은 식각 온도를 적용하는 방법도 가능하다.
도 1j를 참조하면, 상기 저밀도 패턴 영역(A)에 퇴적되어 있는 폴리머 부산물(160)을 제거한다.
상기 폴리머 부산물(160)을 제거하기 위하여, 예를 들면 CHF3 및 CH2F2 중에서 선택되는 적어도 하나의 가스, O2 및 Ar의 혼합 가스를 사용하는 플라즈마 방식의 건식 식각 공정을 이용할 수 있다. 또는, 상기 폴리머 부산물(160)을 제거하기 위하여 통상의 애싱(ashing) 및 스트립(strip) 공정을 이용할 수도 있다.
그 결과, 저밀도 패턴 영역(A) 및 고밀도 패턴 영역(B)에서 각각 상기 피식각막(120)의 상면을 노출시키는 하드마스크 패턴(124b)이 얻어진다. 여기서, 상기 하드마스크 패턴(124b)은 고밀도 패턴 영역(B)에서는 상기 제1 피치(2PB)의 1/4인 폭(W2), 즉 상기 제1 마스크 패턴(130)의 폭(W1)과 대략 동일한 폭을 가질 수 있다. 또한, 고밀도 패턴 영역(B)에서 상기 하드마스크 패턴(124b)은 상기 제1 마스크 패턴(130) 및 제2 마스크 패턴(150a)에 의하여 상기 기판(100)상에 상기 제1 피치(2PB)의 1/2인 피치(PB)를 가지는 라인 앤드 스페이스 패턴 (line and space pattern)의 구조를 가진다. 그리고, 상기 하드마스크 패턴(124b)은 저밀도 패턴 영역(A)에서는 도 1b를 참조하여 설명한 바와 같은 제1 마스크 패턴(130)의 피치(PA)와 동일한 피치, 즉 저밀도 패턴 영역(A)에서 상기 피식각막(120)에 최종적으로 형성하고자 하는 패턴의 피치(PA)와 동일한 피치(PA)로 반복 형성되어 있는 패턴 구조를 가진다.
도 1k를 참조하면, 상기 하드마스크 패턴(124b)과, 그 위에 남아 있는 제1 마스크 패턴(130) 및 제2 마스크 패턴(150a)을 식각 마스크로 사용하여 상기 피식각막(120)을 이방성 건식 식각하여 미세 패턴(120a)을 형성한다.
상기 저밀도 패턴 영역(A)에서는 상기 제1 마스크 패턴(130)에 의하여만 상기 피식각막(120)에 패턴 전사가 이루어지는 반면, 상기 고밀도 패턴 영역(B)에서는 상기 제1 마스크 패턴(130) 및 제2 마스크 패턴(150a)에 의하여 상기 피식각막(120)에 패턴 전사가 이루어진다. 따라서, 고밀도 패턴 영역(B)에서 포토리소그래피 공정의 해상 한계를 초월하는 미세한 피치의 패턴을 용이하게 구현할 수 있다.
또한, 저밀도 패턴 영역(A) 및 고밀도 패턴 영역(B)에서 식각시 제거되어야 할 식각 대상막의 두께 차이가 있음에도 불구하고 도 1h 및 도 1i를 참조하여 설명한 바와 같이 하드마스크층(124) 패터닝을 위한 버퍼층(140) 및 하드마스크층(124) 식각 공정을 RIE 공정 및 iRIE 래그 공정을 이용하는 2 단계 식각 공정을 이용하여 행함으로써 상기 피식각막(120)의 패터닝 후 얻어지는 피식각막 패턴(120a)에서 패턴 밀도에 따라 단차가 발생하거나 패턴 프로파일이 서로 달라지는 등의 문제를 방지할 수 있다.
도 2는 도 1h 및 도 1i를 참조하여 설명한 하드마스크층(124) 패터닝 공정에서 RIE 공정 및 iRIE 래그 공정을 이용하는 2 단계 식각 공정시 사용되는 식각 가스 내의 O2 유량에 따른 산화막 식각량을 측정한 결과를 나타낸 그래프이다.
도 2의 평가를 위하여, RIE 방식의 식각 장비에서 소스 파워(Ws)는 1200 W, 바이어스 파워(Wb)는 3500 W, 압력은 20 mT, 온도는 20 ℃로 설정된 분위기에서, 폭이 40 nm인 복수의 폴리실리콘막 패턴이 65 nm의 피치로 형성된 저밀도 패턴 영역과, 폭이 120 nm인 복수의 폴리실리콘막 패턴이 1 ㎛의 피치로 형성된 고밀도 패턴 영역 각각에서, 상기 복수의 폴리실리콘막 패턴을 식각 마스크로 하여 상기 복수의 폴리실리콘막 패턴 사이에 채워진 산화막을 식각하였을 때, O2 유량에 따른 산화막 식각율을 측정하였다. 상기 산화막은 ALD 방식으로 형성되었다. 본 평가에서, 산화막 식각 가스로서 30 sccm의 유량으로 공급되는 C4F6와, 1000 sccm의 유량으로 공급되는 Ar과, 도 2에 나타낸 바와 같이 다양한 유량으로 공급되는 O2 의 혼합 가스를 사용하였다.
도 2의 결과에서, O2 유량이 약 40 sccm 이상인 경우, 저밀도 패턴 영역 및 고밀도 영역에서 모두 산화막 식각율이 비교적 큰 반면, O2 유량이 약 40 sccm 보다 작은 경우, 고밀도 패턴 영역에서는 산화막 식각율이 비교적 높지만 저밀도 패턴 영역에서는 산화막이 전혀 식각되지 않았다. 즉, O2 유량이 약 40 sccm 이상인 경우에는 식각 분위기중에 폴리머 부산물 발생량이 적어 저밀도 패턴 영역 및 고밀도 영역에서 모두 산화막 식각이 이루어지는 반면, O2 유량이 약 40 sccm 보다 작은 경우에는 폴리머 부산물 발생량이 증가되어 폴리머 부산물이 퇴적되기 쉬운 저밀도 패턴 영역에서는 산화막이 퇴적된 폴리머 부산물에 의해 덮여 산화막 식각이 이루 어지 않은 것을 알 수 있다.
본 발명에 따른 반도체 소자의 미세 패턴 형성 방법에서는 더블 패터닝 공정을 이용하여 동일한 기판상에 다양한 크기 및 다양한 피치의 패턴을 동시에 형성하는 데 있어서, 패턴 밀도가 서로 다른 각 영역에서 식각되어야 할 두께가 서로 다른 경우에, 패턴 밀도에 따라 서로 다른 두께를 가지는 막을 식각하기 위하여 폴리머 부산물 발생량을 변화시키는 2 단계 식각 공정을 이용한다. 본 발명에 따른 미세 패턴 형성 방법에서 이용되는 2 단계 식각 공정에서, 제1 식각 단계에서는 폴리머 부산물 발생이 억제되는 조건하에서 저밀도 패턴 영역에서 피식각막이 노출될 때까지 저밀도 패턴 영역 및 고밀도 패턴 영역에서 RIE 방식의 식각 공정을 행한다. 상기 저밀도 패턴 영역에서 피식각막의 표면이 노출되는 시점에 식각 분위기를 폴리머 부산물을 다량 발생시키는 조건으로 변경시켜, 저밀도 패턴 영역에서는 노출된 피식각막 위에 폴리머 부산물이 퇴적되고 고밀도 패턴 영역에서는 하드마스크층이 식각되도록 iRIE 래그 공정에 따른 제2 식각 단계를 행한다.
따라서, 본 발명에 따른 반도체 소자의 미세 패턴 형성 방법에 따르면, 패턴 밀도가 서로 다른 각 영역에서 식각되어야 할 막의 두께가 서로 다른 경우에도 상기 두께 차이에 따른 단차가 피식각막에 전사되는 것을 효과적으로 방지할 수 있으며, 따라서 포토리소그래피 공정에서의 해상 한계를 극복할 수 있는 미세 피치의 패턴을 용이하게 구현할 수 있다.
이상, 본 발명을 바람직한 실시예를 들어 상세하게 설명하였으나, 본 발명은 상기 실시예에 한정되지 않고, 본 발명의 기술적 사상 및 범위 내에서 당 분야에서 통상의 지식을 가진 자에 의하여 여러가지 변형 및 변경이 가능하다.

Claims (20)

  1. 피식각막을 포함하고 있는 기판상의 제1 영역 및 제2 영역에 하드마스크층을 형성하는 단계와,
    상기 제1 영역에서는 제1 패턴 밀도를 가지고 상기 제2 영역에서는 상기 제1 패턴 밀도보다 큰 제2 패턴 밀도를 가지도록 반복 형성되어 있는 복수의 마스크 패턴과, 상기 제2 영역에서는 상기 복수의 마스크 패턴 각각의 양 측벽을 소정의 폭으로 덮고 있고 상기 제1 영역에서는 상기 복수의 마스크 패턴 중 일부의 측벽은 덮지 않는 버퍼층을 상기 하드마스크층 위에 형성하는 단계와,
    상기 복수의 마스크 패턴을 식각 마스크로 하여 상기 제1 영역에서 상기 피식각막의 제1 표면이 노출될 때까지 제1 식각 분위기하에서 상기 제1 영역 및 제2 영역에서 상기 버퍼층 및 하드마스크층을 RIE (reactive ion etching) 방식으로 식각하는 제1 식각 단계와,
    상기 제1 영역에서는 상기 피식각막의 제1 표면이 노출되어 있고 상기 제2 영역에서는 상기 피식각막이 노출되어 있지 않은 상태에서, 상기 마스크 패턴을 식각 마스크로 하여, 상기 제1 식각 분위기에서보다 폴리머 부산물 발생량이 많은 제2 식각 분위기하에서 상기 제1 영역에서는 노출되어 있는 상기 피식각막의 제1 표면 위에 폴리머 부산물을 퇴적시키면서 상기 제2 영역에서는 상기 하드마스크층을 상기 피식각막의 제2 표면이 노출될 때까지 식각하여 하드마스크 패턴을 형성하는 제2 식각 단계와,
    상기 피식각막의 제1 표면이 노출되도록 상기 제1 표면 위에 퇴적되어 있는 폴리머 부산물을 제거하는 단계와,
    상기 하드마스크 패턴을 식각 마스크로 하여 상기 피식각막의 노출된 제1 표면 및 제2 표면을 식각하여 피식각막 패턴을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  2. 제1항에 있어서,
    상기 마스크 패턴 및 버퍼층을 형성하는 단계에서, 상기 버퍼층은 상기 제2 영역에서 상기 마스크 패턴의 양 측벽을 각각 a 및 b의 폭으로 덮도록 형성되고,
    상기 제1 영역에서 상기 복수의 마스크 패턴중 상호 인접한 2 개의 마스크 패턴 사이의 간격은 2(a+b) 보다 큰 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  3. 제1항에 있어서,
    상기 제1 식각 분위기 및 제2 식각 분위기는 각각 O2 를 포함하는 동일한 식각 가스 성분들로 이루어지고,
    상기 제2 식각 분위기에서의 O2 유량은 상기 제1 식각 분위기에서의 O2 유량보다 더 작은 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  4. 제1항에 있어서,
    상기 제1 식각 분위기 및 제2 식각 분위기는 각각 동일한 식각 가스 성분들로 이루어지고,
    상기 제2 식각 분위기의 온도는 상기 제1 식각 분위기의 온도보다 더 낮은 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  5. 제1항에 있어서,
    상기 버퍼층 및 하드마스크층은 산화막으로 이루어지고,
    상기 마스크 패턴은 폴리실리콘막으로 이루어지고,
    상기 제1 식각 분위기 및 제2 식각 분위기는 CxFy (x 및 y는 각각 1 내지 10의 정수), O2 및 Ar의 혼합 가스로 이루어지는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  6. 제3항에 있어서,
    상기 제1 식각 단계 후 제2 식각 단계 전에, 상기 피식각막의 제1 표면이 노출되었을 때 상기 제1 식각 분위기를 상기 제2 식각 분위기로 전환시키는 단계를 더 포함하고,
    상기 제1 식각 분위기를 상기 제2 식각 분위기로 전환시키기 위하여 상기 제1 식각 분위기중의 다른 조건들은 제1 식각 분위기에서와 동일하게 유지하면서 O2 의 유량을 줄이는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  7. 제4항에 있어서,
    상기 제1 식각 단계 후 제2 식각 단계 전에 상기 피식각막의 제1 표면이 노출되었을 때 상기 제1 식각 분위기를 상기 제2 식각 분위기로 전환시키는 단계를 더 포함하고,
    상기 제1 식각 분위기를 상기 제2 식각 분위기로 전환시키기 위하여 상기 제1 식각 분위기중의 다른 조건들은 제1 식각 분위기에서와 동일하게 유지하면서 상기 제2 식각 분위기에서의 식각 온도를 상기 제1 식각 분위기에서의 식각 온도보다 더 낮추는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  8. 제1항에 있어서,
    상기 폴리머 부산물을 제거하기 위하여 플라즈마 방식의 건식 식각 공정을 이용하는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  9. 제5항에 있어서,
    상기 폴리머 부산물을 제거하기 위하여 CHF3 및 CH2F2 중에서 선택되는 적어도 하나의 가스, O2 및 Ar의 혼합 가스를 사용하는 플라즈마 방식의 건식 식각 공정을 이용하는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  10. 제1항에 있어서,
    상기 폴리머 부산물을 제거하기 위하여 애싱 및 스트립 공정을 이용하는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  11. 제1항에 있어서,
    상기 제1 식각 단계는 상기 제1 영역에는 상기 복수의 마스크 패턴 사이로 상기 하드마스크층이 노출되어 있고 상기 제2 영역에는 상기 복수의 마스크 패턴 사이로 상기 버퍼층이 노출되어 있는 상태에서 행해지는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성방법.
  12. 제1항에 있어서,
    상기 피식각막은 금속, 반도체, 또는 절연 물질로 이루어지는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  13. 제1항에 있어서,
    상기 마스크 패턴은 상기 제1 영역 및 제2 영역에 각각 형성되는 제1 마스크 패턴과, 상기 제2 영역에만 형성되는 제2 마스크 패턴을 포함하고,
    상기 마스크 패턴 및 버퍼층을 형성하는 단계는
    상기 제1 영역에서는 제1 패턴 밀도를 가지도록 소정의 피치로 반복 형성되고 상기 제2 영역에서는 상기 제2 패턴 밀도보다 2 배 큰 제3 패턴 밀도를 가지도 록 제1 피치로 반복 형성되는 복수의 제1 마스크 패턴을 형성하는 단계와,
    상기 제1 마스크 패턴의 상면 및 측벽과 상기 하드마스크층의 상면을 덮는 상기 버퍼층을 형성하는 단계와,
    상기 제2 영역에서 상기 복수의 제1 마스크 패턴중 상호 인접한 2 개의 제1 마스크 패턴 사이에 1 개씩 위치되는 복수의 제2 마스크 패턴을 상기 버퍼층 위에 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  14. 제13항에 있어서,
    상기 버퍼층은 상기 복수의 제1 마스크 패턴중 상호 인접한 2 개의 제1 마스크 패턴 사이의 위치에 리세스(recess)가 형성되어 있는 상면을 가지도록 형성되고,
    상기 제2 마스크 패턴은 상기 버퍼층의 상면에 형성된 상기 리세스 내에 형성되는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  15. 제13항에 있어서,
    상기 제2 마스크 패턴은 상기 제1 마스크 패턴과 동일한 수평면상에 형성되는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  16. 제13항에 있어서,
    상기 제2 마스크 패턴이 형성된 후, 상기 제1 마스크 패턴의 상면이 노출되도록 상기 버퍼층의 일부를 제거하는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  17. 제13항에 있어서,
    상기 복수의 제1 마스크 패턴을 형성한 후, 상기 버퍼층을 형성하기 전에, 상기 복수의 제1 마스크 패턴을 통해 노출되는 상기 하드마스크층을 그 상면으로부터 제1 두께 만큼 제거하여 상기 하드마스크층의 상면에 낮은 표면부를 형성하는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  18. 제17항에 있어서,
    상기 제1 두께는 상기 제1 마스크 패턴의 폭과 동일한 치수를 가지는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  19. 제13항에 있어서,
    상기 제1 마스크 패턴은 상기 제1 피치의 1/4인 폭을 가지도록 형성되는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  20. 제13항에 있어서,
    상기 제1 마스크 패턴 및 제2 마스크 패턴은 폴리실리콘막으로 이루어지고,
    상기 버퍼층 및 하드마스크층은 산화막으로 이루어지는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
KR1020070012347A 2007-02-06 2007-02-06 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법 KR100843236B1 (ko)

Priority Applications (5)

Application Number Priority Date Filing Date Title
KR1020070012347A KR100843236B1 (ko) 2007-02-06 2007-02-06 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법
US11/810,200 US7601647B2 (en) 2007-02-06 2007-06-05 Method of forming fine patterns of semiconductor device using double patterning
DE102008007671.6A DE102008007671B4 (de) 2007-02-06 2008-01-25 Verfahren zur Bildung feiner Strukturen eines Halbleiterbauelements
JP2008026786A JP5492381B2 (ja) 2007-02-06 2008-02-06 ダブルパターニング工程を用いる半導体素子の微細パターン形成方法
CN2008100097317A CN101241842B (zh) 2007-02-06 2008-02-13 利用双图案化形成半导体器件的精细图案的方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020070012347A KR100843236B1 (ko) 2007-02-06 2007-02-06 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법

Publications (1)

Publication Number Publication Date
KR100843236B1 true KR100843236B1 (ko) 2008-07-03

Family

ID=39676542

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070012347A KR100843236B1 (ko) 2007-02-06 2007-02-06 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법

Country Status (5)

Country Link
US (1) US7601647B2 (ko)
JP (1) JP5492381B2 (ko)
KR (1) KR100843236B1 (ko)
CN (1) CN101241842B (ko)
DE (1) DE102008007671B4 (ko)

Cited By (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100983711B1 (ko) 2008-08-29 2010-09-24 주식회사 하이닉스반도체 반도체소자의 형성방법
US8247291B2 (en) 2010-01-28 2012-08-21 Samsung Electronics Co., Ltd. Methods of forming fine patterns in integrated circuit devices and methods of manufacturing integrated circuit devices including the same
US8263487B2 (en) 2008-12-31 2012-09-11 Samsung Electronics Co., Ltd. Method of forming patterns of semiconductor device
KR20130141639A (ko) * 2010-12-14 2013-12-26 어플라이드 머티어리얼스, 인코포레이티드 2개의 스테이지들에서의 균일한 건식 에칭
US8618679B2 (en) 2009-09-15 2013-12-31 Samsung Electronics Co., Ltd. Pattern structures in semiconductor devices
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101291766B1 (ko) * 2007-06-07 2013-08-01 도쿄엘렉트론가부시키가이샤 패터닝 방법
US8058176B2 (en) * 2007-09-26 2011-11-15 Samsung Electronics Co., Ltd. Methods of patterning insulating layers using etching techniques that compensate for etch rate variations
US20090209097A1 (en) * 2008-02-15 2009-08-20 Thomas Schulz Method of forming interconnects
JP4756063B2 (ja) * 2008-08-15 2011-08-24 株式会社東芝 半導体装置の製造方法
US8132128B2 (en) * 2008-10-31 2012-03-06 Synopsys, Inc. Method and system for performing lithography verification for a double-patterning process
JP5515459B2 (ja) * 2009-07-06 2014-06-11 ソニー株式会社 半導体デバイスの製造方法
US7786017B1 (en) 2009-09-17 2010-08-31 International Business Machines Corporation Utilizing inverse reactive ion etching lag in double patterning contact formation
US8232210B2 (en) * 2009-09-18 2012-07-31 International Business Machines Corporation Double patterning process for integrated circuit device manufacturing
JP2011176150A (ja) * 2010-02-24 2011-09-08 Elpida Memory Inc 半導体装置の製造方法
US8298953B2 (en) * 2010-12-20 2012-10-30 Infineon Technologies Ag Method for defining a separating structure within a semiconductor device
US8314034B2 (en) * 2010-12-23 2012-11-20 Intel Corporation Feature size reduction
FR2972293A1 (fr) * 2011-03-04 2012-09-07 St Microelectronics Crolles 2 Procédé de fabrication d'un circuit intégré sur la formation de lignes et de tranches
US8377632B2 (en) * 2011-05-29 2013-02-19 Nanya Technology Corp. Method of reducing microloading effect
TWI476832B (zh) 2011-09-28 2015-03-11 Tokyo Electron Ltd 蝕刻方法及裝置
KR101871748B1 (ko) 2011-12-06 2018-06-28 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US9735087B2 (en) 2012-09-20 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer level embedded heat spreader
CN104167348B (zh) * 2013-05-17 2017-02-22 中芯国际集成电路制造(上海)有限公司 形成间隔物图案掩模的方法
KR102249196B1 (ko) * 2014-10-06 2021-05-11 삼성전자주식회사 반도체 소자의 미세 패턴의 형성을 위한 식각 공정의 제어 방법
JP6483577B2 (ja) 2015-09-09 2019-03-13 東芝メモリ株式会社 パターン形成方法
KR102250656B1 (ko) 2015-10-08 2021-05-11 삼성전자주식회사 반도체 소자의 패턴 형성 방법
KR102491694B1 (ko) * 2016-01-11 2023-01-26 삼성전자주식회사 반도체 소자의 제조 방법
KR102564551B1 (ko) * 2016-01-26 2023-08-04 삼성전자주식회사 반도체 소자의 제조 방법
CN107546323B (zh) * 2016-06-29 2020-09-08 上海磁宇信息科技有限公司 一种磁性隧道结钽掩模的制备方法
CN108231770B (zh) * 2016-12-22 2021-05-04 联华电子股份有限公司 形成图案的方法
KR102609924B1 (ko) 2018-01-10 2023-12-07 삼성전자주식회사 반도체 소자의 제조 방법
US10475648B1 (en) 2018-05-01 2019-11-12 United Microelectronics Corp. Method for patterning a semiconductor structure
JP7196295B2 (ja) * 2018-10-31 2022-12-26 アプライド マテリアルズ インコーポレイテッド テーパー状の傾斜したフィンを作製するための制御されたハードマスク成形
TWI736820B (zh) * 2018-12-27 2021-08-21 力晶積成電子製造股份有限公司 半導體元件的製造方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06151382A (ja) * 1992-11-11 1994-05-31 Toshiba Corp ドライエッチング方法
KR19980021248A (ko) * 1996-09-14 1998-06-25 김광호 반도체소자 미세패턴 형성방법
KR20050052213A (ko) * 2003-11-29 2005-06-02 주식회사 하이닉스반도체 반도체 소자의 미세 라인 형성방법

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR0165399B1 (ko) 1995-05-29 1999-02-01 김광호 미세패턴 형성방법
DE19706682C2 (de) * 1997-02-20 1999-01-14 Bosch Gmbh Robert Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium
KR100257149B1 (ko) 1997-06-24 2000-05-15 김영환 반도체 소자의 제조 방법
JP4209774B2 (ja) * 2001-09-28 2009-01-14 住友精密工業株式会社 シリコン基板のエッチング方法およびエッチング装置
KR100476924B1 (ko) * 2002-06-14 2005-03-17 삼성전자주식회사 반도체 장치의 미세 패턴 형성 방법
JP4034164B2 (ja) * 2002-10-28 2008-01-16 富士通株式会社 微細パターンの作製方法及び半導体装置の製造方法
JP4619839B2 (ja) * 2005-03-16 2011-01-26 株式会社東芝 パターン形成方法
KR100640640B1 (ko) 2005-04-19 2006-10-31 삼성전자주식회사 미세 피치의 하드마스크를 이용한 반도체 소자의 미세 패턴형성 방법
JP4652140B2 (ja) * 2005-06-21 2011-03-16 東京エレクトロン株式会社 プラズマエッチング方法、制御プログラム、コンピュータ記憶媒体

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06151382A (ja) * 1992-11-11 1994-05-31 Toshiba Corp ドライエッチング方法
KR19980021248A (ko) * 1996-09-14 1998-06-25 김광호 반도체소자 미세패턴 형성방법
KR20050052213A (ko) * 2003-11-29 2005-06-02 주식회사 하이닉스반도체 반도체 소자의 미세 라인 형성방법

Cited By (109)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100983711B1 (ko) 2008-08-29 2010-09-24 주식회사 하이닉스반도체 반도체소자의 형성방법
US8263487B2 (en) 2008-12-31 2012-09-11 Samsung Electronics Co., Ltd. Method of forming patterns of semiconductor device
US9558993B2 (en) 2009-09-15 2017-01-31 Samsung Electronics Co., Ltd. Pattern structures in semiconductor devices and methods of forming pattern structures in semiconductor devices
US8618679B2 (en) 2009-09-15 2013-12-31 Samsung Electronics Co., Ltd. Pattern structures in semiconductor devices
US8247291B2 (en) 2010-01-28 2012-08-21 Samsung Electronics Co., Ltd. Methods of forming fine patterns in integrated circuit devices and methods of manufacturing integrated circuit devices including the same
KR101931134B1 (ko) * 2010-12-14 2018-12-20 어플라이드 머티어리얼스, 인코포레이티드 2개의 스테이지들에서의 균일한 건식 에칭
KR20130141639A (ko) * 2010-12-14 2013-12-26 어플라이드 머티어리얼스, 인코포레이티드 2개의 스테이지들에서의 균일한 건식 에칭
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Also Published As

Publication number Publication date
JP5492381B2 (ja) 2014-05-14
US7601647B2 (en) 2009-10-13
CN101241842B (zh) 2011-06-29
DE102008007671A1 (de) 2008-09-11
CN101241842A (zh) 2008-08-13
US20080188083A1 (en) 2008-08-07
JP2008193098A (ja) 2008-08-21
DE102008007671B4 (de) 2018-07-26

Similar Documents

Publication Publication Date Title
KR100843236B1 (ko) 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법
KR100790999B1 (ko) 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법
KR100640640B1 (ko) 미세 피치의 하드마스크를 이용한 반도체 소자의 미세 패턴형성 방법
KR100780944B1 (ko) 탄소함유막 식각 방법 및 이를 이용한 반도체 소자의 제조방법
KR100734464B1 (ko) 미세 피치의 하드마스크 패턴 형성 방법 및 이를 이용한반도체 소자의 미세 패턴 형성 방법
TWI426344B (zh) 形成硬遮罩之方法以及利用其形成半導體裝置細微圖案之方法
KR100955265B1 (ko) 반도체 소자의 미세패턴 형성방법
US7585727B2 (en) Method for fabricating semiconductor device having bulb-shaped recess gate
KR20090076743A (ko) 반도체 소자의 미세 패턴 형성 방법
KR100704475B1 (ko) 듀얼 폴리 리세스 게이트를 갖는 반도체 소자의 제조방법
KR100714287B1 (ko) 반도체 소자의 패턴 형성방법
KR100843239B1 (ko) 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법
JP2004119905A (ja) ポリシリコンエッチング方法
KR20070113604A (ko) 반도체 소자의 미세패턴 형성방법
US20060094181A1 (en) Method for fabricating semiconductor device having a trench structure
KR100832015B1 (ko) 반도체 소자의 콘택홀 형성 방법
KR100782479B1 (ko) 질화막을 구비한 마스크 형성방법
KR20070062735A (ko) 반도체 소자의 소자분리막 제조방법
KR100912958B1 (ko) 반도체 소자의 미세 패턴 제조 방법
KR100505596B1 (ko) 반도체 장치의 제조공정에 있어서 콘택 형성방법
KR100956598B1 (ko) 듀얼 게이트 산화막 구조의 게이트 형성방법
KR100772532B1 (ko) 반도체 소자 제조 방법
KR20090000468A (ko) 반도체 소자의 미세패턴 제조방법
KR20050117108A (ko) 반도체 소자의 콘택홀 형성 방법
KR20090017110A (ko) 반도체 소자의 미세 패턴 형성 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130531

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140530

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20150601

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20160531

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20190530

Year of fee payment: 12