KR100640640B1 - 미세 피치의 하드마스크를 이용한 반도체 소자의 미세 패턴형성 방법 - Google Patents

미세 피치의 하드마스크를 이용한 반도체 소자의 미세 패턴형성 방법 Download PDF

Info

Publication number
KR100640640B1
KR100640640B1 KR1020050032297A KR20050032297A KR100640640B1 KR 100640640 B1 KR100640640 B1 KR 100640640B1 KR 1020050032297 A KR1020050032297 A KR 1020050032297A KR 20050032297 A KR20050032297 A KR 20050032297A KR 100640640 B1 KR100640640 B1 KR 100640640B1
Authority
KR
South Korea
Prior art keywords
film
pattern
hard mask
forming
line pattern
Prior art date
Application number
KR1020050032297A
Other languages
English (en)
Other versions
KR20060110097A (ko
Inventor
이지영
우상균
박준수
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020050032297A priority Critical patent/KR100640640B1/ko
Priority to US11/367,437 priority patent/US7473647B2/en
Priority to JP2006113557A priority patent/JP5052814B2/ja
Publication of KR20060110097A publication Critical patent/KR20060110097A/ko
Application granted granted Critical
Publication of KR100640640B1 publication Critical patent/KR100640640B1/ko
Priority to US11/699,476 priority patent/US7576010B2/en
Priority to US12/327,006 priority patent/US8062981B2/en
Priority to US12/966,110 priority patent/US8361904B2/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask

Abstract

미세 피치의 하드마스크를 이용한 반도체 소자의 미세 패턴 형성 방법에 관하여 개시한다. 본 발명에 따른 미세 패턴 형성 방법에서는 기판상의 피식각막 위에 제1 피치를 가지는 복수의 제1 라인 패턴으로 이루어지는 제1 하드마스크 패턴을 형성한다. 상기 제1 라인 패턴 중 상호 인접한 2개의 제1 라인 패턴 사이에 리세스가 형성되는 상면을 가지는 제1 막을 형성한다. 상기 리세스 내에 제2 라인 패턴으로 이루어지는 제2 하드마스크 패턴을 형성한다. 상기 제1 라인 패턴 및 제2 라인 패턴을 식각 마스크로 하여 상기 제1 막을 이방성 식각한다. 제1 하드마스크 패턴 및 제2 하드마스크 패턴를 식각 마스크로 하여 상기 피식각막을 이방성 식각한다. 상기 제1 라인 패턴 및 제2 라인 패턴에 의하여 상기 제1 피치의 1/2인 피치를 가지는 복수의 라인 앤드 스페이스 패턴 (line and space pattern)이 형성될 수 있다.
하드 마스크, 미세 피치, 라인, 스페이스, 패턴 밀도

Description

미세 피치의 하드마스크를 이용한 반도체 소자의 미세 패턴 형성 방법 {Method of forming fine pattern of semiconductor device using fine pitch hardmask}
도 1a 내지 도 1f는 본 발명의 바람직한 실시예에 따른 반도체 소자의 미세 패턴 형성 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다.
도 2a 내지 도 2d는 본 발명에 따른 방법에 따라 미세 패턴을 구현한 실시예를 공정 순서에 따라 보여주는 SEM (scanning electron microscope) 이미지이다.
도 3a 및 도 3b는 본 발명에 따른 반도체 소자의 미세 패턴 형성 방법에 따라 기판상에 미세 패턴을 구현한 예를 보여주는 SEM 이미지들이다.
도 4a 및 도 4b, 도 5a 및 도 5b, 그리고 도 6a 및 도 6b는 다양한 레이아웃을 가지는 제1 하드마스크 패턴들과, 본 발명에 따른 방법에 의하여 상기 제1 하드마스크 패턴들 사이의 스페이스 영역에 제2 하드마스크 패턴들을 형성한 결과물을 보여주는 이미지들이다.
도 7 및 도 8은 각각 본 발명에 따른 방법에 의하여 형성된 다양한 레이아웃을 가지는 제1 하드마스크 패턴들과, 상기 제1 하드마스크 패턴들 사이의 스페이스 영역에 제2 하드마스크 패턴들을 형성한 결과물의 상면을 보여주는 SEM 이미지이다.
도 9 및 도 10은 각각 본 발명에 따른 방법에 의하여 형성된 다양한 레이아웃을 가지는 제1 하드마스크 패턴들과, 상기 제1 하드마스크 패턴들 사이의 스페이스 영역에 제2 하드마스크 패턴들을 형성한 결과물의 상면을 보여주는 SEM 이미지이다.
<도면의 주요 부분에 대한 부호의 설명>
10: 반도체 기판, 12: 피식각막, 12a: 미세 패턴, 20: 제1 하드마스크 패턴, 22: 제1 마스크층, 22a: 제1 마스크 패턴, 24: 제2 마스크층, 24a: 제2 마스크 패턴, 26: 유기 반사방지막, 28: 포토레지스트 패턴, 30: 제2 하드마스크 패턴, 32: 제3 마스크층, 32a: 제3 마스크 패턴, 33: 리세스, 34: 제4 마스크층, 34a: 제4 마스크 패턴, 36: 보이드, 110, 210, 310, 410: 제1 하드 마스크 패턴, 120, 220, 320, 420: 제2 하드 마스크 패턴.
본 발명은 반도체 소자의 제조 방법에 관한 것으로, 보다 상세하게는 미세 피치의 하드마스크를 이용한 반도체 소자의 미세 패턴 형성 방법에 관한 것이다.
반도체 소자를 고집적화하기 위해서는 패턴의 미세화가 필수적이다. 좁은 면적에 많은 소자를 집적시키기 위하여는 개별 소자의 크기를 작게 형성하여야 하며, 이를 위하여는 형성하고자 하는 패턴의 폭 및 간격의 합인 피치(pitch)를 작게 하여야 한다. 최근, 소자 디자인 룰(design rule)의 감소가 급격히 진행됨에 따라 반 도체 소자 구현에 필요한 패턴, 예컨대 라인 앤드 스페이스 패턴 (line and space pattern, 이하, "L/S 패턴"이라 함)을 형성하기 위한 포토리소그래피 공정에 있어서 해상 한계로 인하여 미세 피치를 가지는 패턴을 형성하는 데 한계가 있다.
상기와 같은 포토리소그래피 공정에서의 해상 한계를 극복하기 위하여, 작은 피쳐사이즈 (feature size)의 스페이서를 형성하는 방법 (예를 들면 미국 특허 제6,603,688호 참조)에 따라 형성된 스페이서 패턴을 하드 마스크로 이용하여 피식각막을 패터닝하는 기술이 제안되었다. 그러나, 스페이서 패턴을 하드마스크로 이용하는 경우에는, 중심으로 하는 하나의 패턴의 좌, 우측에 각각 형성되는 한 쌍의 스페이서에서 좌, 우측 스페이서 각각의 두께가 균일하지 않게 된다. 통상적으로 좌, 우측의 스페이서 두께를 균일하게 하기 위하여 원하는 두께 이상의 큰 두께를 가지는 스페이서를 형성하게 된다. 따라서, 이를 하드마스크로 사용하고 난 후, 다시 제거하는 데 있어서 어려움이 따른다. 또한, 스페이서 형태로 형성된 하드마스크는 하나의 패턴을 중심으로 하여 상기 패턴을 포위하는 형상을 가진다. 따라서, 상기 스페이서를 이용하여 라인 패턴을 구현하고자 하는 경우, 상기 스페이서를 개별 라인 패턴으로 분리하기 위한 별도의 트리밍(trimming) 공정이 요구된다.
또한, 반도체 기판상의 셀 어레이 영역에서와 같이 비교적 큰 패턴 밀도를 가지는 영역과, 주변회로 영역 또는 코어 영역과 같이 비교적 작은 패턴 밀도를 가지는 영역에 동시에 패턴을 형성하고자 하는 경우, 형성하고자 하는 패턴을 각 영역별로 서로 다른 피치로 형성할 필요가 있을 때에는 각 영역에서 요구되는 패턴 피치에 따라 패턴을 별도로 형성하여야 하는 번거로움이 있다.
본 발명의 목적은 상기한 종래 기술에서의 문제점을 해결하고자 하는 것으로, 포토리소그래피 공정에서의 해상 한계를 극복할 수 있는 미세 피치의 패턴을 구현할 수 있는 반도체 소자의 미세 패턴 형성 방법을 제공하는 것이다.
본 발명의 다른 목적은 동일한 기판상에 다양한 크기 및 다양한 피치의 패턴을 동시에 형성할 수 있는 반도체 소자의 미세 패턴 형성 방법을 제공하는 것이다.
상기 목적을 달성하기 위하여, 본 발명에 따른 반도체 소자의 미세 패턴 형성 방법에서는 기판상의 피식각막 위에 제1 피치(pitch)를 가지고 제1 방향으로 반복 형성되는 복수의 제1 라인 패턴으로 이루어지는 제1 하드마스크 패턴을 형성한다. 상기 제1 라인 패턴 중 상호 인접한 2개의 제1 라인 패턴 사이에 리세스(recess)가 형성되는 상면을 가지도록 상기 제1 하드마스크 패턴의 상면 및 측벽을 균일한 두께로 덮는 제1 막을 형성한다. 상기 제1 막 위의 상기 리세스 내에서 상기 제1 방향으로 연장되는 제2 라인 패턴으로 이루어지는 제2 하드마스크 패턴을 형성한다. 상기 제1 라인 패턴 및 제2 라인 패턴을 식각 마스크로 하여 상기 제1 막을 이방성 식각하여 상기 제1 라인 패턴과 상기 제2 라인 패턴과의 사이에서 상기 피식각막을 노출시킨다. 상기 제1 하드마스크 패턴 및 제2 하드마스크 패턴를 식각 마스크로 하여 상기 피식각막을 이방성 식각한다.
상기 제1 막 형성 단계에서 상기 제1 막 상면에는 복수의 리세스가 형성될 수 있으며, 바람직하게는, 상기 제2 라인 패턴은 상기 복수의 리세스 중에서 선택 되는 일부의 리세스 내에만 형성된다.
상기 제2 하드마스크 패턴을 형성하기 위하여, 상기 제1 막과 식각 선택비 차이가 있는 제2 막을 상기 제1 막 위에 형성하고, 이어서 상기 제2 막 중 일부를 제거하여 상기 리세스 내에 상기 제2 막의 나머지 일부로 이루어지는 상기 제2 라인 패턴을 형성할 수 있다. 바람직하게는, 상기 제2 막 중 일부를 제거하기 위하여 습식 식각 방법을 이용한다.
상기 제2 막의 일부를 제거하는 동안 상기 복수의 리세스 중에서 선택되는 일부의 리세스 내에서는 상기 제 2막이 완전히 제거될 수 있다.
본 발명에 따른 방법에 있어서, 상기 제1 라인 패턴 및 제2 라인 패턴에 의하여 상기 기판상에 상기 제1 피치의 1/2인 피치를 가지는 복수의 라인 앤드 스페이스 패턴 (line and space pattern)이 형성되도록 할 수 있다.
상기 제1 하드마스크 패턴은 상기 피식각막 위에 차례로 적층된 제3 막 및 제4 막으로 이루어질 수 있으며, 이 때, 상기 제2 라인 패턴은 상기 제4 막과 동일한 수평면상에 위치된다.
상기 제4 막 및 상기 제2 라인 패턴은 상호 동일한 물질로 이루어질 수도 있고 상호 식각 특성이 유사한 서로 다른 물질로 이루어질 수도 있다.
또한, 상기 제1 막 및 제3 막은 상호 동일한 물질로 이루어질 수도 있고, 상호 식각 특성이 유사한 서로 다른 물질로 이루어질 수도 있다.
본 발명에 의하면, 포토리소그래피 공정에서의 해상 한계를 극복할 수 있는 미세 피치의 패턴을 용이하게 구현할 수 있다. 또한, 기판상의 패턴 밀도 차이에 영향을 받지 않고 다양한 크기 및 다양한 피치의 패턴을 단순화된 공정에 의하여 동시에 형성할 수 있다.
다음에, 본 발명의 바람직한 실시예에 대하여 첨부 도면을 참조하여 상세히 설명한다.
도 1a 내지 도 1f는 본 발명의 바람직한 실시예에 따라 미세 피치의 하드마스크 패턴을 형성하고 이를 이용하여 반도체 소자의 미세 패턴을 형성하는 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다.
도 1a를 참조하면, 피식각막(12)이 형성되어 있는 반도체 기판(10)상에 제1 마스크층(22) 및 제2 마스크층(24)을 차례로 형성한다.
상기 피식각막(12)은 형성하고자 하는 패턴의 용도에 따라 다양한 물질로 이루어질 수 있다. 예를 들면, 활성 영역을 정의하는 경우에는 상기 피식각막(12)은 실리콘으로 이루어질 수 있다.게이트 전극을 형성하고자 하는 경우에는 상기 피식각막(12)은 도전층, 예를 들면 도핑된 폴리실리콘 또는 도핑된 폴리실리콘과 금속 실리사이드층과의 적층 구조로 이루어질 수 있다. 그리고, 비트 라인을 형성하는 경우에는 상기 피식각막(12)은 금속, 예를 들면 텅스텐 또는 알루미늄으로 이루어질 수 있다.
상기 제1 마스크층(22)은 하드마스크로 사용될 제2 마스크층(24)의 두께를 균일하게 하기 위한 버퍼(buffer) 역할을 하는 것이다. 상기 제1 마스크층(22) 및 제2 마스크층(24)은 각각 식각 특성이 서로 다른 물질, 즉 소정의 식각액에 대하여 서로 다른 식각 선택비를 가지는 물질로 이루어진다. 특히, 상기 제2 마스크층(24) 은 상기 피식각막(12) 및 제1 마스크층(22)에 대하여 식각 선택비가 큰 물질로 이루어진다. 예를 들면, 상기 제1 마스크층(22)은 열산화막, CVD (chemical vapor deposition) 산화막, USG막 (undoped silicate glass film) 및 HDP 산화막 (high density plasma oxide film)으로 이루어지는 군에서 선택되는 적어도 하나의 막으로 이루어질 수 있다. 이 중, 상기 HDP 산화막은 사이즈가 큰 라인 패턴 위에 증착되는 경우에 가운데가 솟아오른 산 모양으로 증착되는 특성이 있다. 따라서, 경우에 따라 적절하지 않을 수도 있으며, 상기와 같은 HDP 증착 특성이 문제되지 않는 경우에 한하여 사용하는 것이 바람직하다. 또는, 상기 제1 마스크층(22)은 질화막, 예를 들면 SiON, SiN, SiBN 및 BN으로 이루어지는 군에서 선택되는 적어도 하나의 막으로 이루어질 수도 있다.
상기 제1 마스크층(22)이 산화막으로 이루어진 경우, 상기 제2 마스크층(24)은 SiON, SiN, SiBN, BN 등과 같은 질화막, 또는 폴리실리콘막으로 이루어질 수 있다. 또는, 상기 제1 마스크층(22)이 질화막으로 이루어진 경우, 상기 제2 마스크층(24)은 평탄도 특성이 우수한 산화막으로 형성한다. 상기 제2 마스크층(24)을 형성하기에 적합한 산화막으로서 예를 들면 SOG막 (silicon on glass film) 또는 FOX막 (flowable oxide film)을 사용할 수 있다. 상기 제2 마스크층(24)을 산화막으로 형성하는 경우에는 상기 피식각막(12)을 구성하는 박막의 종류에 따라 하드마스크로 사용하기 부적절한 경우도 있으므로, 상기 피식각막(12)을 구성하는 박막 종류를 고려하여 상기 제1 마스크층(22) 및 제2 마스크층(24)의 막질 종류를 결정한다.
상기 제2 마스크층(24) 위에 유기 반사방지막(26)을 형성하고, 그 위에 포토 레지스트 패턴(28)을 형성한다. 상기 유기 반사방지막(26)은 경우에 따라 생략 가능하다. 상기 반도체 기판(10)상에서 주변회로 영역 또는 코어 영역과 같이 단위 면적당 단위 소자 밀도가 낮은 저밀도 패턴 영역(A)에서는, 상기 포토레지스트 패턴(28)은 최종적으로 형성하고자 하는 하드마스크 패턴에 대응하는 패턴과 동일한 피치의 패턴으로 형성된다. 그리고, 상기 반도체 기판(10)상에서 셀 어레이 영역과 같이 단위 면적당 단위 소자 밀도가 높은 고밀도 패턴 영역(A)에서는, 상기 포토레지스트 패턴(28)은 최종적으로 형성하고자 하는 하드마스크 패턴의 피치(P) 보다 2배 큰 제1 피치(2P)를 가지도록 형성된다.
도 1b를 참조하면, 상기 포토레지스트 패턴(28)을 식각 마스크로 사용하여 상기 제2 마스크층(24) 및 제1 마스크층(22)을 이방성 식각하여 제1 마스크 패턴(22a) 및 제2 마스크 패턴(24a)으로 이루어지는 제1 하드마스크 패턴(20)을 형성한다. 그 후, 상기 포토레지스트 패턴(28) 및 유기 반사방지막(26)은 제거한다.
상기 포토레지스트 패턴(28)의 형상이 그대로 전사되어 형성된 상기 제1 하드마스크 패턴(20)은 상기 반도체 기판(10)의 저밀도 패턴 영역(A)에서는 최종적으로 형성하고자 하는 하드마스크 패턴에 대응하는 패턴과 동일한 피치의 패턴으로 형성되고, 고밀도 패턴 영역(B)에서는 최종적으로 구현하고자 하는 하드마스크 패턴의 피치(P) 보다 2배 큰 제1 피치(2P)를 가지도록 형성된다. 바람직하게는, 상기 고밀도 패턴 영역(B)에서, 상기 제1 하드마스크 패턴(20)의 폭(W1)은 상기 제1 피치(2P)의 1/4인 값이 되도록 형성된다. 상기 제1 하드마스크 패턴(20)은 도 1b에 도 시된 바와 같이 상기 반도체 기판(10)상에서 상기 제1 피치(2P)를 가지고 소정의 방향으로 반복 형성되는 복수의 라인 패턴으로 이루어질 수 있다.
도 1c를 참조하면, 상기 제1 하드마스크 패턴(20)이 형성된 반도체 기판(10) 위에 제3 마스크층(32) 및 제4 마스크층(34)을 형성한다.
상기 제3 마스크층(32)은 상기 제1 마스크층(22)과 유사한 식각 특성을 가지는 물질로 이루어질 수 있다. 상기 제3 마스크층(32)은 상기 제1 마스크층(22)과 동일한 물질로 이루어질 수도 있고, 식각 특성은 유사하나 상호 다른 물질로 이루어질 수도 있다. 예를 들면, 상기 제1 마스크층(22)은 열산화막으로 형성되고, 상기 제3 마스크층(32)은 CVD 산화막으로 형성될 수 있다. 물론, 그 반대의 경우도 가능하다.
상기 제4 마스크층(34)은 상기 제2 마스크층(24)과 유사한 식각 특성을 가지는 물질로 이루어질 수 있다. 상기 제4 마스크층(34)은 상기 제2 마스크층(24)과 동일한 물질로 이루어질 수도 있고, 식각 특성은 유사하나 상호 다른 물질로 이루어질 수도 있다. 예를 들면, 상기 제2 마스크층(24)은 질화막으로 형성되고, 상기 제4 마스크층(34)은 폴리실리콘막으로 형성될 수 있다. 물론, 그 반대의 경우도 가능하다.
상기 고밀도 패턴 영역(B)에서 상기 제3 마스크층(32)은 상기 제1 하드마스크 패턴(20)의 상면 및 측벽을 균일한 두께로 덮도록 형성된다. 바람직하게는, 상기 제1 하드마스크 패턴(20)의 측벽을 덮는 상기 제3 마스크층(32)의 두께(a, b)는 상기 제1 피치(2P)의 1/4인 값, 즉 상기 제1 하드마스크 패턴(20)의 폭(W1)과 동일한 값이 되도록 형성될 수 있다. 상기 고밀도 패턴 영역(B)에서, 상기 제3 마스크층(32)의 상면에는 상기 제1 하드마스크 패턴(20) 사이의 공간에 리세스(33)가 형성된다. 상기 제4 마스크층(34)은 평탄도 특성이 우수한 물질로 이루어지므로 상기 리세스(33)는 상기 제4 마스크층(34)에 의하여 채워지게 된다. 상기 제3 마스크층(32)의 두께(a, b)는 상기 제1 피치(2P)의 1/4인 값을 가지는 경우, 상기 리세스(33) 내에 채워진 상기 제4 마스크층(34)의 폭(W2)은 상기 제1 피치(2P)의 1/4인 값, 즉 상기 제1 하드마스크 패턴(20)의 폭(W1)과 동일한 값이 될 수 있다. 상기 제4 마스크층(34)은 상기 리세스(33) 내에서 상기 제1 하드마스크 패턴(20) 연장 방향과 동일한 방향으로 연장되어 있게 된다.
상기 저밀도 패턴 영역(A)에서는 상기 제1 하드마스크 패턴(20) 중 상호 인접한 2개의 제1 하드마스크 패턴(20) 사이의 간격이 좁은 경우, 즉 도 1c에서 "A1"으로 표시된 부분에서와 같이 상기 제1 하드마스크 패턴(20) 사이의 간격(d1)이 상기 제3 마스크층(32)의 두께인 a 및 b의 합 이하인 경우 (d1 ≤ a+b )에는 상기 제3 마스크층(32)의 상면에 리세스가 형성되지 않는다. 경우에 따라, 상기 제1 하드마스크 패턴(20) 사이의 간격(d1)이 상기 제3 마스크층(32)의 두께인 a 및 b의 합 이하인 경우, 그 위에 상기 제3 마스크층(32)을 증착하면, 인접한 2개의 제1 하드마스크 패턴(20) 사이에 보이드(36)가 형성될 수도 있다.
도 1d를 참조하면, 습식 식각 방법을 이용하여 상기 제4 마스크층(34)의 일부를 선택적으로 제거한다. 상기 제4 마스크층(34)을 습식 식각하는 데 있어서, 상기 제4 마스크층(34)이 질화물 계열의 물질로 이루어진 경우에는 예를 들면 인산 용액을 식각액으로 사용할 수 있다. 상기 제4 마스크층(34)이 산화물 계열의 물질로 이루어진 경우에는 예를 들면 불산, 황산, SC-1, LAL과 같은 식각액을 사용할 수 있다.
그 결과, 상기 고밀도 패턴 영역(B)에서는 상기 제4 마스크층(34)의 나머지 일부인 제4 마스크 패턴(34a)이 상기 리세스(33) 내에서 상기 제1 하드마스크 패턴(20) 연장 방향과 동일한 방향으로 연장되는 복수의 라인 패턴을 형성하게 된다. 상기 리세스(33) 내에 남아 있는 상기 제4 마스크 패턴(34a)은 상기 제1 하드마스크 패턴(20)의 제2 마스크 패턴(24a)과 대략 동일한 수평면상에 위치된다.
반면, 상기 저밀도 패턴 영역(A)에서는 도 1d에서 "A2"로 표시한 바와 같이 상기 제3 마스크층(32)의 상면에 형성된 리세스(33)의 폭이 큰 경우 상기 리세스(33) 내에 있는 상기 제4 마스크층(34)이 습식 식각에 의하여 완전히 제거된다.
특히, 본 발명자들은 반도체 기판(10)상에서 상기 제4 마스크층(34) 증착 후 노출되는 리세스(33)의 폭(W3) (도 1c 참조)이 약 10nm 이상인 경우에는 상기 리세스(33) 내에 있는 상기 제4 마스크층(34)이 습식 식각에 의하여 완전히 제거되는 것을 반복적인 실험을 통하여 확인하였다. 또한, 상기 제3 마스크층(32) 상면의 리세스(33)의 폭(W2) (도 1c 참조)이 약 20nm 미만인 경우에는 상기 리세스(33) 내에 상기 제4 마스크층(34)에 의한 패턴을 형성하는 것이 어렵다는 것을 확인하였다. 또한, 상기 제4 마스크 패턴(34a)은 상기 제1 하드마스크 패턴(20) 중 상호 인접한 2개의 제1 하드마스크 패턴(20) 사이의 폭이 200nm 이하인 영역에 형성되는 것을 확인하였다.
도1e를 참조하면, 상기 제2 마스크 패턴(24a) 및 제4 마스크 패턴(34a)을 식각 마스크로 하여 상기 제3 마스크층(32)을 이방성 식각하여 상기 피식각막(12)의 상면을 노출시킨다. 그 결과, 상기 저밀도 패턴 영역(A)에서는 상기 제1 하드마스크 패턴(20) 사이에서 상기 피식각막(12)이 노출된다. 그리고, 상기 고밀도 패턴 영역(B)에서는 상기 제1 하드마스크 패턴(20) 사이에 제3 마스크 패턴(32a)과 제4 마스크 패턴(34a)의 적층 구조로 이루어지는 제2 하드마스크 패턴(30)이 형성되고, 상기 제1 하드마스크 패턴(20)과 상기 제2 하드마스크 패턴(30)과의 사이의 공간을 통하여 상기 피식각막(12)이 노출된다. 여기서, 바람직하게는 상기 제2 하드마스크 패턴(30)은 상기 제1 피치(2P)의 1/4인 폭(W2), 즉 상기 제1 하드마스크 패턴(20)의 폭(W1)과 동일한 폭을 가질 수 있다. 그리고, 상기 제1 하드마스크 패턴(20) 및 제2 하드마스크 패턴(30)에 의하여 상기 반도체 기판(10)상에는 상기 제1 피치(2P)의 1/2인 피치(P)를 가지는 라인 앤드 스페이스 패턴 (line and space pattern)이 형성된다.
도 1f를 참조하면, 상기 제1 하드마스크 패턴(20) 및 제2 하드마스크 패턴(30)을 식각 마스크로 사용하여 상기 피식각막(12)을 이방성 건식 식각하여 미세 패턴(12a)을 형성한다. 도 1f에는 상기 제2 마스크 패턴(24a) 및 제4 마스크 패턴(34a)이 각각 상기 피식각막(12) 건식 식각 공정을 거치면서 일부 소모된 결과물로서 도시되어 있다.
상기 저밀도 패턴 영역(A)에서는 상기 제1 하드마스크 패턴(20)에 의하여만 상기 피식각막(12)에 패턴 전사가 이루어지는 반면, 상기 고밀도 패턴 영역(B)에서는 상기 제1 하드마스크 패턴(20) 및 제2 하드마스크 패턴(30)에 의하여 상기 피식각막(12)에 패턴 전사가 이루어진다. 따라서, 고밀도 패턴 영역에서 포토리소그래피 공정의 해상 한계를 초월하는 미세한 피치의 패턴을 용이하게 구현할 수 있다.
도 2a 내지 도 2d는 본 발명에 따른 방법에 따라 미세 패턴을 구현한 실시예를 공정 순서에 따라 보여주는 SEM (scanning electron microscope) 이미지이다.
도 2a 내지 도 2d는 실리콘막을 피식각막으로 하고, 그 위에 CVD 산화막 및 폴리실리콘막이 차례로 적층된 하드마스크 패턴을 식각 마스크로 이용하여 상기 피식각막을 패터닝한 예를 보여준다.
도 2a 내지 도 2d의 결과를 얻기 위하여, 먼저 실리콘 기판 위에 약 3000Å 두께의 CVD 산화막과, 약 1000Å 두께의 폴리실리콘막을 차례로 형성한 후, 포토레지스트 패턴을 식각 마스크로 이용하여 상기 폴리실리콘막 및 산화막을 각각 이방성 식각하여 제1 하드마스크 패턴을 형성하였다. 도 2a에서 제1 하드마스크 패턴의 폭은 약 40nm임을 확인하였다.
도 2a에는 상기 이방성 식각 결과로서 실리콘막 위에 160nm의 피치로 형성된 제1 하드마스크 패턴과, 그 위에 포토레지스트 패턴이 남아 있는 상태의 단면 이미 지가 나타나 있다.
도 2b는 상기 제1 하드마스크 패턴 위에 약 400Å의 CVD 산화막 및 약 500Å의 폴리실리콘막을 차례로 형성한 후, 마지막으로 형성된 상기 폴리실리콘막을 다시 습식 식각에 의하여 제거하여 상기 제1 하드마스크 패턴의 폴리실리콘막을 노출시킨 결과물의 단면 이미지를 보여준다.
도 2c는 도 2b에서 상부에 노출된 폴리실리콘막을 식각 마스크로 하여 상기 산화막을 이방성 식각하여 상기 제1 하드마스크 패턴 사이에 제2 하드마스크 패턴을 형성함과 동시에 피식각막인 실리콘막을 노출시킨 결과물을 보여주는 단면 이미지이다.
도 2d는 상기 제1 하드마스크 패턴 및 제2 하드마스크 패턴을 식각 마스크로 사용하여 피식각막인 실리콘막을 이방성 건식 식각한 결과물의 단면 이미지를 보여준다. 도 2d에서 각 실리콘 패턴은 약 80nm 피치의 라인 앤드 스페이스 패턴을 이루는 것을 확인하였다.
도 3a 및 도 3b는 본 발명에 따른 반도체 소자의 미세 패턴 형성 방법에 따라 도 2a 내지 도 2d에서와 유사한 방법으로 기판상에 미세 패턴을 구현한 예를 보여주는 SEM 이미지들이다.
도 3a에는 저밀도 패턴 영역 및 고밀도 패턴 영역을 포함하는 기판상에 도 2a 내지 도 2d의 예에서와 동일한 방법으로 미세 패턴을 형성한 결과물의 단면 이미지가 나타나 있다. 도 3a에서, 저밀도 패턴 영역에서는 제2 하드마스크 패턴은 형성되지 않음으로써 제1 하드마스크 패턴만 피식각막에 전사되어 있고, 고밀도 패 턴 영역에서는 제1 하드마스크 패턴 및 그 후속으로 형성한 제2 하드마스크 패턴에 의하여 축소된 피치를 가지는 미세한 라인 앤드 스페이스 패턴이 형성되어 있는 것을 알 수 있다.
도 3b는 도 3a에서 고밀도 패턴 영역 만을 확대하여 나타낸 단면 이미지이다. 도 3b에 나타낸 바와 같이, 약 41 ∼ 50nm의 폭을 가지는 라인과 약 32 ∼ 35nm의 폭을 가지는 스페이스가 교대로 반복적으로 형성된 라인 앤드 스페이스 패턴이 얻어진 것을 확인하였다.
도 4a 및 도 4b, 도 5a 및 도 5b, 그리고 도 6a 및 도 6b는 다양한 레이아웃을 가지는 제1 하드마스크 패턴들(도 4a, 도 5a, 도 5b)과, 본 발명에 따른 방법에 의하여 상기 제1 하드마스크 패턴들 사이의 스페이스 영역에 제2 하드마스크 패턴들을 형성한 결과물(도 4b, 도 5b, 도 6b)의 상면을 보여주는 이미지들이다.
도 4b, 도 5b 및 도 6b의 결과를 보면, 각각 도 4a, 도 5a, 도 6a의 제1 하드마스크 패턴들 사이의 스페이스 영역 중 소정의 폭 이하인 스페이스 영역 내에만 제2 하드마스크 패턴이 형성되어 있고, 소정의 폭 보다 큰 스페이스 영역에서는 제2 하드마스크 패턴이 형성되지 않은 것을 알 수 있다.
도 7 및 도 8은 각각 본 발명에 따른 방법에 의하여 형성된 다양한 레이아웃을 가지는 제1 하드마스크 패턴들(110, 210)과, 상기 제1 하드마스크 패턴들(110, 210) 사이의 스페이스 영역에 제2 하드마스크 패턴들(120, 220)을 형성한 결과물의 상면을 보여주는 SEM 이미지이다.
도 7 및 도 8에서, 인접한 2개의 제1 하드마스크 패턴(110 또는 210) 사이의 스페이스 영역의 폭이 약 200nm 보다 작으면 인접한 2개의 제1 하드마스크 패턴(110, 210) 사이의 스페이스 영역에 제2 하드마스크 패턴이 형성되고, 스페이스 영역의 폭이 약 200nm 보다 크면 상기 스페이스 영역에 제2 하드마스크 패턴이 형성되지 않는 것을 확인하였다.
도 9 및 도 10은 각각 본 발명에 따른 방법에 의하여 형성된 다양한 레이아웃을 가지는 제1 하드마스크 패턴들(310, 410)과, 상기 제1 하드마스크 패턴들(310, 410) 사이의 스페이스 영역에 제2 하드마스크 패턴들(320, 420)을 형성한 결과물의 상면을 보여주는 SEM 이미지이다.
도 9 및 도 10의 예에서도 도 7 및 도 8의 예에서와 마찬가지로 인접한 2개의 제1 하드마스크 패턴(310 또는 410) 사이의 스페이스 영역의 폭이 좁은 곳에서만 제2 하드마스크 패턴이 형성되고, 상기 스페이스 영역의 폭이 큰 곳에서는 제2 하드마스크 패턴이 형성되지 않은 것을 확인할 수 있다.
본 발명에 따른 반도체 소자의 미세 패턴 형성 방법에서는 피식각막 위에 먼저 형성하고자 하는 라인 앤드 스페이스 패턴의 피치(P)의 2배의 피치(2P)를 가지는 제1 하드 마스크 패턴을 형성한 후, 상호 인접한 2개의 제1 하드 마스크 패턴들 사이의 스페이스 영역에 제2 하드마스크 패턴을 형성한다. 따라서, 포토리소그래피 공정에서 구현할 수 있는 피치의 1/2인 미세 피치 사이즈의 라인 앤드 스페이스 패턴을 형성하는 것이 가능하게 되어, 포토리소그래피 공정에서의 해상 한계를 극복할 수 있는 미세 피치의 패턴을 용이하게 구현할 수 있다. 또한, 단순한 패턴 형성 공정에 의하여 동일한 기판상에 다양한 폭 및 피치를 가지는 패턴을 형성할 수 있으므로, 동일한 기판상에서의 패턴 밀도 차이에 따라 별도의 패턴 형성 공정을 적용할 필요가 없으며, 기판상의 패턴 밀도 차이에 영향을 받지 않고 다양한 크기 및 다양한 피치의 패턴을 동시에 형성할 수 있다. 또한, 종래 기술에 따른 스페이서 형성 기술을 이용하는 경우와는 달리, 라인의 끝단 분리를 위한 트리밍 공정이 불필요하므로 고집적 반도체 소자 제조 공정이 단순화될 수 있다.
이상, 본 발명을 바람직한 실시예를 들어 상세하게 설명하였으나, 본 발명은 상기 실시예에 한정되지 않고, 본 발명의 기술적 사상 및 범위 내에서 당 분야에서 통상의 지식을 가진 자에 의하여 여러가지 변형 및 변경이 가능하다.

Claims (20)

  1. 기판상의 피식각막 위에 제1 피치(pitch)를 가지고 제1 방향으로 반복 형성되는 복수의 제1 라인 패턴으로 이루어지는 제1 하드마스크 패턴을 형성하는 단계와,
    상기 제1 라인 패턴 중 상호 인접한 2개의 제1 라인 패턴 사이에 리세스(recess)가 형성되는 상면을 가지도록 상기 제1 하드마스크 패턴의 상면 및 측벽을 균일한 두께로 덮는 제1 막을 형성하는 단계와,
    상기 제1 막 위의 상기 리세스 내에서 상기 제1 방향으로 연장되는 제2 라인 패턴으로 이루어지는 제2 하드마스크 패턴을 형성하는 단계와,
    상기 제1 라인 패턴 및 제2 라인 패턴을 식각 마스크로 하여 상기 제1 막을 이방성 식각하여 상기 제1 라인 패턴과 상기 제2 라인 패턴과의 사이에서 상기 피식각막을 노출시키는 단계와,
    상기 제1 하드마스크 패턴 및 제2 하드마스크 패턴를 식각 마스크로 하여 상기 피식각막을 이방성 식각하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  2. 제1항에 있어서,
    상기 제1 막 형성 단계에서 상기 제1 막 상면에는 복수의 리세스가 형성되고,
    상기 제2 라인 패턴은 상기 복수의 리세스 중에서 선택되는 일부의 리세스 내에만 형성되는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  3. 제1항에 있어서,
    상기 제2 하드마스크 패턴을 형성하는 단계는
    상기 제1 막과 식각 선택비 차이가 있는 제2 막을 상기 제1 막 위에 형성하는 단계와,
    상기 제2 막 중 일부를 제거하여 상기 리세스 내에 상기 제2 막의 나머지 일부로 이루어지는 상기 제2 라인 패턴을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  4. 제3항에 있어서,
    상기 제2 막 중 일부를 제거하기 위하여 습식 식각 방법을 이용하는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  5. 제3항에 있어서,
    상기 제2 라인 패턴은 상기 제1 피치의 1/4인 폭을 가지도록 형성되는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  6. 제3항에 있어서,
    상기 제1 막 형성 단계에서 상기 제1 막 상면에는 복수의 리세스가 형성되고,
    상기 제2 막의 일부를 제거하는 동안 상기 복수의 리세스 중에서 선택되는 일부의 리세스 내에서는 상기 제2 막이 완전히 제거되는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  7. 제6항에 있어서,
    상기 제2 라인 패턴은 상기 복수의 리세스 중에서 선택되는 일부의 리세스 내에만 형성되는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  8. 제1항에 있어서,
    상기 제1 라인 패턴 및 제2 라인 패턴에 의하여 상기 기판상에 상기 제1 피치의 1/2인 피치를 가지는 복수의 라인 앤드 스페이스 패턴 (line and space pattern)이 형성되는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  9. 제1항에 있어서,
    상기 제1 하드마스크 패턴은 상기 피식각막 위에 차례로 적층된 제3 막 및 제4 막으로 이루어지고,
    상기 제2 라인 패턴은 상기 제4 막과 동일한 수평면상에 위치되는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  10. 제9항에 있어서,
    상기 제4 막 및 상기 제2 라인 패턴은 상호 동일한 물질로 이루어지는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  11. 제9항에 있어서,
    상기 제1 막은 산화막으로 이루어지고,
    상기 제4 막 및 제2 라인 패턴은 각각 질화막 또는 폴리실리콘막으로 이루어지는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  12. 제11항에 있어서,
    상기 제1 막은 열산화막, CVD 산화막, USG막 (undoped silicate glass film) 및 HDP 산화막 (high density plasma oxide film)으로 이루어지는 군에서 선택되는 적어도 하나의 막으로 이루어지는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  13. 제11항에 있어서,
    상기 제4 막 및 제2 라인 패턴은 각각 SiON, SiN, SiBN, BN 및 폴리실리콘막으로 이루어지는 군에서 선택되는 적어도 하나의 막으로 이루어지는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  14. 제9항에 있어서,
    상기 제1 막은 질화막으로 이루어지고,
    상기 제4 막 및 제2 라인 패턴은 각각 산화막으로 이루어지는 군에서 선택되는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  15. 제14항에 있어서,
    상기 제1 막은 SiON, SiN, SiBN 및 BN으로 이루어지는 군에서 선택되는 적어도 하나의 막으로 이루어지는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  16. 제14항에 있어서,
    상기 제4 막 및 제2 라인 패턴은 각각 SOG막 (silicon on glass film) 및 FOX막 (flowable oxide film)으로 이루어지는 군에서 선택되는 적어도 하나의 막으로 이루어지는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  17. 제9항에 있어서,
    상기 제1 막 및 제3 막은 상호 동일한 물질로 이루어지는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  18. 제9항에 있어서,
    상기 제1 막 및 제3 막은 서로 다른 물질로 이루어진 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  19. 제9항에 있어서,
    상기 제4 막 및 제2 라인 패턴은 서로 다른 물질로 이루어진 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
  20. 제9항에 있어서,
    상기 제4 막 및 제2 라인 패턴은 상호 동일한 물질로 이루어진 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법.
KR1020050032297A 2005-04-19 2005-04-19 미세 피치의 하드마스크를 이용한 반도체 소자의 미세 패턴형성 방법 KR100640640B1 (ko)

Priority Applications (6)

Application Number Priority Date Filing Date Title
KR1020050032297A KR100640640B1 (ko) 2005-04-19 2005-04-19 미세 피치의 하드마스크를 이용한 반도체 소자의 미세 패턴형성 방법
US11/367,437 US7473647B2 (en) 2005-04-19 2006-03-06 Method of forming pattern using fine pitch hard mask
JP2006113557A JP5052814B2 (ja) 2005-04-19 2006-04-17 微細ピッチのハードマスクを用いた半導体素子の微細パターン形成方法
US11/699,476 US7576010B2 (en) 2005-04-19 2007-01-30 Method of forming pattern using fine pitch hard mask
US12/327,006 US8062981B2 (en) 2005-04-19 2008-12-03 Method of forming pattern using fine pitch hard mask
US12/966,110 US8361904B2 (en) 2005-04-19 2010-12-13 Semiconductor device having fine pattern wiring lines integrally formed with contact plug and method of manufacturing same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020050032297A KR100640640B1 (ko) 2005-04-19 2005-04-19 미세 피치의 하드마스크를 이용한 반도체 소자의 미세 패턴형성 방법

Publications (2)

Publication Number Publication Date
KR20060110097A KR20060110097A (ko) 2006-10-24
KR100640640B1 true KR100640640B1 (ko) 2006-10-31

Family

ID=37108881

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050032297A KR100640640B1 (ko) 2005-04-19 2005-04-19 미세 피치의 하드마스크를 이용한 반도체 소자의 미세 패턴형성 방법

Country Status (3)

Country Link
US (3) US7473647B2 (ko)
JP (1) JP5052814B2 (ko)
KR (1) KR100640640B1 (ko)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100734464B1 (ko) 2006-07-11 2007-07-03 삼성전자주식회사 미세 피치의 하드마스크 패턴 형성 방법 및 이를 이용한반도체 소자의 미세 패턴 형성 방법
KR100763538B1 (ko) 2006-08-29 2007-10-05 삼성전자주식회사 마스크 패턴의 형성 방법 및 이를 이용한 미세 패턴의 형성방법
KR100771891B1 (ko) 2006-11-10 2007-11-01 삼성전자주식회사 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법
KR100822622B1 (ko) 2007-04-20 2008-04-16 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
KR100886219B1 (ko) 2007-06-07 2009-02-27 삼성전자주식회사 자기정렬된 이중 패터닝을 채택하는 미세 패턴 형성 방법
KR100948464B1 (ko) 2007-12-28 2010-03-17 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법
KR100953054B1 (ko) 2008-08-01 2010-04-14 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
KR100983711B1 (ko) 2008-08-29 2010-09-24 주식회사 하이닉스반도체 반도체소자의 형성방법
KR100994714B1 (ko) * 2008-08-29 2010-11-17 주식회사 하이닉스반도체 반도체 장치 제조 방법
US8026044B2 (en) 2007-02-28 2011-09-27 Samsung Electronics Co., Ltd. Method of forming fine patterns of semiconductor device
KR101346294B1 (ko) * 2007-03-12 2014-01-02 삼성전자주식회사 반도체 소자의 형성 방법
KR101515907B1 (ko) * 2008-10-23 2015-04-29 삼성전자주식회사 반도체 소자의 패턴 형성 방법
KR101732936B1 (ko) 2011-02-14 2017-05-08 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US11901187B2 (en) 2021-04-23 2024-02-13 Samsung Electronics Co., Ltd. Semiconductor device including hard mask structure with repeating spin-on hard mask layers

Families Citing this family (385)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100833201B1 (ko) * 2007-06-15 2008-05-28 삼성전자주식회사 콘택 플러그 및 배선 라인 일체형 구조의 미세 패턴을가지는 반도체 소자 및 그 제조 방법
US7998874B2 (en) 2006-03-06 2011-08-16 Samsung Electronics Co., Ltd. Method for forming hard mask patterns having a fine pitch and method for forming a semiconductor device using the same
US7892982B2 (en) 2006-03-06 2011-02-22 Samsung Electronics Co., Ltd. Method for forming fine patterns of a semiconductor device using a double patterning process
KR100843917B1 (ko) * 2006-09-08 2008-07-03 주식회사 하이닉스반도체 반도체 소자 제조 방법
KR100790998B1 (ko) * 2006-10-02 2008-01-03 삼성전자주식회사 셀프 얼라인 더블 패터닝법을 사용한 패드 패턴 형성 방법 및 셀프 얼라인 더블 패터닝법을 사용한 콘택홀 형성방법
KR100817065B1 (ko) 2006-10-02 2008-03-27 삼성전자주식회사 미세패턴의 설계방법 및 그 장치
KR100780606B1 (ko) * 2006-12-27 2007-11-30 주식회사 하이닉스반도체 반도체 소자의 제조방법
KR100843236B1 (ko) * 2007-02-06 2008-07-03 삼성전자주식회사 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법
KR100817088B1 (ko) 2007-02-16 2008-03-26 삼성전자주식회사 다마신 공정을 이용한 반도체 소자의 미세 금속 배선 패턴형성 방법
KR100796644B1 (ko) 2007-02-22 2008-01-22 삼성전자주식회사 디램 소자 및 그 형성 방법
KR100817090B1 (ko) 2007-02-28 2008-03-26 삼성전자주식회사 반도체 소자의 제조 방법
US7790360B2 (en) * 2007-03-05 2010-09-07 Micron Technology, Inc. Methods of forming multiple lines
KR100843239B1 (ko) 2007-03-08 2008-07-03 삼성전자주식회사 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법
KR100822592B1 (ko) * 2007-03-23 2008-04-16 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
KR100886004B1 (ko) 2007-07-02 2009-03-03 삼성전자주식회사 반도체 소자 제조 방법
US8980756B2 (en) * 2007-07-30 2015-03-17 Micron Technology, Inc. Methods for device fabrication using pitch reduction
KR100858877B1 (ko) * 2007-08-13 2008-09-17 주식회사 하이닉스반도체 반도체 소자 제조 방법
US8211806B2 (en) * 2007-08-29 2012-07-03 Macronix International Co., Ltd. Method of fabricating integrated circuit with small pitch
KR101004691B1 (ko) * 2007-09-12 2011-01-04 주식회사 하이닉스반도체 반도체 소자의 미세패턴 형성방법
KR101274202B1 (ko) 2007-12-17 2013-06-14 삼성전자주식회사 웰 전위 제어용 콘택을 가지는 nand 플래시 메모리소자
KR101024712B1 (ko) * 2007-12-20 2011-03-24 주식회사 하이닉스반도체 반도체 소자의 형성 방법
US8685627B2 (en) 2007-12-20 2014-04-01 Hynix Semiconductor Inc. Method for manufacturing a semiconductor device
KR100946080B1 (ko) * 2007-12-28 2010-03-10 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법
US8029688B2 (en) 2008-01-07 2011-10-04 Samsung Electronics Co., Ltd. Method of fine patterning semiconductor device
KR100933854B1 (ko) 2008-01-14 2009-12-24 주식회사 하이닉스반도체 반도체 소자의 패턴 형성방법
KR100976651B1 (ko) * 2008-01-14 2010-08-18 주식회사 하이닉스반도체 반도체 소자의 패턴 형성방법
JP5344824B2 (ja) * 2008-01-31 2013-11-20 東京エレクトロン株式会社 レジストパターンの形成方法および記録媒体
JP5086283B2 (ja) * 2008-02-15 2012-11-28 東京エレクトロン株式会社 パターン形成方法及び半導体装置の製造方法
JP5254049B2 (ja) * 2008-02-15 2013-08-07 東京エレクトロン株式会社 パターン形成方法及び半導体装置の製造方法
KR100933868B1 (ko) * 2008-03-10 2009-12-24 주식회사 하이닉스반도체 마스크 패턴 형성 방법
KR101448854B1 (ko) * 2008-03-28 2014-10-14 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
KR100972674B1 (ko) * 2008-04-02 2010-07-27 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법
WO2009139922A2 (en) * 2008-05-16 2009-11-19 New York University School Of Medicine Peptoid compositions and methods of using the same
KR100934831B1 (ko) * 2008-05-29 2009-12-31 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
JP4756063B2 (ja) * 2008-08-15 2011-08-24 株式会社東芝 半導体装置の製造方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100189923A1 (en) * 2009-01-29 2010-07-29 Asm Japan K.K. Method of forming hardmask by plasma cvd
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101087835B1 (ko) * 2009-11-26 2011-11-30 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
JP2011233756A (ja) 2010-04-28 2011-11-17 Toshiba Corp 半導体装置の製造方法
KR101145336B1 (ko) * 2010-05-31 2012-05-14 에스케이하이닉스 주식회사 반도체 장치의 콘택홀 형성방법
KR101736983B1 (ko) 2010-06-28 2017-05-18 삼성전자 주식회사 반도체 소자 및 반도체 소자의 패턴 형성 방법
US8450833B2 (en) * 2010-08-20 2013-05-28 Globalfoundries Inc. Spacer double patterning that prints multiple CD in front-end-of-line
KR101169164B1 (ko) * 2010-10-27 2012-07-30 에스케이하이닉스 주식회사 반도체 소자의 형성 방법
JP5289479B2 (ja) 2011-02-14 2013-09-11 株式会社東芝 半導体装置の製造方法
US8298954B1 (en) * 2011-05-06 2012-10-30 International Business Machines Corporation Sidewall image transfer process employing a cap material layer for a metal nitride layer
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP2013058688A (ja) * 2011-09-09 2013-03-28 Toshiba Corp 半導体装置の製造方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
TWI473205B (zh) * 2011-11-24 2015-02-11 Powerchip Technology Corp 接觸窗開口的形成方法
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9102121B2 (en) 2012-05-03 2015-08-11 Micron Technology, Inc. Substrates and methods of forming a pattern on a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
JP6026375B2 (ja) * 2013-09-02 2016-11-16 株式会社東芝 半導体装置の製造方法
US9613806B2 (en) * 2013-09-04 2017-04-04 Sandisk Technologies Llc Triple patterning NAND flash memory
US8969206B1 (en) 2013-09-04 2015-03-03 Sandisk Technologies Inc. Triple patterning NAND flash memory with stepped mandrel
US8932955B1 (en) 2013-09-04 2015-01-13 Sandisk Technologies Inc. Triple patterning NAND flash memory with SOC
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
CN106463352B (zh) * 2014-06-13 2020-06-19 英特尔公司 借助于电子束的层上单向金属
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9224744B1 (en) 2014-09-03 2015-12-29 Sandisk Technologies Inc. Wide and narrow patterning using common process
US20160079034A1 (en) * 2014-09-12 2016-03-17 Applied Materials Inc. Flowable film properties tuning using implantation
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
KR20160091164A (ko) 2015-01-23 2016-08-02 삼성전자주식회사 미세 패턴 형성 방법 및 이를 이용한 반도체 장치 제조 방법
US9390922B1 (en) 2015-02-06 2016-07-12 Sandisk Technologies Llc Process for forming wide and narrow conductive lines
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9425047B1 (en) 2015-02-19 2016-08-23 Sandisk Technologies Llc Self-aligned process using variable-fluidity material
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9502428B1 (en) 2015-04-29 2016-11-22 Sandisk Technologies Llc Sidewall assisted process for wide and narrow line formation
US9595444B2 (en) 2015-05-14 2017-03-14 Sandisk Technologies Llc Floating gate separation in NAND flash memory
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
WO2017052637A1 (en) * 2015-09-25 2017-03-30 Intel Corporation Multi-layer hardmask etch processes
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
CN106229255B (zh) * 2016-07-27 2020-02-21 上海华虹宏力半导体制造有限公司 自对准双重曝光显影工艺的方法以及半导体器件
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
US11257672B2 (en) * 2018-05-14 2022-02-22 Globalfoundries U.S. Inc. Semiconductor devices including active regions in RAM areas with deposition determined pitch
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
CN110828460B (zh) * 2018-08-14 2022-07-19 中芯国际集成电路制造(北京)有限公司 半导体器件及其形成方法
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
JP7370943B2 (ja) * 2020-07-15 2023-10-30 Hoya株式会社 マスクブランク、転写用マスクの製造方法及び半導体デバイスの製造方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
CN115600544A (zh) * 2021-07-08 2023-01-13 长鑫存储技术有限公司(Cn) 集成电路设计方法及装置
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61194831A (ja) 1985-02-25 1986-08-29 Nippon Telegr & Teleph Corp <Ntt> パタン形成方法
US4634645A (en) 1984-04-13 1987-01-06 Nippon Telegraph And Telephone Corporation Method of forming resist micropattern
JPS62250637A (ja) 1986-04-23 1987-10-31 Fujitsu Ltd 半導体装置の製造方法
JPH1032190A (ja) 1996-07-13 1998-02-03 Ricoh Co Ltd 半導体装置の製造方法

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01140629A (ja) * 1987-11-26 1989-06-01 Sharp Corp パターン形成方法
JPH02266517A (ja) * 1989-04-06 1990-10-31 Rohm Co Ltd 半導体装置の製造方法
JPH04137650A (ja) * 1990-09-28 1992-05-12 Oki Electric Ind Co Ltd 半導体素子の製造方法
JP3050965B2 (ja) * 1991-09-27 2000-06-12 沖電気工業株式会社 レジストパタンの形成方法
KR0165399B1 (ko) 1995-05-29 1999-02-01 김광호 미세패턴 형성방법
KR0155880B1 (ko) 1995-09-13 1998-12-01 김광호 반도체 소자의 미세패턴 형성방법
JPH10144660A (ja) * 1996-11-12 1998-05-29 Toyota Central Res & Dev Lab Inc 半導体装置の製造方法
US5679591A (en) * 1996-12-16 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd Method of making raised-bitline contactless trenched flash memory cell
US6239008B1 (en) * 1999-09-29 2001-05-29 Advanced Micro Devices, Inc. Method of making a density multiplier for semiconductor device manufacturing
US6380042B1 (en) * 2001-02-15 2002-04-30 Winbond Electronics Corp. Self-aligned contact process using stacked spacers
US6403417B1 (en) * 2001-03-13 2002-06-11 United Microelectronics Corp. Method for in-situ fabrication of a landing via and a strip contact in an embedded memory
US20030027420A1 (en) * 2001-07-31 2003-02-06 Macronix International Co., Ltd. Method for forming the partial salicide
US20030207584A1 (en) * 2002-05-01 2003-11-06 Swaminathan Sivakumar Patterning tighter and looser pitch geometries
US6913871B2 (en) * 2002-07-23 2005-07-05 Intel Corporation Fabricating sub-resolution structures in planar lightwave devices
US6835662B1 (en) * 2003-07-14 2004-12-28 Advanced Micro Devices, Inc. Partially de-coupled core and periphery gate module process
US7256126B1 (en) * 2004-02-03 2007-08-14 Macronix International Co., Ltd. Pitch reduction integrating formation of memory array and peripheral circuitry
US7115525B2 (en) * 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7253118B2 (en) * 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
JP4619839B2 (ja) * 2005-03-16 2011-01-26 株式会社東芝 パターン形成方法
US7429536B2 (en) * 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
KR100714305B1 (ko) * 2005-12-26 2007-05-02 삼성전자주식회사 자기정렬 이중패턴의 형성방법
US7892982B2 (en) * 2006-03-06 2011-02-22 Samsung Electronics Co., Ltd. Method for forming fine patterns of a semiconductor device using a double patterning process

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4634645A (en) 1984-04-13 1987-01-06 Nippon Telegraph And Telephone Corporation Method of forming resist micropattern
JPS61194831A (ja) 1985-02-25 1986-08-29 Nippon Telegr & Teleph Corp <Ntt> パタン形成方法
JPS62250637A (ja) 1986-04-23 1987-10-31 Fujitsu Ltd 半導体装置の製造方法
JPH1032190A (ja) 1996-07-13 1998-02-03 Ricoh Co Ltd 半導体装置の製造方法

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100734464B1 (ko) 2006-07-11 2007-07-03 삼성전자주식회사 미세 피치의 하드마스크 패턴 형성 방법 및 이를 이용한반도체 소자의 미세 패턴 형성 방법
KR100763538B1 (ko) 2006-08-29 2007-10-05 삼성전자주식회사 마스크 패턴의 형성 방법 및 이를 이용한 미세 패턴의 형성방법
KR100771891B1 (ko) 2006-11-10 2007-11-01 삼성전자주식회사 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법
US8026044B2 (en) 2007-02-28 2011-09-27 Samsung Electronics Co., Ltd. Method of forming fine patterns of semiconductor device
KR101346294B1 (ko) * 2007-03-12 2014-01-02 삼성전자주식회사 반도체 소자의 형성 방법
KR100822622B1 (ko) 2007-04-20 2008-04-16 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
KR100886219B1 (ko) 2007-06-07 2009-02-27 삼성전자주식회사 자기정렬된 이중 패터닝을 채택하는 미세 패턴 형성 방법
KR100948464B1 (ko) 2007-12-28 2010-03-17 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법
KR100953054B1 (ko) 2008-08-01 2010-04-14 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
KR100983711B1 (ko) 2008-08-29 2010-09-24 주식회사 하이닉스반도체 반도체소자의 형성방법
KR100994714B1 (ko) * 2008-08-29 2010-11-17 주식회사 하이닉스반도체 반도체 장치 제조 방법
KR101515907B1 (ko) * 2008-10-23 2015-04-29 삼성전자주식회사 반도체 소자의 패턴 형성 방법
KR101732936B1 (ko) 2011-02-14 2017-05-08 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US11901187B2 (en) 2021-04-23 2024-02-13 Samsung Electronics Co., Ltd. Semiconductor device including hard mask structure with repeating spin-on hard mask layers

Also Published As

Publication number Publication date
KR20060110097A (ko) 2006-10-24
US8062981B2 (en) 2011-11-22
JP2006303500A (ja) 2006-11-02
US20070123037A1 (en) 2007-05-31
US7576010B2 (en) 2009-08-18
US7473647B2 (en) 2009-01-06
US20090117497A1 (en) 2009-05-07
US20060234166A1 (en) 2006-10-19
JP5052814B2 (ja) 2012-10-17

Similar Documents

Publication Publication Date Title
KR100640640B1 (ko) 미세 피치의 하드마스크를 이용한 반도체 소자의 미세 패턴형성 방법
KR100734464B1 (ko) 미세 피치의 하드마스크 패턴 형성 방법 및 이를 이용한반도체 소자의 미세 패턴 형성 방법
JP5492381B2 (ja) ダブルパターニング工程を用いる半導体素子の微細パターン形成方法
KR100752674B1 (ko) 미세 피치의 하드마스크 패턴 형성 방법 및 이를 이용한반도체 소자의 미세 패턴 형성 방법
US7588985B2 (en) Method for fabricating fin transistor
TWI387001B (zh) 在間距重覆程序期間隔離陣列圖案之方法與具有隔離陣列圖案之半導體裝置結構
US11710778B2 (en) Semiconductor device and method for fabricating the same
KR20070052023A (ko) 플라스크형 리세스 게이트를 갖는 반도체 소자의 제조방법
KR101348280B1 (ko) 미세 피치의 하드마스크 패턴 형성 방법 및 이를 이용한반도체 소자의 미세 패턴 형성 방법
US20180261456A1 (en) Substantially defect-free polysilicon gate arrays
JP2006135067A (ja) 半導体装置およびその製造方法
US7935635B2 (en) Method of forming fine patterns of semiconductor devices using double patterning
KR20070113604A (ko) 반도체 소자의 미세패턴 형성방법
JP2009010156A (ja) パターン形成方法
KR100672765B1 (ko) 반도체 소자의 제조 방법
CN111668091B (zh) 半导体器件及其形成方法
JP2002118253A (ja) 半導体装置およびその製造方法
KR20060113265A (ko) 리세스게이트공정을 이용한 반도체장치의 제조 방법
KR20050002055A (ko) 스택 구조의 게이트 전극 형성방법

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120925

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20130930

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20141001

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20151001

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20160930

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20180927

Year of fee payment: 13