KR101732936B1 - 반도체 소자의 미세 패턴 형성 방법 - Google Patents

반도체 소자의 미세 패턴 형성 방법 Download PDF

Info

Publication number
KR101732936B1
KR101732936B1 KR1020110012955A KR20110012955A KR101732936B1 KR 101732936 B1 KR101732936 B1 KR 101732936B1 KR 1020110012955 A KR1020110012955 A KR 1020110012955A KR 20110012955 A KR20110012955 A KR 20110012955A KR 101732936 B1 KR101732936 B1 KR 101732936B1
Authority
KR
South Korea
Prior art keywords
patterns
region
mask
pattern
forming
Prior art date
Application number
KR1020110012955A
Other languages
English (en)
Other versions
KR20120092983A (ko
Inventor
하현지
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020110012955A priority Critical patent/KR101732936B1/ko
Priority to US13/295,667 priority patent/US8835321B2/en
Publication of KR20120092983A publication Critical patent/KR20120092983A/ko
Priority to US14/477,383 priority patent/US20140370713A1/en
Application granted granted Critical
Publication of KR101732936B1 publication Critical patent/KR101732936B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3085Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/41Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region of a memory region comprising a cell select transistor, e.g. NAND

Abstract

반도체 소자의 미세 패턴 형성 방법이 제공된다. 반도체 소자의 미세 패턴 형성 방법은 제 1 영역 및 제 2 영역을 포함하는 하부막 상에 하부 마스크층을 형성하는 것, 하부 마스크층 상에 상부 마스크 패턴들을 형성하되, 상부 마스크 패턴들 간의 간격이 제 1 영역에서보다 제 2 영역에서 큰 것, 상부 마스크 패턴들의 양 측벽들에 스페이서들을 형성하는 것, 인접하는 스페이서들 사이를 채우는 갭필 패턴들을 형성하되, 갭필 패턴들의 폭이 제 1 영역에서보다 제 2 영역에서 큰 것, 제 2 영역 상에서 적어도 하나의 갭필 패턴을 노출시키는 트리밍 마스크 패턴을 형성하는 것, 트리밍 마스크 패턴에 노출된 갭필 패턴과, 이에 인접한 한 쌍의 상부 마스크 패턴들을 제거하는 트리밍 공정을 수행하는 것, 트리밍 마스크 패턴 및 스페이서들을 제거하고, 하부 마스크층 상에 잔류하는 상부 마스크 패턴들과 갭필 패턴들을 식각 마스크로 이용하여, 하부 마스크층을 패터닝함으로써 제 1 영역과 제 2 영역에서 서로 다른 폭을 갖는 하부 마스크 패턴들을 형성하는 것, 및 하부 마스크 패턴들을 이용하여 하부막을 패터닝함으로써 폭이 서로 다른 협폭 패턴들과 광폭 패턴들을 동시에 형성하는 것을 포함한다.

Description

반도체 소자의 미세 패턴 형성 방법{Method for forming fine patterns of a semiconductor device}
본 발명은 반도체 소자의 미세 패턴 형성 방법에 관한 것으로서, 보다 상세하게는 폭이 서로 다른 패턴들을 동시에 형성할 수 있는 반도체 소자의 미세 패턴 형성 방법에 관한 것이다.
반도체 소자는 반도체 집적회로(IC)를 구현하는 패턴들을 포함한다. 반도체 소자를 구성하는 패턴들은, 패턴을 형성하기 위한 소정의 하부막 상에 포토리소그래피(Photolithography) 기술을 이용하여 포토레지스트 패턴을 형성한 후, 포토레지스트 패턴을 식각 마스크로 이용하여 하부막을 식각함으로써 형성될 수 있다.
이와 같이 형성되는 반도체 소자의 패턴들의 선폭은 반도체 집적회로의 고집적화에 따라, 급격히 감소하고 있다. 그러나, 패턴들(예를 들어 라인 앤드 스페이스(line and space) 패턴)을 형성하기 위해, 필수적으로 진행되는 포토리소그래피 공정에서의 해상도 한계로 인하여, 한계 해상도(resolution)보다 작은 선폭을 갖는 미세 패턴들을 형성하는데 어려움이 있다.
또한, 반도체 소자는 고밀도의 미세 패턴들과 함께 미세 패턴보다 폭이 큰 패턴들을 함께 구비한다. 이에 따라, 공정을 단순화하기 위해 미세 패턴들과 함께 일반 패턴들을 동시에 형성할 수 있는 방법이 요구된다.
본원 발명이 해결하고자 하는 과제는 고집적도의 미세 패턴들을 갖는 반도체 소자의 제조 방법을 제공하는데 있다.
본 발명이 해결하고자 하는 과제는 이상에서 언급한 과제에 제한되지 않으며, 언급되지 않은 또 다른 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.
상기 해결하고자 하는 과제를 달성하기 위하여 본 발명의 일 실시예에 따른 반도체 소자의 미세 패턴 형성 방법은 제 1 영역 및 제 2 영역을 포함하는 하부막 상에 하부 마스크층을 형성하는 것, 하부 마스크층 상에 상부 마스크 패턴들을 형성하되, 상부 마스크 패턴들 간의 간격이 제 1 영역에서보다 제 2 영역에서 큰 것, 상부 마스크 패턴들의 양 측벽들에 스페이서들을 형성하는 것, 인접하는 스페이서들 사이를 채우는 갭필 패턴들을 형성하되, 갭필 패턴들의 폭이 제 1 영역에서보다 제 2 영역에서 큰 것, 제 2 영역 상에서 적어도 하나의 갭필 패턴을 노출시키는 트리밍 마스크 패턴을 형성하는 것, 트리밍 마스크 패턴에 노출된 갭필 패턴과, 이에 인접한 한 쌍의 상부 마스크 패턴들을 제거하는 트리밍 공정을 수행하는 것, 트리밍 마스크 패턴 및 스페이서들을 제거하고, 하부 마스크층 상에 잔류하는 상부 마스크 패턴들과 갭필 패턴들을 식각 마스크로 이용하여, 하부 마스크층을 패터닝함으로써 제 1 영역과 제 2 영역에서 서로 다른 폭을 갖는 하부 마스크 패턴들을 형성하는 것, 및 하부 마스크 패턴들을 이용하여 하부막을 패터닝함으로써 폭이 서로 다른 협폭 패턴들과 광폭 패턴들을 동시에 형성하는 것을 포함한다.
기타 실시예들의 구체적인 사항들은 상세한 설명 및 도면들에 포함되어 있다.
본 발명의 실시예들에 따른 반도체 소자의 미세 패턴 형성 방법에 따르면, 포토리소그래피 공정을 증가시키지 않고, 포토리소그래피 공정의 한계 해상도 이하의 선폭을 갖는 협폭narrow-width) 패턴들과, 협폭 패턴보다 넓은 폭을 갖는 광폭(wide-width) 패턴들을 동시에 형성할 수 있다.
도 1 내지 도 12는 본 발명의 일 실시예에 따른 반도체 소자의 미세 패턴 형성 방법을 순서대로 나타내는 도면들이다.
도 13은 본 발명의 일 실시예에 따른 미세 패턴 형성 방법을 이용하여 형성된 낸드(NAND) 플래시 메모리 장치의 평면도이다.
도 14는 본 발명의 일 실시예에 따른 미세 패턴 형성 방법을 이용하여 형성된 낸드 플래시 메모리 장치의 단면도로서, 도 12의 Ⅰ-Ⅰ'선을 따라 자른 단면이다.
도 15는 본 발명의 일 실시예에 따른 미세 패턴 형성 방법을 이용하여 형성된 반도체 메모리 장치의 단면도이다.
본 발명의 이점 및 특징, 그리고 그것들을 달성하는 방법은 첨부되는 도면과 함께 상세하게 후술되어 있는 실시예를 참조하면 명확해질 것이다. 그러나 본 발명은 이하에서 개시되는 실시예에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 수 있으며, 단지 본 실시예는 본 발명의 개시가 완전하도록 하고, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이며, 본 발명은 청구항의 범주에 의해 정의될 뿐이다. 명세서 전문에 걸쳐 동일 참조 부호는 동일 구성 요소를 지칭한다.
본 명세서에서 사용된 용어는 실시예들을 설명하기 위한 것이며 본 발명을 제한하고자 하는 것은 아니다. 본 명세서에서, 단수형은 문구에서 특별히 언급하지 않는 한 복수형도 포함한다. 명세서에서 사용되는 '포함한다(comprises)' 및/또는 '포함하는(comprising)'은 언급된 구성요소, 단계, 동작 및/또는 소자는 하나 이상의 다른 구성요소, 단계, 동작 및/또는 소자의 존재 또는 추가를 배제하지 않는다.
또한, 본 명세서에서 기술하는 실시예들은 본 발명의 이상적인 예시도인 단면도 및/또는 평면도들을 참고하여 설명될 것이다. 도면들에 있어서, 막 및 영역들의 두께는 기술적 내용의 효과적인 설명을 위해 과장된 것이다. 따라서, 제조 기술 및/또는 허용 오차 등에 의해 예시도의 형태가 변형될 수 있다. 따라서, 본 발명의 실시예들은 도시된 특정 형태로 제한되는 것이 아니라 제조 공정에 따라 생성되는 형태의 변화도 포함하는 것이다. 예를 들면, 직각으로 도시된 식각 영역은 라운드지거나 소정 곡률을 가지는 형태일 수 있다. 따라서, 도면에서 예시된 영역들은 개략적인 속성을 가지며, 도면에서 예시된 영역들의 모양은 소자의 영역의 특정 형태를 예시하기 위한 것이며 발명의 범주를 제한하기 위한 것이 아니다.
반도체 소자는 포토리소그래피 공정의 한계 해상도 이하의 선폭을 갖는 미세 패턴들을 구비한다. 또한, 반도체 소자는 고밀도의 미세 패턴들과 함께, 미세 패턴보다 폭이 큰 패턴들을 함께 구비한다. 그리고, 포토리소그래피 공정의 한계 해상도 이하의 선폭을 갖는 미세 패턴들을 형성하기 위해서, 마스크 패턴들의 측벽에 스페이서를 형성하여 패터닝하는 이중 패터닝 기술(double patterning technology)이 이용될 수 있다. 그러나, 반도체 소자의 모든 영역에 미세 패턴들이 형성되지 않으며, 소정 영역들에서는 포토리소그래피 공정의의 해상도에 의해 구현되는 일반적인 패턴들이 형성될 수 있다. 따라서, 공정을 단순화하기 위해 미세 패턴들과 함께 일반적인 패턴들을 동시에 형성할 수 있는 방법이 요구된다.
이하, 도면들을 참조하여 본 발명의 일 실시예에 따른 반도체 소자의 제조 방법에 대해 상세히 설명한다.
도 1을 참조하면, 제 1 영역(10) 및 제 2 영역(20)을 포함하는 반도체 기판(100)이 제공된다. 구체적으로, 반도체 기판(100)은 미세한 폭을 가지며 패턴들의 밀도가 높은 제 1 영역(10)과, 패턴들의 폭이 크고 패턴들의 밀도가 낮은 제 2 영역(20)을 포함한다. 즉, 반도체 기판(100) 상에서 소정 영역들 별로 폭이 서로 다른 패턴들이 형성될 수 있다. 일 실시예에 따르면, 제 1 영역(10)에는 협폭(narrow-width) 패턴들이 형성될 수 있으며, 제 2 영역(20)에는 광폭(wide-width) 패턴들이 형성될 수 있다. 또한, 일 실시예에서, 제 1 영역(10)은 단위 메모리 소자들이 형성되는 셀 어레이 영역일 수 있으며, 제 2 영역(20)은 제 1 영역(10)에 형성된 단위 메모리 소자들을 구동시키기 위한 주변회로들이 형성되는 주변회로 영역 또는 코어 영역일 수 있다. 이와 달리, 제 1 영역(10)은 셀 어레이 영역의 일부로서 비교적 좁은 폭을 갖는 협폭 패턴들이 형성되는 영역일 수 있으며, 제 2 영역(20)은 셀 어레이 영역의 일부로서 비교적 큰 폭을 가지는 광폭 패턴들이 형성되는 영역일 수 있다.
이와 같은 반도체 기판(100) 상에는 패턴들을 형성하기 위한 하부막(110)이 형성된다. 하부막(110)은 반도체 소자를 구성하는 게이트 라인(gate line), 비트 라인(bit line) 또는 활성 패턴(active pattern) 등과 같은 패턴을 형성하기 위한 막일 수 있다. 예를 들어, 하부막(110)은 도전막, 반도체막, 절연막 또는 이들이 조합된 적층막일 수 있다.
일 실시예에서, 하부막(110)을 패터닝하여 게이트 라인들 또는 비트 라인들을 형성하는 경우, 도전성 폴리실리콘(polysilicon)막, 금속막 또는 금속 실리사이드막과 같은 도전막일 수 있다. 다른 실시예에서, 하부막(110)을 패터닝하여 반도체 소자의 활성 패턴을 형성하는 경우, 하부막(110)은 반도체 기판(100) 자체일 수 있다. 또 다른 실시예로, 하부막(110)은 반도체 소자를 구성하는 패턴들을 패터닝하기 위한 마스크 패턴을 형성하기 위한 막일 수도 있다. 예컨대, 열산화막(thermal oxide layer), 화학기상증착(CVD: Chemical Vapor Deposition) 산화막, 고밀도 플라즈마(HDP) 산화막, 또는, USG(Undoped Silicate Glass), SOG(Spin On Glass), 및 TEOS(TetraEthylOrthoSilicate) 등과 같은 산화막일 수 있다. 또는, SiON, SiN, SiBN, BN 등과 같은 질화물의 층일 수 있다. 또한, 하부막(110)은 고유전 상수(high-k) 물질막일 수 있다.
이와 같은 하부막(110) 상에는 캡핑층(120), 제 1 하드 마스크층(130) 및 제 2 하드 마스크층(140)이 차례로 형성될 수 있다. 캡핑층(120)은 하부막(110)의 일부분일 수도 있으며, 캡핑층(120)은 하부막(110)에 대해 식각 선택성을 갖는 물질로 형성될 수 있다. 그리고, 캡핑층(120), 제 1 하드 마스크층(130) 및 제 2 하드 마스크층(140)은 각각 소정의 식각 조건 하에서 서로 다른 식각 특성을 가지는 물질로 형성될 수 있다. 또한, 제 1 및 제 2 하드 마스크층들(130, 140)은 단일층이거나 2개 이상의 층이 적층된 적층막일 수도 있다.
예를 들어, 캡핑층(120)은 실리콘 질화막 또는 실리콘 산질화막일 수 있으며, 제 1 하드 마스크층(130)은 CVD 산화막, 고밀도플라즈마(HDP) 산화막, TEOS(TetraEthylOrthoSilicate), PE-TEOS(Plasma Enhanced TetraEthylOrthoSilicate)과 같은 실리콘 산화막일 수 있다. 제 2 하드 마스크층(140)은 실리콘 질화막, 실리콘 산질화막 폴리실리콘막, 탄소함유막 또는 이들의 조합으로 이루어진 적층막일 수 있다. 여기서, 탄소 함유막은 비정질 탄소층(ACL; amorphous carbon layer)일 수 있다.
반도체 기판(100) 상에 하부막(110), 캡핑층(120), 제 1 및 제 2 하드 마스크층들(130, 140)들 차례로 적층한 후, 제 2 하드 마스크층(140) 상에 복수의 제 1 및 제 2 포토레지스트 패턴들(151, 153)을 형성한다. 이 때, 제 1 및 제 2 포토레지스트 패턴들(151, 153)은 포토리소그래피 공정에 의해 구현되는 폭을 가질 수 있다.
일 실시예에 따르면, 제 1 영역(10) 상에 제 1 포토레지스트 패턴들(151)이 형성되고, 제 2 영역(20) 상에 제 2 포토레지스트 패턴들(153)이 형성될 수 있다. 여기서, 제 1 포토레지스트 패턴들(151)의 폭(W1)이 제 2 포토레지스트 패턴들(153)의 폭(W2)보다 작을 수 있다. 그리고, 제 1 포토레지스트 패턴들(151) 간의 간격(D1) 또한 제 2 포토레지스트 패턴들(153) 간의 간격(D2)보다 작을 수 있다. 또한, 일 실시예에 따르면, 제 1 포토레지스트 패턴들(151)의 폭(W1)은 제 1 영역(10)에 형성될 협폭 패턴들의 선폭의 3배 이상일 수 있다. 그리고, 제 2 포토레지스트 패턴들(153)의 폭(W2) 또한, 제 2 영역(20)에 형성될 광폭 패턴의 폭보다 클 수 있다.
이러한 제 1 및 제 2 포토레지스트 패턴들(151, 153)은, 제 2 하드 마스크층(140) 상에 레지스트 물질을 도포하여 포토레지스트층을 형성하고, 포토레지스트층에 대해 노광(Exposure) 및 현상(development) 공정을 수행하여 형성될 수 있다. 일 실시예에 따르면, 포토레지스트층에 라인 앤 스페이스(line and space) 패턴을 형성하기 위한 포토리소그래피 공정이 수행될 수 있다. 또한, 포토리소그래피 공정시, 불화크립톤(KrF) 레이저, 불화아르곤(ArF) 레이저, 불소(F2) 레이저 또는 EUV(extreme ultraviolet)를 이용하는 노광 공정이 수행될 수 있다. 한편, 포토레지스트 패턴들은 라인 형태 대신 개구를 갖는 폐곡선 형태일 수도 있다.
나아가, 제 2 하드 마스크층(140)과 제 1 및 제 2 포토레지스트 패턴들(151, 153) 사이에는 반사 방지 패턴(미도시)이 형성될 수 있다. 반사 방지 패턴은, 제 2 하드 마스크층(140)에 대해 식각 선택비를 가지며, 노광 공정시 빛을 흡수하여 광반사를 방지하는 물질로 형성될 수 있다. 반사 방지 패턴은 유기 화합물 또는 무기 화합물로 이루어질 수 있다. 일 실시예에 따르면, 반사 방지 패턴은 포토레지스트와 그 식각 특성이 유사한 유기 물질로 형성될 수 있다. 예를 들어, 반사 방지 패턴은 실리콘 산질화막으로 형성될 수 있다. 이와 같이, 제 2 하드 마스크층(140)과 제 1 및 제 2 포토레지스트 패턴들(151, 153) 사이에 반사 방지 패턴들을 형성함으로써, 제 1 및 제 2 포토레지스트 패턴들(151, 153)을 형성하는 포토리소그래피 공정시 포토레지스트층에 조사되는 빛의 반사 및 산란에 의해 의해 포토레지스트 패턴의 선폭이 변동되는 것을 방지할 수 있다.
도 2를 참조하면, 제 1 및 제 2 포토레지스트 패턴들(151, 153)의 측벽에 제 1 스페이서들(155)을 형성한다.
제 1 스페이서들(155)을 형성하는 것은, 제 1 및 제 2 포토레지스트 패턴들(151, 153)이 형성된 제 2 하드 마스크층(140) 상에 제 1 및 제 2 포토레지스트 패턴들(151, 153)의 상면 및 측벽을 균일한 두께로 덮는 제 1 스페이서막을 형성하는 것과, 제 2 하드 마스크층(140)이 노출되도록 제 1 스페이서막을 이방성 식각하는 것을 포함한다. 여기서, 제 1 스페이서막의 두께는 하부막(110)에 형성될 협폭 패턴의 선폭과 동일할 수 있다. 그리고, 제 1 스페이서막은 제 2 하드 마스크층(140)에 대해 식각 선택성을 갖는 물질로 형성될 수 있다. 예를 들어, 제 1 스페이서막은 ALD 산화막, CVD 산화막, 고밀도플라즈마(HDP) 산화막, TEOS(TetraEthylOrthoSilicate), PE-TEOS(Plasma Enhanced TetraEthylOrthoSilicate)과 같은 실리콘 산화막일 수 있다. 또한, 제 1 스페이서막은 폴리실리콘막, 실리콘 질화막 또는 실리콘 산질화막일 수도 있다.
제 1 스페이서들(155)을 형성한 후에는 제 1 및 제 2 포토레지스트 패턴들(151, 153)을 제거하여 제 1 스페이서들(155) 사이의 제 2 하드 마스크층(140)을 노출시킨다.
도 3을 참조하면, 제 1 스페이서들(155)을 식각 마스크로 이용하여 제 2 하드 마스크층(140)을 패터닝함으로써, 상부 마스크 패턴들(141, 143)을 형성한다. 상부 마스크 패턴들(141, 143)을 형성한 후, 상부 마스크 패턴들(141, 143) 상에 잔류하는 제 1 스페이서들(155)은 제거될 수 있다.
상부 마스크 패턴들(141, 143)은 제 1 스페이서들(155)을 식각 마스크로 이용하기 때문에, 제 1 및 제 2 영역들(10, 20)에서 동일한 폭을 갖되, 제 1 영역(10)에서 상부 마스크 패턴들(141) 간의 간격이 제 2 영역(20)에서 상부 마스크 패턴들(143) 간의 간격보다 작을 수 있다. 즉, 제 1 영역(10)에서 상부 마스크 패턴들(141) 간의 간격은 도 1에서 형성된 제 1 포토레지스트 패턴(151)의 폭(W1)과 동일할 수 있으며, 제 2 영역(20)에서 상부 마스크 패턴들(143) 간의 간격은 도 1에서 형성된 제 2 포토레지스트 패턴(153)의 폭(W2)과 동일할 수 있다.
도 4를 참조하면, 상부 마스크 패턴들(141, 143)이 형성된 제 1 하드 마스크층(130) 상에 제 2 스페이서막(160)을 형성한다. 제 2 스페이서막(160)은 제 1 하드 마스크층(130) 상에 형성된 상부 마스크 패턴들(141, 143)의 상면 및 측벽을 균일한 두께로 덮을 수 있다. 여기서, 제 2 스페이서막(160)의 두께는 하부막(110)에 형성될 협폭 패턴의 선폭과 동일할 수 있다. 그리고, 제 2 스페이서막(160)은 제 1 하드 마스크층(130) 및 상부 마스크 패턴들(141, 143)에 대해 식각 선택성을 갖는 물질로 형성될 수 있다. 예를 들어, 제 2 스페이서막(160)은 ALD 산화막, CVD 산화막, 고밀도플라즈마(HDP) 산화막, TEOS(TetraEthylOrthoSilicate), PE-TEOS(Plasma Enhanced TetraEthylOrthoSilicate)과 같은 실리콘 산화막일 수 있다.
도 5를 참조하면, 제 2 스페이서막(160)을 이방성 식각하여, 상부 마스크 패턴들(141, 143)의 양측벽들에 제 2 스페이서(161)들을 형성한다. 일 실시예에 따르면, 제 1 영역(10)과 제 2 영역(20)에서 상부 마스크 패턴들(141, 143) 간의 간격들이 다르므로, 제 1 영역(10)에서 인접하는 제 2 스페이서(161)들의 간격과 제 2 영역(20)에서 인접하는 제 2 스페이서들(161)의 간격이 서로 다를 수 있다. 그리고, 제 1 영역(10)에서 인접하는 제 2 스페이서(161)들의 간격은 상부 마스크 패턴(141)의 폭과 실질적으로 동일할 수 있다. 또한, 제 2 스페이서(161)의 폭은 제 1 스페이서(155)의 폭과 실질적으로 동일할 수 있다.
도 6을 참조하면, 제 1 하드 마스크층(130) 상에 제 2 스페이서들(161) 사이를 채우는 갭필(gap filling) 패턴들(171, 173)을 형성한다. 제 2 스페이서들(161)의 간격이 제 1 영역(10)과 제 2 영역(20)에서 서로 다르므로, 제 1 영역(10)에 폭이 좁은 제 1 갭필 패턴들(171)이 형성될 수 있으며, 제 2 영역(20)에 폭이 넓은 제 2 갭필 패턴들(173)이 형성될 수 있다.
제 1 및 제 2 갭필 패턴들(171, 173)을 형성하는 것은, 제 2 스페이서(161)들 사이에 노출된 제 1 하드 마스크층(130) 상에 갭필막을 형성하는 것과, 상부 마스크 패턴들(141, 143)의 상면이 노출되도록 갭필막을 평탄화하는 것을 포함한다. 여기서, 갭필막은 제 2 스페이서(161)에 대해 식각 선택성을 갖는 물질로 형성될 수 있다. 또한, 갭필막은 상부 마스크 패턴들(141, 143)과 동일한 물질로 형성될 수 있다. 예를 들어, 갭필막은 폴리실리콘막, 실리콘 질화막, 실리콘 산질화막 또는 탄소함유막일 수 있다.
이와 같이, 제 1 및 제 2 갭필 패턴들(171, 173)을 형성함에 따라 제 1 하드 마스크층(130) 상에 상부 마스크 패턴들(141, 143), 제 2 스페이서(161)들 및 제 1 및 제 2 갭필 패턴들(171, 173)을 포함하는 마스크 구조체가 형성될 수 있다. 그리고, 마스크 구조체는 제 1 및 제 2 갭필 패턴들(171, 173)을 형성하기 위한 평탄화 공정에 의해 평탄화된 상면을 가질 수 있다.
도 7을 참조하면, 마스크 구조체 상에 제 2 영역(20)에서 패턴들이 형성되지 않을 영역(즉, 패턴들 사이)을 노출시키는 트리밍(trimming) 마스크 패턴(180)을 형성한다. 즉, 트리밍 마스크 패턴(180)은 제 2 갭필 패턴들(173) 중 제거하고자 하는 부분 만을 노출시키도록 형성된다. 다시 말해, 제 2 영역(20)에서 적어도 하나의 제 2 갭필 패턴(173)의 상면이 노출되도록 트리밍 마스크 패턴(180)을 형성한다. 보다 구체적으로, 트리밍 마스크 패턴(180)에 의해 적어도 하나의 제 2 갭필 패턴(173)의 상면과, 이에 인접한 양측의 제 2 스페이서들(161)의 상면이 노출될 수 있다. 이 때, 트리밍 마스크 패턴(180)에 의해 제 2 스페이서(161)들의 상면은 일부 또는 전체가 노출될 수 있다. 예를 들어, 트리밍 마스크 패턴(180)에 형성되는 개구의 최대 폭은 도 1에서 형성된 제 2 포토레지스트 패턴들(153) 간의 간격(D2)일 수 있다. 이러한 트리밍 마스크 패턴(180)은 포토레지스트막을 도포하고, 노광 및 현상 공정을 수행하여 형성될 수 있다.
도 8을 참조하면, 트리밍 마스크 패턴(180)에 의해 노출된 제 2 스페이서들(161)을 선택적으로 제거한다. 예를 들어, 등방성 식각 공정을 수행하여 트리밍 마스크 패턴(180)에 노출된 제 2 스페이서들(161)이 선택적으로 제거될 수 있다. 제 2 스페이서들(161)은 상부 마스크 패턴들(143) 및 제 2 갭필 패턴들(173)에 대해 식각 선택성을 갖는 물질로 형성되므로, 등방성 식각 공정에 의해 트리밍 마스크 패턴(180)에 의해 노출된 제 2 스페이서들(161)이 선택적으로 제거될 수 있다.
일 실시예에서, 제 2 스페이서(161)들이 실리콘 산화막으로 형성된 경우, DHF (diluted HF), NH4F, 또는 이들의 조합으로 이루어진 식각액을 사용하여 제 2 스페이서(161)들을 습식 식각할 수 있다. 보다 구체적으로, 순수와 HF가 50:1의 부피비로 혼합된 DHF가 식각액으로 사용될 수 있다. 이와 달리, 실리콘 산화막으로 이루어진 제 2 스페이서(161)들은 건식 식각 공정을 통해 제거될 수도 있다. 건식 식각 공정을 이용하는 경우, CxFy (x 및 y는 각각 1 내지 10의 정수) 가스를 식각 가스로 이용할 수 있다. 또는, 상기 식각 가스로서 CxFy 및 O2의 혼합 가스, 또는 CxFy, O2 및 Ar의 혼합 가스를 사용할 수 있다. 상기 CxFy 가스로서 예를 들면 C3F6, C4F6, C4F8, 또는 C5F8을 사용할 수 있다. 여기서, 상기 식각 가스에 첨가되는 O2는 식각 공정 중에 발생되는 폴리머 부산물을 제거하는 역할과, CxFy 식각 가스를 분해시키는 역할을 한다. 또한, 상기 식각 가스에 첨가되는 Ar은 캐리어 가스로 이용되며, 또한 이온 충돌(ion bombarding)이 이루어지도록 하는 역할을 한다.
이어서, 도 9를 참조하면, 트리밍 마스크 패턴(180)에 의해 노출된 상부 마스크 패턴들(143)과 제 2 갭필 패턴(173)을 제거한다. 일 실시예에서, 상부 마스크 패턴들(143)과 제 2 갭필 패턴(173)이 동일한 물질로 형성된 경우, 등방성 식각 공정에 의해 상부 마스크 패턴들(143)과 제 2 갭필 패턴(173)이 동시에 제거될 수 있다.
상부 마스크 패턴(143), 제 2 스페이서(161), 제 2 갭필 패턴(173)이 각각 식각 선택성을 갖는 물질들로 형성되는 경우, 서로 다른 식각 레서피를 갖는 등방성 식각 공정을 수행하여 제 2 갭필 패턴(173), 제 2 스페이서(161), 및 상부 마스크 패턴(143)이 차례로 제거될 수 있다. 이러한 등방성 식각 공정들을 수행할 때 인접하는 막들이 식각 정지막 역할을 할 수 있다.
한편, 다른 실시예에 따르면, 도 10에 도시된 것처럼, 트리밍 마스크 패턴(180)을 형성한 후, 트리밍 마스크 패턴(180)에 노출된 상부 마스크 패턴들(143)과 제 2 갭필 패턴(173)을 제거하는 등방성 식각 공정이 진행될 수 있다. 이에 따라, 도시된 것처럼, 상부 마스크 패턴들(143)과 제 2 갭필 패턴(173) 사이의 제 2 스페이서(161)가 잔류할 수 있다.
이후, 트리밍 마스크 패턴(180)을 제거하는 공정 및 제 2 스페이서들(161)을 제거하는 공정들을 차례로 수행한다. 일 실시예에서, 제 2 스페이서들(161)이 실리콘 산화막으로 형성된 경우, 불소(F)를 함유하는 식각액을 사용하여 제 2 스페이서들(161)을 제거할 수 있다. 예를 들어, 순수(DO)와 HF가 50:1의 부피비로 혼합된 DHF가 식각액으로 사용될 수 있다.
이에 따라, 도 11에 도시된 것처럼, 제 1 영역(10) 상에는 미세 선폭을 갖는 제 1 갭필 패턴들(171) 및 상부 마스크 패턴들(141)이 번갈아 형성되며, 제 2 영역(20)에는 제 2 갭필 패턴들(173)이 소정 간격 이격되어 형성될 수 있다. 제 1 영역(10)에서 인접하는 제 1 갭필 패턴과 상부 마스크 패턴(141) 간의 간격은 제거된 제 2 스페이서들(161)들의 폭일 수 있다.
도 12를 참조하면, 제 1 하드 마스크층(130)에 형성된 제 1 및 제 2 갭필 패턴들(171, 173) 및 상부 마스크 패턴들(141)을 제 1 하드 마스크층(130)에 전사시켜 하부 마스크 패턴들(131, 133)을 형성한다. 이에 따라, 캡핑층(120) 상에 제 1 영역(10)에서의 폭과, 제 2 영역(20)에서의 폭이 서로 다른 하부 마스크 패턴들(131, 133)이 동시에 형성될 수 있다.
이후, 하부 마스크 패턴들(131, 133)을 이용하여 반도체 기판(100) 상의 하부막(110) 및 캡핑층(120)을 패터닝함으로써, 도 13에 도시된 것처럼, 도전 패턴(111, 113)과 캡핑 패턴(121, 123)이 적층된 형태의 패턴들이 반도체 기판(100) 상에 형성될 수 있다.
즉, 제 1 영역(10)에는 포토리소그래피 공정의 한계 분해능(resolution limit)보다 작은 치수(dimension)의 제 1 선폭(W3)을 갖는 협폭(narrow width) 패턴들(111)이 형성될 수 있다. 예를 들어, 제 1 선폭은 약 10nm 내지 40nm의 폭을 가질 수 있다. 그리고, 제 2 영역(20)에는 협폭 패턴들(111)의 제 1 선폭(W3)보다 큰 제 2 선폭(W4)을 갖는 광폭(wide width) 패턴들(113)이 형성될 수 있다.
일 실시예에서, 제 1 영역(10)에 형성되는 협폭 패턴들(111)의 제 1 선폭(W3)은 도 1에서 제 1 영역(10)에 형성된 제 1 포토레지스트 패턴(151)의 폭(W1)의 1/3의 폭일 수 있다. 그리고, 제 2 영역(20)에 형성되는 광폭 패턴들(113)은 도 1에서 제 2 영역(20)에 형성된 제 2 포토레지스트 패턴(153)의 폭(W2)에서 협폭 패턴들(111)의 피치(W3+D3)만큼 감소된 wp 2 선폭(W4)을 가질 수 있다. 그리고, 광폭 패턴들(113)의 제 2 선폭(W4)은 협폭 패턴들(111)의 제 1 선폭(W3)의 약 3배 내지 5배일 수 있다.
또한, 제 1 영역(10)에서 협폭 패턴들(111)은 제 1 선폭(W3)과 동일한 제 1 간격(D3)으로 배열될 수 있으며, 제 2 영역(20)에서 광폭 패턴들(113)은 제 2 선폭(W4)과 동일하거나 큰 제 2 간격(D4)으로 배열될 수 있다.
일 실시예에서, 협폭 패턴들(111)은 두 번의 스페이서 형성 공정과, 한번의 제 1 및 제 2 갭필 패턴들 형성 공정으로 형성되므로, 협폭 패턴들(111) 간의 간격(D3)은 도 1에서 제 1 영역(10)에 형성된 제 1 포토레지스트 패턴들(151)의 간격(D1)의 1/5의 간격일 수 있다. 그리고, 광폭 패턴들(113) 간의 간격(D4)은 도 1에서 제 2 영역(20)에 형성된 제 2 포토레지스터 패턴들(153) 간의 간격(D2)에 따라 달라질 수 있다.
도 14는 본 발명의 일 실시예에 따른 미세 패턴 형성 방법을 이용하여 형성된 낸드(NAND) 플래시 메모리 장치의 평면도이다.
도 15는 본 발명의 일 실시예에 따른 미세 패턴 형성 방법을 이용하여 형성된 낸드(NAND) 플래시 메모리 장치의 단면도로서, 도 12의 Ⅰ-Ⅰ'선을 따라 자른 단면이다.
도 14 및 도 15를 참조하면, 낸드(NAND) 플래시 메모리 장치는 복수의 셀 스트링들을 포함하는 셀 어레이 영역(10, 20)을 구비한다.
각각의 셀 스트링들은 소오스 영역(CSL)과 드레인 영역(D) 사이에 직렬로 연결된 접지 선택 트랜지스터 및 스트링 선택 트랜지스터와, 접지 선택 트랜지스터와 스트링 선택 트랜지스터 사이에 직렬로 연결된 복수의 셀 트랜지스터들로 구성된다. 이를 위해 셀 어레이 영역(10, 20)에는 접지 선택 게이트 라인(GSL1) 및 스트링 선택 게이트 라인(SSL1)과, 스트링 선택 게이트 라인(SSL1) 및 접지 선택 게이트 라인(GSL1) 사이에 배치된 복수개의 워드 라인들(WL1~WLn)이 형성된다. 각 셀 스트링들의 드레인 영역들(D)은 비트라인 콘택(DC)을 통해 비트 라인들(BL1~BL5)과 연결되며, 비트 라인들(BL1~BL5)은 워드 라인들(WL1~WL5)을 가로질러 배치된다. 또한, 셀 스트링들의 소오스 영역들(CSL)은 게이트 라인 방향으로 연결되어 공통 소오스 라인을 형성한다.
이와 같은 낸드 플래시 메모리 장치에서 워드 라인들(WL1~WLn), 접지 및 스트링 선택 트랜지스터들(GSL1, SSL1)은 도 1 내지 도 13을 참조하여 설명된 미세 패턴 형성 방법에 의해 형성될 수 있다. 즉, 워드 라인들(WL1~WLn)은 도 1 내지 도 13에서 제 1 영역(10)에 형성된 협폭 패턴들(111)이고, 접지 및 스트링 선택 트랜지스터들(GSL1, SSL1)은 제 2 영역(20)에 형성된 광폭 패턴들(113)일 수 있다.
도 16은 본 발명의 일 실시예에 따른 미세 패턴 형성 방법을 이용하여 형성된 반도체 메모리 장치의 단면도이다.
도 16에 도시된 반도체 메모리 장치는 메모리 셀들이 집적된 셀 영역(CELL; 10)과, 메모리 셀들을 제어하는 주변 회로들이 집적된 주변회로 영역(PERI; 20)을 포함한다.
셀 어레이 영역(10)에는 상에는 DRAM(Dynamic Random Access Memory), SRAM(Static RAM), PRAM(Phase change RAM), RRAM(Resistance RAM), MRAM(Magnetic RAM), 및 FRAM(Ferroelectric RAM) 등의 고집적 반도체 메모리 소자가 형성될 수 있다. 다른 실시예에 따르면, 반도체 기판(100) 상에 MEMS(Micro Electro Mechanical Systems) 소자, 광전자(optoelectronic) 소자, CPU 또는 DSP 등의 프로세서가 형성될 수도 있다.
셀 어레이 영역(10)의 반도체 기판(100)에는 워드 라인들(111)이 형성될 수 있으며, 워드 라인(111)을 게이트 전극으로 이용하는 모스 트랜지스터들이 형성될 수 있다. 이와 같이 셀 어레이 영역(10)에 형성된 워드 라인들(111)은 도 1 내지 도 13에서 제 1 영역(10)에 형성된 협폭 패턴들(111)일 수 있다. 그리고, 주변 회로 영역(20)의 반도체 기판(100) 상에는 게이트 전극들(113) 및 게이트 전극(113) 양측의 소오스 및 드레인 전극들(S/D)로 구성된 모스 트랜지스터들이 형성될 수 있다. 주변 회로 영역(20)에 형성되는 모스 트랜지스터들의 게이트 전극들(113)은 도 1 내지 도 13에서 제 2 영역(20)에 형성된 광폭 패턴들(113)일 수 있다.
이상, 첨부된 도면을 참조하여 본 발명의 실시예를 설명하였지만, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명이 그 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예에는 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다.

Claims (10)

  1. 제 1 영역 및 제 2 영역을 포함하는 하부막 상에 하부 마스크층을 형성하는 단계;
    상기 하부 마스크층 상에 상부 마스크 패턴들을 형성하는 단계로서, 상기 상부 마스크 패턴들 간의 간격이 상기 제 1 영역에서보다 상기 제 2 영역에서 크고;
    상기 상부 마스크 패턴들의 양 측벽들에 스페이서들을 형성하는 단계;
    인접하는 상기 스페이서들 사이를 채우는 갭필 패턴들을 형성하는 단계로서, 상기 갭필 패턴들의 폭이 상기 제 1 영역에서보다 상기 제 2 영역에서 크고;
    상기 제 2 영역 상에서 적어도 하나의 상기 갭필 패턴을 노출시키는 트리밍 마스크 패턴을 형성하는 단계;
    상기 트리밍 마스크 패턴에 노출된 상기 갭필 패턴과, 이에 인접한 한 쌍의 상기 상부 마스크 패턴들을 제거하는 트리밍 공정을 수행하는 단계;
    상기 트리밍 마스크 패턴 및 상기 스페이서들을 제거하고, 상기 하부 마스크층 상에 잔류하는 상기 상부 마스크 패턴들과 상기 갭필 패턴들을 식각 마스크로 이용하여, 상기 하부 마스크층을 패터닝함으로써 상기 제 1 영역과 상기 제 2 영역에서 서로 다른 폭을 갖는 하부 마스크 패턴들을 형성하는 단계; 및
    상기 하부 마스크 패턴들을 이용하여 상기 하부막을 패터닝함으로써, 폭이 서로 다른 협폭 패턴들과 광폭 패턴들을 동시에 형성하는 단계를 포함하는 반도체 소자의 미세 패턴 형성 방법.
  2. 제 1 항에 있어서,
    상기 트리밍 마스크 패턴은 상기 트리밍 마스크 패턴에 노출된 상기 갭필 패턴과, 이에 인접한 한 쌍의 상기 스페이서들의 상면을 노출시키는 반도체 소자의 미세 패턴 형성 방법.
  3. 제 2 항에 있어서,
    상기 스페이서들은 상기 갭필 패턴들 및 상기 상부 마스크 패턴들에 대해 식각 선택성을 갖는 물질로 형성되되,
    상기 트리밍 공정을 수행하는 단계는,
    상기 트리밍 마스크 패턴에 노출된 상기 갭필 패턴과 인접한 상기 스페이서들을 등방성 식각하여 상기 상부 마스크 패턴과 상기 갭필 패턴의 측벽들을 노출시키는 단계; 및
    상기 측벽들이 노출된 상기 상부 마스크 패턴과 상기 갭필 패턴을 동시에 등방성 식각하는 단계를 포함하는 반도체 소자의 미세 패턴 형성 방법.
  4. 제 1 항에 있어서,
    상기 스페이서의 폭은 상기 협폭 패턴의 폭과 실질적으로 동일한 반도체 소자의 미세 패턴 형성 방법.
  5. 제 1 항에 있어서,
    상기 제 1 영역 상에서 상기 갭필 패턴의 폭은 상기 스페이서의 폭과 동일한 반도체 소자의 미세 패턴 형성 방법.
  6. 제 1 항에 있어서,
    상기 상부 마스크 패턴들을 형성하는 단계는,
    상기 하부 마스크층 상에 상부 하드 마스크층을 형성하는 단계;
    상기 상부 하드 마스크층 상에 포토레지스트 패턴들을 형성하는 단계로서, 상기 포토레지스트 패턴들의 폭이 상기 제 1 영역에서보다 상기 제 2 영역에서 크고;
    상기 포토레지스트 패턴들의 양 측벽들에 희생 스페이서들을 형성하는 단계; 및
    상기 희생 스페이서들을 식각 마스크로 이용하여 상기 상부 하드 마스크층을 패터닝하는 단계를 포함하는 반도체 소자의 미세 패턴 형성 방법.
  7. 제 6 항에 있어서,
    상기 상부 하드 마스크층 및 상기 희생 스페이서들은 실리콘 산화막, 실리콘 질화막, 실리콘 산질화막, 폴리실리콘막 및 탄소함유막 중에서 선택된 적어도 어느 하나로 형성되되,
    상기 희생 스페이서들은 상기 상기 상부 하드 마스크층에 대해 식각 선택성을 갖는 물질로 형성되는 반도체 소자의 미세 패턴 형성 방법.
  8. 제 6 항에 있어서,
    상기 광폭 패턴의 폭은 상기 제 2 영역 상의 상기 포토레지스트 패턴의 폭보다 작은 반도체 소자의 미세 패턴 형성 방법.
  9. 제 1 항에 있어서,
    상기 협폭 패턴들 및 상기 광폭 패턴들은 메모리 셀 어레이를 구성하는 반도체 소자의 미세 패턴 형성 방법.
  10. 제 1 항에 있어서,
    상기 협폭 패턴들은 메모리 셀 어레이들을 구성하고, 상기 광폭 패턴들은 상기 메모리 셀 어레이와 연결되는 주변 회로를 구성하는 반도체 소자의 미세 패턴 형성 방법.
KR1020110012955A 2011-02-14 2011-02-14 반도체 소자의 미세 패턴 형성 방법 KR101732936B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020110012955A KR101732936B1 (ko) 2011-02-14 2011-02-14 반도체 소자의 미세 패턴 형성 방법
US13/295,667 US8835321B2 (en) 2011-02-14 2011-11-14 Method for forming fine patterns of a semiconductor device
US14/477,383 US20140370713A1 (en) 2011-02-14 2014-09-04 Method of forming fine patterns of a semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020110012955A KR101732936B1 (ko) 2011-02-14 2011-02-14 반도체 소자의 미세 패턴 형성 방법

Publications (2)

Publication Number Publication Date
KR20120092983A KR20120092983A (ko) 2012-08-22
KR101732936B1 true KR101732936B1 (ko) 2017-05-08

Family

ID=46637216

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020110012955A KR101732936B1 (ko) 2011-02-14 2011-02-14 반도체 소자의 미세 패턴 형성 방법

Country Status (2)

Country Link
US (2) US8835321B2 (ko)
KR (1) KR101732936B1 (ko)

Families Citing this family (141)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
TWI473205B (zh) * 2011-11-24 2015-02-11 Powerchip Technology Corp 接觸窗開口的形成方法
KR20140008863A (ko) * 2012-07-12 2014-01-22 에스케이하이닉스 주식회사 더블 spt를 이용한 반도체 소자의 미세패턴 형성방법
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9099532B2 (en) 2012-09-14 2015-08-04 Sandisk Technologies Inc. Processes for NAND flash memory fabrication
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9069249B2 (en) * 2013-02-01 2015-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned patterning with multiple resist layers
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8969207B2 (en) * 2013-03-13 2015-03-03 Globalfoundries Inc. Methods of forming a masking layer for patterning underlying structures
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9263279B2 (en) * 2013-04-17 2016-02-16 Qualcomm Incorporated Combining cut mask lithography and conventional lithography to achieve sub-threshold pattern features
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9129906B2 (en) * 2013-12-05 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned double spacer patterning process
US9136106B2 (en) * 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9224842B2 (en) * 2014-04-22 2015-12-29 Globalfoundries Inc. Patterning multiple, dense features in a semiconductor device using a memorization layer
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
CN106463350B (zh) * 2014-06-13 2019-12-20 英特尔公司 通过选择性削减规则网格的垂直沟道晶体管制造工艺
US9425058B2 (en) * 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
KR102192350B1 (ko) * 2014-08-05 2020-12-18 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법 및 이를 이용한 반도체 소자의 제조방법
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9613850B2 (en) 2014-12-19 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lithographic technique for feature cut by line-end shrink
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
KR102323251B1 (ko) 2015-01-21 2021-11-09 삼성전자주식회사 반도체 소자 및 반도체 소자의 제조방법
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9390922B1 (en) 2015-02-06 2016-07-12 Sandisk Technologies Llc Process for forming wide and narrow conductive lines
US9425047B1 (en) 2015-02-19 2016-08-23 Sandisk Technologies Llc Self-aligned process using variable-fluidity material
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9589094B2 (en) * 2015-03-31 2017-03-07 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and layout method thereof
KR20160120964A (ko) 2015-04-09 2016-10-19 삼성전자주식회사 반도체 소자
US9502428B1 (en) 2015-04-29 2016-11-22 Sandisk Technologies Llc Sidewall assisted process for wide and narrow line formation
US9595444B2 (en) 2015-05-14 2017-03-14 Sandisk Technologies Llc Floating gate separation in NAND flash memory
KR102280471B1 (ko) 2015-07-20 2021-07-22 삼성전자주식회사 액티브 패턴들 형성 방법, 액티브 패턴 어레이, 및 반도체 장치 제조 방법
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN108352304B (zh) * 2015-09-24 2022-03-08 东京毅力科创株式会社 形成用于亚分辨率衬底图案化的刻蚀掩模的方法
KR102603019B1 (ko) * 2015-11-20 2023-11-15 도쿄엘렉트론가부시키가이샤 분해능이하 기판 패터닝을 위한 에칭 마스크를 형성하는 방법
KR102449195B1 (ko) 2015-12-18 2022-09-29 삼성전자주식회사 반도체 소자 및 그 반도체 소자의 제조 방법
KR102491694B1 (ko) * 2016-01-11 2023-01-26 삼성전자주식회사 반도체 소자의 제조 방법
US10649328B2 (en) * 2016-03-11 2020-05-12 Inpria Corporation Pre-patterned lithography templates, processes based on radiation patterning using the templates and processes to form the templates
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10388644B2 (en) * 2016-11-29 2019-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing conductors and semiconductor device which includes conductors
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US9934970B1 (en) * 2017-01-11 2018-04-03 International Business Machines Corporation Self aligned pattern formation post spacer etchback in tight pitch configurations
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
CN108630661A (zh) * 2017-03-24 2018-10-09 联华电子股份有限公司 半导体元件图案的形成方法
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102411067B1 (ko) * 2017-05-10 2022-06-21 삼성전자주식회사 3차원 반도체 장치의 제조 방법
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
CN109427578A (zh) * 2017-08-24 2019-03-05 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
CN109755107B (zh) 2017-11-07 2020-09-29 联华电子股份有限公司 自对准双重图案方法
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10304680B1 (en) * 2017-12-22 2019-05-28 Macronix International Co., Ltd. Fabricating semiconductor devices having patterns with different feature sizes
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
KR102460716B1 (ko) * 2017-12-26 2022-10-31 삼성전자주식회사 집적회로 소자의 제조 방법
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10566194B2 (en) * 2018-05-07 2020-02-18 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN111370309B (zh) * 2018-12-26 2023-12-12 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
TWI685086B (zh) 2019-01-03 2020-02-11 華邦電子股份有限公司 著陸墊結構及其製造方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN111524886B (zh) * 2019-02-01 2023-06-09 华邦电子股份有限公司 着陆垫结构及其制造方法
CN112185811B (zh) * 2019-07-04 2023-05-26 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
KR20220007986A (ko) 2020-07-13 2022-01-20 삼성전자주식회사 반도체 장치

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100640640B1 (ko) 2005-04-19 2006-10-31 삼성전자주식회사 미세 피치의 하드마스크를 이용한 반도체 소자의 미세 패턴형성 방법
KR100790998B1 (ko) 2006-10-02 2008-01-03 삼성전자주식회사 셀프 얼라인 더블 패터닝법을 사용한 패드 패턴 형성 방법 및 셀프 얼라인 더블 패터닝법을 사용한 콘택홀 형성방법
KR100816754B1 (ko) 2006-10-10 2008-03-25 삼성전자주식회사 반도체 장치의 패턴 형성 방법

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5895740A (en) * 1996-11-13 1999-04-20 Vanguard International Semiconductor Corp. Method of forming contact holes of reduced dimensions by using in-situ formed polymeric sidewall spacers
US7291560B2 (en) 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
JP4996155B2 (ja) * 2006-07-18 2012-08-08 株式会社東芝 半導体装置及びその製造方法
JP2009094379A (ja) 2007-10-11 2009-04-30 Toshiba Corp 半導体装置の製造方法
KR101192359B1 (ko) * 2007-12-17 2012-10-18 삼성전자주식회사 Nand 플래시 메모리 소자 및 그 제조 방법
KR20100107208A (ko) 2009-03-25 2010-10-05 주식회사 하이닉스반도체 반도체 장치의 미세패턴 제조방법

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100640640B1 (ko) 2005-04-19 2006-10-31 삼성전자주식회사 미세 피치의 하드마스크를 이용한 반도체 소자의 미세 패턴형성 방법
KR100790998B1 (ko) 2006-10-02 2008-01-03 삼성전자주식회사 셀프 얼라인 더블 패터닝법을 사용한 패드 패턴 형성 방법 및 셀프 얼라인 더블 패터닝법을 사용한 콘택홀 형성방법
KR100816754B1 (ko) 2006-10-10 2008-03-25 삼성전자주식회사 반도체 장치의 패턴 형성 방법

Also Published As

Publication number Publication date
US8835321B2 (en) 2014-09-16
US20120208361A1 (en) 2012-08-16
US20140370713A1 (en) 2014-12-18
KR20120092983A (ko) 2012-08-22

Similar Documents

Publication Publication Date Title
KR101732936B1 (ko) 반도체 소자의 미세 패턴 형성 방법
JP5561485B2 (ja) ピッチマルチプリケーションされた材料のループの一部分を分離するための方法およびその関連構造
US7807578B2 (en) Frequency doubling using spacer mask
KR101658492B1 (ko) 미세 패턴의 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
JP5545524B2 (ja) 効率的なピッチマルチプリケーションプロセス
KR100784062B1 (ko) 반도체 소자의 미세 패턴 형성방법
US20080113483A1 (en) Methods of etching a pattern layer to form staggered heights therein and intermediate semiconductor device structures
US6287905B2 (en) Method for fabricating semiconductor device
US20090017631A1 (en) Self-aligned pillar patterning using multiple spacer masks
US20090117739A1 (en) Method for forming pattern in semiconductor device
KR100955265B1 (ko) 반도체 소자의 미세패턴 형성방법
KR102607278B1 (ko) 반도체 소자의 패턴 형성 방법
KR20100044541A (ko) 반도체 소자의 패턴 형성 방법
KR20100007927A (ko) 피치 더블링 프로세스 중에 어레이 피처를 격리시키는 방법 및 격리된 어레이 피처를 갖는 반도체 장치 구조물
JP2009158907A (ja) 半導体素子の微細パターン形成方法
JP2009027146A (ja) 介挿領域を有するスペーサマスクを用いた頻度の3倍化
US20090117742A1 (en) Method for fabricating fine pattern in semiconductor device
KR20080022387A (ko) 반도체 메모리 소자의 비트라인 형성 방법
JP2010531061A (ja) 半導体デバイス、および半導体デバイスを含む電子システムの製造中に、対称なフォトマスクを用いて対称もしくは非対称な機構を選択的に形成するための方法
US6444559B2 (en) Method for fabricating semiconductor device
US7862991B2 (en) Method for fabricating recess pattern in semiconductor device
TW202119622A (zh) 半導體結構及形成半導體結構的方法
KR100766236B1 (ko) 플래시 메모리 소자의 제조방법
US20210175080A1 (en) Semiconductor structure and forming method thereof
KR101053987B1 (ko) 플래시 소자의 형성 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant