TWI625424B - 蝕刻包含過渡金屬的膜之方法 - Google Patents
蝕刻包含過渡金屬的膜之方法 Download PDFInfo
- Publication number
- TWI625424B TWI625424B TW106117535A TW106117535A TWI625424B TW I625424 B TWI625424 B TW I625424B TW 106117535 A TW106117535 A TW 106117535A TW 106117535 A TW106117535 A TW 106117535A TW I625424 B TWI625424 B TW I625424B
- Authority
- TW
- Taiwan
- Prior art keywords
- substrate
- exposing
- transition metal
- plasma
- alkyl
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 121
- 238000005530 etching Methods 0.000 title claims abstract description 44
- 150000003624 transition metals Chemical class 0.000 title claims abstract description 36
- 229910052723 transition metal Inorganic materials 0.000 title claims abstract description 35
- 239000000758 substrate Substances 0.000 claims abstract description 226
- 239000003153 chemical reaction reagent Substances 0.000 claims abstract description 65
- 238000012546 transfer Methods 0.000 claims abstract description 36
- 150000004820 halides Chemical class 0.000 claims abstract description 34
- 239000003795 chemical substances by application Substances 0.000 claims abstract description 31
- 239000002253 acid Substances 0.000 claims abstract description 27
- 230000004913 activation Effects 0.000 claims abstract description 24
- 239000003446 ligand Substances 0.000 claims abstract description 16
- 230000001590 oxidative effect Effects 0.000 claims abstract description 16
- 230000003213 activating effect Effects 0.000 claims abstract description 11
- 239000007789 gas Substances 0.000 claims description 32
- 229910052757 nitrogen Inorganic materials 0.000 claims description 26
- KWYHDKDOAIKMQN-UHFFFAOYSA-N N,N,N',N'-tetramethylethylenediamine Chemical compound CN(C)CCN(C)C KWYHDKDOAIKMQN-UHFFFAOYSA-N 0.000 claims description 24
- 150000001412 amines Chemical class 0.000 claims description 24
- 230000008021 deposition Effects 0.000 claims description 21
- 239000002879 Lewis base Substances 0.000 claims description 19
- 229910052802 copper Inorganic materials 0.000 claims description 19
- 150000007527 lewis bases Chemical class 0.000 claims description 19
- JUJWROOIHBZHMG-UHFFFAOYSA-N Pyridine Chemical compound C1=CC=NC=C1 JUJWROOIHBZHMG-UHFFFAOYSA-N 0.000 claims description 16
- 239000001257 hydrogen Substances 0.000 claims description 16
- 229910052739 hydrogen Inorganic materials 0.000 claims description 16
- 125000004169 (C1-C6) alkyl group Chemical group 0.000 claims description 13
- 229910052759 nickel Inorganic materials 0.000 claims description 13
- 150000001875 compounds Chemical class 0.000 claims description 10
- -1 vinyl diamine Chemical class 0.000 claims description 10
- 125000004178 (C1-C4) alkyl group Chemical group 0.000 claims description 9
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 9
- 125000000217 alkyl group Chemical group 0.000 claims description 9
- 229910052760 oxygen Inorganic materials 0.000 claims description 9
- 125000004429 atom Chemical group 0.000 claims description 8
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 claims description 8
- UMJSCPRVCHMLSP-UHFFFAOYSA-N pyridine Natural products COC1=CC=CN=C1 UMJSCPRVCHMLSP-UHFFFAOYSA-N 0.000 claims description 8
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 6
- 229910052799 carbon Inorganic materials 0.000 claims description 6
- 229910052742 iron Inorganic materials 0.000 claims description 6
- 229910052786 argon Inorganic materials 0.000 claims description 5
- WOXFMYVTSLAQMO-UHFFFAOYSA-N 2-Pyridinemethanamine Chemical compound NCC1=CC=CC=N1 WOXFMYVTSLAQMO-UHFFFAOYSA-N 0.000 claims description 4
- PIICEJLVQHRZGT-UHFFFAOYSA-N Ethylenediamine Chemical compound NCCN PIICEJLVQHRZGT-UHFFFAOYSA-N 0.000 claims description 4
- 125000003282 alkyl amino group Chemical group 0.000 claims description 4
- 125000004663 dialkyl amino group Chemical group 0.000 claims description 4
- 229910052748 manganese Inorganic materials 0.000 claims description 4
- 229910052763 palladium Inorganic materials 0.000 claims description 4
- 229910052697 platinum Inorganic materials 0.000 claims description 4
- 229910052707 ruthenium Inorganic materials 0.000 claims description 4
- 229920002554 vinyl polymer Polymers 0.000 claims description 4
- IDONOLNGUVOCPN-UHFFFAOYSA-N 2-difluorophosphanylethyl(difluoro)phosphane Chemical compound FP(F)CCP(F)F IDONOLNGUVOCPN-UHFFFAOYSA-N 0.000 claims description 3
- 125000004435 hydrogen atom Chemical group [H]* 0.000 claims 2
- 150000004696 coordination complex Chemical class 0.000 abstract description 17
- 230000008569 process Effects 0.000 description 59
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 42
- 229910017052 cobalt Inorganic materials 0.000 description 41
- 239000010941 cobalt Substances 0.000 description 41
- 235000013495 cobalt Nutrition 0.000 description 41
- 239000010410 layer Substances 0.000 description 29
- 229910052751 metal Inorganic materials 0.000 description 29
- 239000002184 metal Substances 0.000 description 29
- 239000010949 copper Substances 0.000 description 24
- 238000001994 activation Methods 0.000 description 23
- 238000000151 deposition Methods 0.000 description 20
- 238000012545 processing Methods 0.000 description 20
- 238000000231 atomic layer deposition Methods 0.000 description 12
- 239000002243 precursor Substances 0.000 description 11
- 239000010408 film Substances 0.000 description 10
- 239000000126 substance Substances 0.000 description 10
- 238000010438 heat treatment Methods 0.000 description 9
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 8
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 8
- 238000006243 chemical reaction Methods 0.000 description 8
- 238000010586 diagram Methods 0.000 description 7
- 239000000463 material Substances 0.000 description 7
- 229910052782 aluminium Inorganic materials 0.000 description 6
- XEEYBQQBJWHFJM-UHFFFAOYSA-N iron Substances [Fe] XEEYBQQBJWHFJM-UHFFFAOYSA-N 0.000 description 6
- 150000001350 alkyl halides Chemical class 0.000 description 5
- 238000005229 chemical vapour deposition Methods 0.000 description 5
- 238000011010 flushing procedure Methods 0.000 description 5
- 230000006870 function Effects 0.000 description 5
- 150000002431 hydrogen Chemical class 0.000 description 5
- 125000003903 2-propenyl group Chemical group [H]C([*])([H])C([H])=C([H])[H] 0.000 description 4
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 4
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 4
- 239000006227 byproduct Substances 0.000 description 4
- 230000008859 change Effects 0.000 description 4
- 238000004140 cleaning Methods 0.000 description 4
- 125000000753 cycloalkyl group Chemical group 0.000 description 4
- 125000005842 heteroatom Chemical group 0.000 description 4
- 239000011261 inert gas Substances 0.000 description 4
- 230000007246 mechanism Effects 0.000 description 4
- 230000004048 modification Effects 0.000 description 4
- 238000012986 modification Methods 0.000 description 4
- 238000005240 physical vapour deposition Methods 0.000 description 4
- 239000000376 reactant Substances 0.000 description 4
- 238000006557 surface reaction Methods 0.000 description 4
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 3
- 239000002841 Lewis acid Substances 0.000 description 3
- 229910052796 boron Inorganic materials 0.000 description 3
- 150000004700 cobalt complex Chemical class 0.000 description 3
- 238000005137 deposition process Methods 0.000 description 3
- 125000000524 functional group Chemical group 0.000 description 3
- 150000007517 lewis acids Chemical class 0.000 description 3
- 150000002739 metals Chemical class 0.000 description 3
- 150000003335 secondary amines Chemical class 0.000 description 3
- 239000004065 semiconductor Substances 0.000 description 3
- 239000002356 single layer Substances 0.000 description 3
- 229910004298 SiO 2 Inorganic materials 0.000 description 2
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 description 2
- 238000009825 accumulation Methods 0.000 description 2
- 238000000137 annealing Methods 0.000 description 2
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 2
- 239000002585 base Substances 0.000 description 2
- 239000012159 carrier gas Substances 0.000 description 2
- 239000013522 chelant Substances 0.000 description 2
- 238000000576 coating method Methods 0.000 description 2
- PAFZNILMFXTMIY-UHFFFAOYSA-N cyclohexylamine Chemical compound NC1CCCCC1 PAFZNILMFXTMIY-UHFFFAOYSA-N 0.000 description 2
- 238000007872 degassing Methods 0.000 description 2
- 229910052736 halogen Inorganic materials 0.000 description 2
- SPRIOUNJHPCKPV-UHFFFAOYSA-N hydridoaluminium Chemical compound [AlH] SPRIOUNJHPCKPV-UHFFFAOYSA-N 0.000 description 2
- 239000012433 hydrogen halide Substances 0.000 description 2
- 229910000039 hydrogen halide Inorganic materials 0.000 description 2
- 230000002452 interceptive effect Effects 0.000 description 2
- 238000004519 manufacturing process Methods 0.000 description 2
- 239000012528 membrane Substances 0.000 description 2
- 229910001092 metal group alloy Inorganic materials 0.000 description 2
- 150000004767 nitrides Chemical class 0.000 description 2
- 239000001301 oxygen Substances 0.000 description 2
- 238000000678 plasma activation Methods 0.000 description 2
- 230000009257 reactivity Effects 0.000 description 2
- 229910052717 sulfur Inorganic materials 0.000 description 2
- 239000011593 sulfur Substances 0.000 description 2
- 229910052715 tantalum Inorganic materials 0.000 description 2
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 2
- 150000003512 tertiary amines Chemical class 0.000 description 2
- JNVXRQOSRUDXDY-UHFFFAOYSA-N 1,1-diiodoethane Chemical compound CC(I)I JNVXRQOSRUDXDY-UHFFFAOYSA-N 0.000 description 1
- VZXTWGWHSMCWGA-UHFFFAOYSA-N 1,3,5-triazine-2,4-diamine Chemical compound NC1=NC=NC(N)=N1 VZXTWGWHSMCWGA-UHFFFAOYSA-N 0.000 description 1
- 229910019236 CoFeB Inorganic materials 0.000 description 1
- IOVCWXUNBOPUCH-UHFFFAOYSA-M Nitrite anion Chemical compound [O-]N=O IOVCWXUNBOPUCH-UHFFFAOYSA-M 0.000 description 1
- XYFCBTPGUUZFHI-UHFFFAOYSA-N Phosphine Natural products P XYFCBTPGUUZFHI-UHFFFAOYSA-N 0.000 description 1
- UCKMPCXJQFINFW-UHFFFAOYSA-N Sulphide Chemical compound [S-2] UCKMPCXJQFINFW-UHFFFAOYSA-N 0.000 description 1
- 239000012190 activator Substances 0.000 description 1
- 239000003570 air Substances 0.000 description 1
- 150000001335 aliphatic alkanes Chemical class 0.000 description 1
- 125000005234 alkyl aluminium group Chemical group 0.000 description 1
- 239000012080 ambient air Substances 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- WYEMLYFITZORAB-UHFFFAOYSA-N boscalid Chemical compound C1=CC(Cl)=CC=C1C1=CC=CC=C1NC(=O)C1=CC=CN=C1Cl WYEMLYFITZORAB-UHFFFAOYSA-N 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 239000000356 contaminant Substances 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 238000006073 displacement reaction Methods 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 238000001035 drying Methods 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 230000005611 electricity Effects 0.000 description 1
- 230000005281 excited state Effects 0.000 description 1
- 230000002349 favourable effect Effects 0.000 description 1
- 238000010574 gas phase reaction Methods 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 125000005843 halogen group Chemical group 0.000 description 1
- 150000002367 halogens Chemical class 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 230000033444 hydroxylation Effects 0.000 description 1
- 238000005805 hydroxylation reaction Methods 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 238000011534 incubation Methods 0.000 description 1
- 229910000765 intermetallic Inorganic materials 0.000 description 1
- HVTICUPFWKNHNG-UHFFFAOYSA-N iodoethane Chemical compound CCI HVTICUPFWKNHNG-UHFFFAOYSA-N 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 229910052747 lanthanoid Inorganic materials 0.000 description 1
- 150000002602 lanthanoids Chemical group 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 229910052976 metal sulfide Inorganic materials 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- 229910052754 neon Inorganic materials 0.000 description 1
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 description 1
- 230000008520 organization Effects 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 229910000073 phosphorus hydride Inorganic materials 0.000 description 1
- 238000009877 rendering Methods 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 238000007788 roughening Methods 0.000 description 1
- 229910052709 silver Inorganic materials 0.000 description 1
- 239000004332 silver Substances 0.000 description 1
- 239000002002 slurry Substances 0.000 description 1
- 230000002123 temporal effect Effects 0.000 description 1
- 238000000427 thin-film deposition Methods 0.000 description 1
- 238000011144 upstream manufacturing Methods 0.000 description 1
- 238000007740 vapor deposition Methods 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
- 238000004846 x-ray emission Methods 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
- H01L21/32135—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
- H01L21/32136—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
- H01L21/32135—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23F—NON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
- C23F1/00—Etching metallic material by chemical means
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23F—NON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
- C23F1/00—Etching metallic material by chemical means
- C23F1/10—Etching compositions
- C23F1/12—Gaseous compositions
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23F—NON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
- C23F4/00—Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32715—Workpiece holder
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32798—Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
- H01J37/32853—Hygiene
- H01J37/32862—In situ cleaning of vessels and/or internal parts
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
- H01L21/02068—Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Power Engineering (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Plasma & Fusion (AREA)
- Metallurgy (AREA)
- Mechanical Engineering (AREA)
- Materials Engineering (AREA)
- Organic Chemistry (AREA)
- Analytical Chemistry (AREA)
- Health & Medical Sciences (AREA)
- Epidemiology (AREA)
- Public Health (AREA)
- Drying Of Semiconductors (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
本發明提供用於蝕刻包含過渡金屬的膜之方法。一些方法涉及以下步驟:活化包含至少一種過渡金屬之基板表面,其中基板表面之活化包含以下步驟:曝露基板表面至熱源、電漿、氧化環境或鹵化物轉移劑以提供經活化基板表面;以及曝露經活化基板表面至包含路易士鹼或π酸之試劑以提供包含配位至來自試劑之一或更多個配位體之過渡金屬之一或更多個原子的氣相配位錯合物。一些其他方法提供自包含Co層、Cu層及Ni層中之二或更多者之多層基板之選擇性蝕刻。
Description
本發明之態樣大體而言係關於蝕刻膜之方法。特定而言,本發明之態樣係關於蝕刻用於半導體元件之包含過渡金屬之膜。
在基板表面上沉積膜在包括半導體處理、擴散阻障塗層及用於磁讀取/寫入頭之介電質之各種工業中係重要的製程。化學氣相沉積(CVD)及原子層沉積(ALD)係用於在基板上形成或沉積各種材料之兩種沉積製程。一般而言,CVD及ALD製程涉及氣體反應劑至基板表面之遞送,在基板表面處在有利於反應之熱力學之溫度及壓力條件下發生化學反應。然而,一或更多個此等沉積製程之常見問題係至沉積腔室壁、噴淋頭等上之非所要沉積。舉例而言,若沉積鈷膜,則存在非所要鈷金屬或化合物(例如,碳化(carbidic)鈷)在腔室之壁上積累的可能性。隨後需要移除此積累物。因此需要自沉積設備清除此積累物之方法。特定而言,具有在蝕刻期間將導致控制更強之自限制蝕刻方法將為尤其有利的。
另外,在半導體工業中,小型化要求對薄膜沉積之原子水平控制以在高深寬比結構上產生保形塗層。一種經由控制及保形沉積之薄膜沉積之方法係原子層沉積(ALD),該原子層沉積(ALD)採用順序的表面反應以形成精確厚度層。大部分ALD製程基於沉積二元化合物膜之二元反應序列。因為表面反應係順序的,所以兩種氣相反應物不接觸,且可形成及沉積粒子之可能的氣相反應受到限制。然而,在本發明之前,不存在以控制及保形性來精細地蝕刻膜之商業上可行的方式。舉例而言,儘管已經存在建議用於鈷之濕式蝕刻方法,但仍需要乾式方法來移除鈷及/或鈷殘餘物,且仍需要較佳地係自限制且允許對蝕刻速率之精確控制之原位方法。更特定言之,對特定金屬具有選擇性的之方法係所要的,此乃因該方法提供對蝕刻製程之更大控制。
本發明之一種態樣係關於一種蝕刻基板之方法。該方法包含以下步驟:活化包含至少一種過渡金屬之基板表面,其中基板表面之活化包含以下步驟:曝露基板表面至熱源(heat)、電漿、氧化環境或鹵化物轉移劑以提供經活化基板表面;以及曝露經活化基板表面至包含路易士鹼或π酸之試劑以提供包含配位至來自試劑之一或更多個配位體之過渡金屬之一或更多個原子之氣相配位錯合物。
在一或更多個實施例中,路易士鹼或π酸包含CO、PR1 3
,其中每一R1
獨立地係C1-C6烷基團、1,2-雙(二氟膦基)乙烷、N2
O、NO、NH3
、NR2 3
,其中每一R2
獨立地係分枝或未分枝的、經取代或未經取代的氫C1-C6、烷基、烯丙基或環烴或雜原子基團,或具有以下結構之化合物:其中每一Rb
獨立地係氫、R或C1-C4烷基。在一些實施例中,π酸包含AlHn
Xm
Rc p
,其中X係鹵素,n+m+p之和係3,且Rc
係C1-C6烷基。在一或更多個實施例中,基板表面之活化提供將與路易士酸及/或π酸反應之表面終止劑。在一些實施例中,路易士鹼或π酸包含選自由以下組成之群組之螯合胺:N,N,N',N'-四甲基乙烯二胺、乙烯二胺、N,N'-二甲基乙烯基二胺、2-(胺甲基)吡啶、2-[(烷胺基)甲基]吡啶,以及2-[(二烷基胺基)甲基]吡啶,其中烷基團係C1-C6烷基。
基板表面之活化可採取數個形式。在一或更多個實施例中,基板表面之活化包含以下步驟:曝露基板表面至熱源。在一些實施例中,基板表面至熱源及試劑之曝露同時或大體上同時發生。
在一或更多個實施例中,基板表面之活化包含以下步驟:曝露基板表面至電漿。在一些實施例中,基板表面至電漿及試劑之曝露同時或大體上同時發生。在進一步實施例中,電漿包含H2
、NO、N2
O、NF3
、Cl2
、Ar或N2
。在一或更多個實施例中,電漿包含N2
O,且基板表面至N2
O之曝露產生–NO表面終止劑。
在一些實施例中,基板表面之活化包含曝露至鹵化物轉移劑。在進一步實施例中,鹵化物轉移劑包含I2
、Br2
、Cl2
、三烷矽基鹵化物或烷基鹵化物,其中烷基團可為C1-C6烷基。
在一或更多個實施例中,基板表面之活化包含基板表面至氧化環境之曝露。在進一步實施例中,基板表面至氧化環境之曝露包含曝露基板表面至O2
、O3
、N2
O、NO、Br2
、F2
、I2
或Cl2
。
在一些實施例中,過渡金屬包含選自由以下組成之群組之元素:Co、Cu、Ru、Ni、Fe、Pt、Mn及Pd。在一或更多個實施例中,基板表面包含約90%至約100%之過渡金屬及0%至約10%之碳。
亦存在該方法之其他變體。在一或更多個實施例中,基板表面覆蓋沉積腔室壁或噴淋頭。在一些實施例中,該方法進一步包含以下步驟:沖洗氣相配位錯合物。
本發明之第二態樣係關於一種蝕刻多層基板之方法。該方法包含以下步驟:提供包含Co層、Cu層及Ni層中之二或更多者之多層基板;活化Co層、Cu層及Ni層之表面,其中基板表面之活化包含以下步驟:曝露基板表面至熱源、電漿或鹵化物轉移劑以提供經活化基板表面;以及在第一溫度下曝露經活化基板表面至螯合胺,使得螯合胺將在第一溫度下與Co、Cu或Ni中之一者僅形成揮發性金屬配位錯合物。
在一或更多個實施例中,該方法進一步包含以下步驟:在第二溫度下曝露經活化基板表面至螯合胺,使得螯合胺將在第二溫度下與Co、Cu或Ni中之一者僅形成揮發性金屬配位錯合物。在一些實施例中,螯合胺具有由以下化學式表示之結構:其中每一Ra
獨立地係氫或C1-C4烷基,附加條件為不是所有Ra
基團皆為氫。在一些實施例中,螯合胺選自由以下組成之群組:N,N,N',N'-四甲基乙烯二胺及N,N'-二甲基乙烯基二胺。在一或更多個實施例中,該方法進一步包含以下步驟:沖洗配位錯合物。
本發明之第三態樣係關於一種蝕刻基板之方法,該方法包含以下步驟:活化包含鈷或銅之基板表面,其中基板表面之活化包含以下步驟:曝露基板表面至Br2
以提供經活化基板表面;以及曝露經活化基板表面至包含TMEDA之試劑以提供包含配位至來自試劑之一或多個配位體之鈷或銅之一或多個原子之氣相配位錯合物。
在描述本發明之數個示例性實施例之前,應瞭解,本發明不局限於在以下描述中闡述之構造細節或製程步驟。本發明能夠允許其他實施例且能夠以多種方式實踐或執行。亦應瞭解,本文可使用具有特定立體化學之結構式說明本發明之錯合物及配位體。此等說明僅意欲作為實例而不被視為將所揭示結構限制於任一特定立體化學。而是,所說明之結構意欲涵蓋具有所指示化學式之所有此類錯合物及配位體。
已發現,活化方法及試劑之某些組合允許包含至少一種過渡金屬之基板之蝕刻。用於基板表面之活化之可能的方法包括以下步驟:曝露基板表面至熱源、電漿、氧化環境或鹵化物轉移劑。試劑包括路易士鹼及/或π酸。此等製程允許基板金屬之揮發性金屬配位錯合物之形成,該揮發性金屬配位錯合物隨後可自基板表面流走或沖洗,藉此移除基板中之一部分。某些製程係關於選擇性金屬蝕刻,該選擇性金屬蝕刻允許移除一種過渡金屬,而留下另一金屬。
因此,本發明之一種態樣係關於一種蝕刻基板之方法。該方法包含以下步驟:活化包含至少一種過渡金屬之基板表面。基板表面之活化包含以下步驟:曝露基板表面至熱源、電漿、氧化環境或鹵化物轉移劑以提供經活化基板表面;以及曝露經活化基板表面至包含路易士鹼或π酸之試劑以提供包含配位至來自試劑之一或更多個配位體之過渡金屬之一或更多個原子之氣相配位錯合物。
如本文所使用之「基板」廣泛覆蓋包含一或更多種過渡金屬之基板。在一些實施例中,該術語包括具有沉積在其上之積累層之設備。如上所述,此等沉積製程中之一或多者之常見問題係至沉積腔室壁、噴淋頭等上之非所要沉積。因此,在一些實施例中,該基板包含覆蓋沉積腔室壁、沉積噴淋頭等之所沉積金屬。在一或更多個實施例中,該術語意指包含形成在第二基板上之過渡金屬之任一基板或材料表面,在製造過程中,在該第二基板上執行膜處理。基板可曝露至預處理製程以研磨、蝕刻、還原、氧化、羥基化、退火及/或烘焙基板表面。術語「基板表面」意指基板之所曝露表面。在一或更多個實施例中,且如上下文所規定,在層添加至基板或(在替代性方案中)移除基板之部分時,新曝露之表面變成基板表面。
在一或更多個實施例中,基板表面包含至少一種過渡金屬。在一或更多個實施例中,過渡金屬包含第一過渡金屬。在一些實施例中,過渡金屬係選自由以下組成之群組:Co、Cu、Ru、Ni、Fe、Pt、Mn及Pd。在一些實施例中,基板表面本質上由過渡金屬組成。在一或更多個實施例中,基板表面可包含一種以上過渡金屬,包括金屬合金。此基板之實例包括包含鈷及鐵兩者之基板。
在其他實施例中,基板表面包含至少一種過渡金屬,且亦包含其他組分。其他組分可包括碳。在一或更多個實施例中,基板表面包含約90%至約100%之過渡金屬及0%至約10%之碳。碳化物膜可尤其見於關於移除沉積至沉積腔室壁、噴淋頭及其他設備組件上之過渡金屬碳化物之實施例中。在一些實施例中,其他組分可包括氧、硼、硫及/或氮。因此,適合的基板之其他實例包含包括以下之材料:金屬合金/金屬間化合物、金屬氧化物、金屬硼化物、金屬硫化物、金屬氮化物、金屬金屬間硼化物、金屬金屬間氧化物、金屬金屬間硫化物及金屬金屬間氮化物。為清晰明瞭,上述涵蓋包含一種以上過渡金屬以及額外組分之基板。此材料之實例係包含鈷、鐵及硼(CoFeB)之基板。
一旦表面已活化且試劑氣體已溢出反應性表面,則認為試劑氣體與來自基板表面之過渡金屬原子中之一或多者形成金屬配位錯合物。理想情況下,反應條件經選擇使得所形成配位錯合物在給定溫度下係揮發性的(亦即,係氣相的)。隨後,錯合物可簡單地自基板表面流走且,視情況而定,流出腔室。亦即,在一些實施例中,該方法進一步包含以下步驟:沖洗氣相配位錯合物。
基板表面因此將為與蝕刻製程之前相比更薄的至少一個金屬層。在一些實施例中,蝕刻製程為自限制的。亦即,每次執行蝕刻循環時,移除相同量之基板,但不一定是在單層處移除。舉例而言,每一循環可移除某一數目個埃(例如,約7)或數個單層。在此等實施例中,每一循環可可靠地移除一或更多個過渡金屬原子層。此方法可稱為「交替曝露蝕刻」,其中基板表面順序地或大體上順序地曝露至試劑及活化劑。如本文所使用,「大體上順序地」意謂脈衝之大部分持續時間不與共試劑之脈衝重疊,但可存在一些重疊。在其他實施例中,製程在單層處可為自限制的。亦即,在此等實施例中,每次僅移除一層過渡金屬原子。此製程可稱為「原子層蝕刻」。
可基於試劑及基板表面之性質以及所使用之壓力選擇用於蝕刻反應之特定反應條件。蝕刻可在大氣壓力下執行但亦可在減壓下執行。基板溫度應為足夠高的以使所形成之金屬錯合物保持在氣相下且為表面反應提供足夠能量。可使用本領域中已知之方法評估特定基板、膜前驅物等之性質,允許選擇用於反應之適當溫度及壓力。
在一些實施例中,基板表面溫度保持低於約500℃、475℃、450℃、425℃、400℃、375℃、350℃、325℃或300℃。在蝕刻用於清除設備之積累物之實施例中,基板溫度可保持低於250℃、225℃或200℃。基板表面溫度應至少為約室溫(23℃)或至少約25℃、50℃或75℃。試劑
根據本發明之一或更多個實施例,試劑包含路易士鹼或π酸。如本文所使用,「π酸」意指(作為配位體)可接受自金屬進入空π軌道之電子密度以及經由γ鍵貢獻電子密度至金屬之化合物。如本文所使用之「路易士鹼」意指(作為配位體)可貢獻電子對至金屬之化合物。存在用於本文描述之製程之數種適合的試劑。
在一或更多個實施例中,路易士鹼或π酸包含螯合胺。在一些實施例中,螯合胺具有由以下化學式表示之結構:, 其中每一Ra
獨立地係氫或C1-C4烷基團,附加條件為不是所有Ra
基團皆為氫。在進一步實施例中,螯合胺選自由以下組成之群組:N,N,N',N'-四甲基乙烯二胺(亦稱為TMEDA)、乙烯二胺、N,N'-二甲基乙烯基二胺、2-(胺甲基)吡啶、2-[(烷胺基)甲基]吡啶,以及2-[(二烷基胺基)甲基]吡啶,其中烷基團係C1-C6烷基。
在一些實施例中,路易士鹼或π酸包含CO、烷基膦(PR1 3
,其中每一R1
係C1-C6烷基團)、1,2-雙(二氟膦基)乙烷、N2
O、NO、NH3
、NR2 3
,其中每一R2
獨立地係分枝或未分枝的、經取代或未經取代的氫或C1-C6、烷基、烯丙基或環烴或雜原子基團,或具有以下結構之化合物:其中每一Rb
獨立地係氫、R或C1-C4烷基。應注意,N2
O不為傳統的路易士鹼,而具有孤電子對。在一些實施例中,其中試劑包含NR2 3
,每一R2
獨立地係C1-C6烷基。在其他實施例中,R2
基團中之至少一者係環己胺。
在一或更多個實施例中,π酸包含鋁前驅物。在進一步實施例中,鋁前驅物具有化學式AlHn
Xm
Rc p
,其中X係鹵素,n+m+p之和係3,且Rc
係C1-C6烷基。活化
在一或更多個實施例中,該製程包括基板表面之活化。在一些實施例中,基板表面之活化提供將與路易士酸及/或π酸反應之表面終止劑。在進一步實施例中,表面終止劑將與路易士酸及/或π酸中之任一或更多者反應。
在一些實施例中,藉由加熱基板表面來完成基板表面之活化。可藉由本領域中已知之方法執行加熱基板表面之步驟(包括簡單地加熱腔室)。在一些實施例中,基板表面溫度保持低於約400℃、375℃、350℃、325℃或300℃。在蝕刻用於清除設備之積累物之實施例中,基板溫度可保持低於250℃、225℃或200℃。基板表面溫度應至少為約室溫(23℃)或至少約25℃、50℃或75℃。
經由包括加熱之製程,試劑氣體可通過經加熱基板。基板表面可被加熱且在同時或大體上同時曝露至試劑氣體。如本文所使用,用語「基板表面至熱源及試劑之曝露大體上同時發生」意謂在大部分加熱持續時間內與曝露至試劑重疊地加熱基板表面,但加熱與曝露兩者未必完全共同延伸。在一些實施例中,在加熱基板之後利用之試劑氣體包含CO、PR1 3
、N2
O、NO、NH3
、NR2 3
中之一或更多者,其中每一R1
係C1-C6烷基且每一R2
係分枝或未分枝的、經取代或未經取代的C1-C6、烷基、烯丙基或環烴或雜原子基團。在其他實施例中,試劑氣體包含螯合胺,諸如N,N,N',N'-四甲基乙烯二胺及N,N'-二甲基乙烯基二胺。
在一些實施例中,基板表面之活化包含以下步驟:曝露基板表面至電漿。基板表面可順序地、大體上順序地、同時地或大體上同時地曝露至電漿及試劑氣體。如本文所使用,用語「基板表面至電漿及試劑之曝露大體上順序地發生」意謂基板表面在大部分電漿曝露持續時間內不與曝露至試劑重合地曝露至電漿,但可存在一些重疊。如本文所使用,用語「基板表面至電漿及試劑之曝露大體上同時地發生」意謂基板表面在大部分電漿曝露持續時間內與曝露至試劑重疊地曝露至電漿,但曝露至電漿及試劑兩者可不完全共同延伸。
一般而言,用於活化之電漿應對後續試劑曝露步驟增加表面之反應性。在一或更多個實施例中,電漿包含H2
、NO、N2
O、NF3
、Cl2
、Ar或N2
。在一些實施例中,電漿藉由添加不同表面終止劑改變基板表面。舉例而言,在基板表面曝露至包含N2
O之電漿的實施例中,至電漿之曝露被認為產生–NO表面終止劑。儘管不希望局限於任一特定理論,但認為,藉由添加此官能基,基板表面變得對某些試劑(尤其係本文描述之π酸及/或路易士鹼中之一或更多者)更具反應性。
在一些實施例中,基板表面之曝露包含以下步驟:曝露基板表面至鹵化物轉移劑。在一或更多個實施例中,基板表面至鹵化物轉移劑及任一π酸及/或路易士鹼之曝露順序地或大體上順序地發生。如本文所使用,用語「基板表面至鹵化物轉移劑及試劑之曝露大體上順序地發生」意謂基板表面在大部分鹵化物轉移劑曝露持續時間內不與曝露至試劑重合地曝露至鹵化物轉移劑,但曝露至鹵化物轉移劑及試劑兩者可存在一些重疊。在一些實施例中,基板表面至鹵化物轉移劑及任一π酸及/或路易士鹼之曝露同時地或大體上同時地發生。如本文所使用,「大體上同時地」意謂基板表面在大部分鹵化物轉移劑曝露持續時間內與曝露至試劑重疊地曝露至鹵化物轉移劑,但存在曝露至鹵化物轉移劑及試劑兩者不重疊之一些時間。再次,儘管不希望局限於任一特定理論,但認為基板表面至鹵化物轉移劑之曝露產生具有鹵化物表面終止劑之基板表面,藉此使該基板表面對本文描述之π酸及/或路易士鹼中之一或更多者更具反應性。在一些實施例中,鹵化物轉移劑包含二鹵化物。在進一步實施例中,二鹵化物包含I2
、Br2
、Cl2
。在其他實施例中,鹵化物轉移劑包含三烷矽基鹵化物或鹵代烷,其中三烷矽基鹵化物或鹵代烷中之一或更多個烷基團可為C1-C6烷基。適合的烷基鹵化物之實例包括碘乙烷及二碘乙烷。
在一些實施例中,基板表面之活化包含以下步驟:曝露基板表面至氧化環境。在一或更多個實施例中,基板表面至鹵化物轉移劑及任一π酸及/或路易士鹼之曝露順序地或大體上順序地發生。如本文所使用,用語「基板表面至氧化環境及試劑之曝露大體上順序地發生」意謂基板表面在大部分氧化環境曝露持續時間內不與曝露至試劑重合地曝露至氧化環境,但曝露至氧化環境及試劑兩者可存在一些重疊。在一或更多個實施例中,曝露至氧化環境包含以下步驟:曝露基板表面至O2
、O3
、N2
O、NO、Br2
、F2
、I2
或Cl2
。
在一些實施例中,在曝露基板至氧化環境之後所利用之試劑氣體包含CO、PR1 3
、N2
O、NO、NH3
、NR2 3
中之一或更多者,其中每一R1
係C1-C6烷基團且每一R2
係分枝或未分枝的、經取代或未經取代的C1-C6、烷基、烯丙基或環烴或雜原子基團。在其他實施例中,試劑氣體包含螯合胺,諸如N,N,N',N'-四甲基乙烯二胺及N,N'-二甲基乙烯基二胺。在其他實施例中,在曝露基板至氧化表面之後所利用之試劑氣體包含含鋁前驅物,諸如氯烷基鋁、鋁三鹵化合物、鹵化鋁氫化物、烷基鋁氫化物。
應注意,可組合上述活化製程及/或試劑中之任一者。亦即,可利用一個以上活化製程,或可在給定蝕刻序列期間使用一種以上試劑。此外,應瞭解,可重複製程直到已蝕刻掉所要量之過渡金屬。選擇性蝕刻製程
本文描述之製程中之一或更多者可用於選擇性蝕刻。因此,本發明之另一態樣係關於蝕刻多層基板之方法。該方法包含以下步驟:提供包含Co層、Cu層及Ni層中之二或更多者之多層基板;活化Co層、Cu層或Ni層之表面,其中基板表面之活化包含以下步驟:曝露基板表面至熱源、電漿或鹵化物轉移劑以提供經活化基板表面;以及在第一溫度下曝露經活化基板表面至螯合胺使得螯合胺將在第一溫度下與Co、Cu或Ni中之一者僅形成揮發性金屬配位錯合物。如上文所論述,在一或更多個實施例中,多層基板可包含選自由以下組成之群組之另一組分:氧、硼、碳、硫、氮及以上之組合。
一般而言,此製程可用於每次蝕刻Co、Cu或Ni中之一者。亦即,在一或更多個實施例中,可在不干擾Cu或Ni層之情況下移除Co,可在不干擾Co或Ni層之情況下移除Cu,且可在不干擾Co或Cu層之情況下移除Ni。一旦移除此等層中之一者,可改變溫度以移除不同金屬。因此,在一些實施例中,該方法進一步包含以下步驟:在第二溫度下曝露經活化基板表面至螯合胺,使得螯合胺將在第二溫度下與Co、Cu或Ni中之一者僅形成揮發性金屬配位錯合物。
在一些實施例中,螯合胺具有由以下化學式表示之結構:, 其中每一Ra
獨立地係H或C1-C4烷基,附加條件為不是所有Ra
基團皆為氫。在一或更多個實施例中,螯合胺選自由以下組成之群組:N,N,N',N'-四甲基乙烯二胺及N,N'-二甲基乙烯基二胺。
儘管不希望局限於任一特定理論,但認為Ni、Co及Cu與在不同溫度下具有不同揮發性之上述螯合胺形成配位金屬錯合物。因此,可控制溫度為每次錯合一種金屬之參數,同時使其他兩種金屬保持不受干擾。特定溫度將取決於所選擇之特定金屬及螯合胺。示例性製程
將在下文及諸圖中舉例說明數個製程。應瞭解,所圖示之結構表示認為在蝕刻製程期間發生之化學機制。然而,該等結構不意欲為限制性的,且可具有其他化學結構。
第1圖圖示根據本發明之一或更多個實施例之示例性製程。特定言之,圖示使用鹵化物活化及路易士鹼之熱蝕刻製程。首先,提供鈷基板表面。基板表面曝露至鹵化物轉移劑。鹵化物轉移劑可為二鹵化物或鹵代烷(諸如,乙基鹵)。示例性製程可在至少約200℃之基板溫度下利用Br2
。
一旦基板表面曝露至鹵化物轉移劑,則經由鹵化物終止劑/表面官能基改質表面以提供經活化基板表面。其次,經活化基板表面曝露至路易士鹼及/或π酸。第1圖係圖示具有N,N,N',N'-四甲基乙二胺(TMEDA)或叔胺或CO試劑。一旦經活化基板表面曝露至試劑,則試劑錯合來自基板表面之金屬原子。如第1圖之製程所圖示,其中TMEDA用作試劑,該試劑可錯合鈷原子,與配位至N,N,N',N'-四甲基乙二胺及兩種鹵化物配位體之鈷產生金屬配位錯合物。隨後可自基板表面沖洗所形成鈷錯合物,自原始基板表面帶走至少一個鈷原子。
第2圖圖示類似於第1圖之製程,但替代地使用N,N'-二甲基乙烯基二胺及仲胺,分別係TMEDA或叔胺之經質子化變型。再次提供鈷基板表面,且該鈷基板表面曝露至鹵化物轉移劑以提供經活化表面。經活化基板表面曝露至N,N'-二甲基乙烯基二胺或仲胺試劑。鈷鹵化物可充當反應性柄部以在置換反應中產生鈷醯胺。經由此等試劑,認為經錯合鈷將含有兩個N,N'-二甲基乙烯基二胺或仲胺配位體,該等配位體中之至少一者將形成與中心金屬原子之共價鍵。另一配位體經圖示為形成配價鍵(dative bond)。圖示第2圖中之製程具有鹵化氫副產物。舉例而言,在使用Br2
之情況下,HBr將形成為副產物。認為,鹵化氫副產物將幫助增加蒸氣壓,使得在較低溫度下執行蝕刻。
第3圖圖示基於電漿活化之蝕刻製程。又提供鈷表面。基板表面曝露至電漿(圖示為H2
),而同時地曝露至路易士鹼(圖示為CO或TMEDA)。一旦經活化基板表面曝露至試劑,則試劑錯合來自基板表面之金屬原子。如第3圖之製程所圖示,在CO用作試劑之情況下,該試劑可錯合鈷原子,與配位至四個CO配位體之鈷產生金屬配位錯合物。隨後可自基板表面沖洗所形成鈷錯合物,自原始基板表面帶走至少一個鈷原子。
第4圖圖示基於電漿活化之另一蝕刻製程。在此製程中,鈷基板表面曝露至N2
O電漿。電漿藉由產生–NO官能基活化表面。試劑CO隨後用於錯合鈷,認為產生具有化學式Cox
(CO)y
(NO)z
之金屬配位錯合物。在一或更多個實施例中,錯合物可包含配位至三個CO配位體及一個NO配位體之鈷原子,亦稱為四羰鈷亞硝醯。四羰鈷亞硝醯已知為即使在室溫下亦具相當揮發性的,此情況將允許在極低溫度下之蝕刻。
在一些製程中,使用電漿提供足夠能量以促進物種進入表面反應變得有利的及可能的之激發態。將電漿引入至製程中可為連續式或脈衝式。在一些實施例中,前驅物(或反應性氣體)及電漿之順序脈衝用於處理層。在一些實施例中,試劑可局部地(亦即,在處理區域內)或遠端地(亦即,在處理區域外)經遊離。在一些實施例中,遠端遊離可在沉積腔室之上游發生,使得離子或其他高能或發光物種不與沉積膜直接接觸。在一些PEALD製程中,電漿係諸如藉由遠端電漿產生器系統自處理腔室外部產生。可經由熟習此項技術者所知之任一適合電漿產生製程或技術產生電漿。舉例而言,可藉由微波(MW)頻率產生器或射頻(RF)產生器中之一或更多者產生電漿。可取決於正在使用之特定反應性物種調諧電漿之頻率。適合頻率包括(但不限於)2 MHz、13.56 MHz、40 MHz、60 MHz及100 MHz。儘管可在本文所揭示之製程中之一些製程期間使用電漿,應注意電漿並非必需。
本文描述之製程中之一或更多者包括沖洗。沖洗製程保持試劑隔開。在停止試劑中之一或更多者之流動之後,基板及腔室可曝露至沖洗步驟。可經由在自約10 sccm至約10000 sccm(例如,自約50 sccm至約5000 sccm,且在特定實例中,約1000 sccm)之範圍內之流動速率將沖洗氣體施加至處理腔室中。沖洗步驟移除處理腔室內之任一過量前驅物、副產物及其他污染物。可執行沖洗步驟達自約0.1秒至約60秒(例如,自約1秒至約10秒,且在特定實例中,自約5秒)之範圍內之一時間段。載氣、沖洗氣體、沉積氣體或其他處理氣體可含有氮、氫、氬、氖、氦或以上之組合。在一個實例中,載氣包含氬及氮。
根據一或多個實施例,基板在形成蝕刻之前及/或之後經受處理。可在相同腔室中或在一或更多個獨立處理腔室中執行此處理。在一些實施例中,將基板自第一腔室移動至獨立的第二腔室用於進一步處理。可將基板自第一腔室直接移動至獨立處理腔室,或可將基板自第一腔室移動至一或更多個移送腔室,且隨後移動至所要獨立處理腔室。因此,處理設備可包含與移送站連通之多個腔室。此類設備可稱為「叢集工具」或「叢集系統」等。
一般而言,叢集工具係包含執行各種功能(包括基板定心及定向、除氣、退火、沉積及/或蝕刻)之多個腔室之模組化系統。根據一或多個實施例,叢集工具至少包括第一腔室及中心移送腔室。中心移送腔室可容納可在處理腔室與負載鎖定腔室兩者或兩者以上之間穿梭移送基板之機器人。移送腔室通常維持在真空條件下且提供用於將基板自一個腔室梭送(shuttling)至另一腔室及/或至位於叢集工具之前端之負載鎖定腔室之中間階段。可適用於本發明之兩種熟知叢集工具係Centura®及Endura®,兩者皆可購自加利福尼亞州聖克拉拉市之應用材料公司。在於1993年2月16日頒佈給Tepman等人之標題為「Staged-Vacuum Wafer Processing Apparatus and Method」之美國專利第5,186,718號中揭示一個此真空分級基板處理裝備之細節。然而,出於執行如本文描述之製程之特定步驟之目的可變更腔室之精確佈置及組合。可使用之其他處理腔室包括(但不限於)環狀層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、其他蝕刻、預清除、化學清除、諸如RTP之熱處理、電漿氮化、除氣、定向、羥基化作用及其他基板製程。藉由在叢集工具上之腔室中執行製程,可避免由大氣雜質對基板之表面污染,而在沉積後續膜之前無氧化。
根據一或更多個實施例,基板連續地處於真空或「負載鎖定」條件下,且在自一個腔室移動至下一個腔室時不曝露至周圍空氣。移送腔室因此處於真空下且在真空壓力下經「抽真空」。惰性氣體可存在於處理腔室或移送腔室中。在一些實施例中,惰性氣體用作沖洗氣體以在於基板之表面上形成層之後移除一些或所有反應物。根據一或更多個實施例,在腔室之出口處注入沖洗氣體以防止反應物自腔室移動至移送腔室及/或額外處理腔室。因此,惰性氣體流在腔室之出口處形成隔幕。
可在單個基板沉積腔室中處理基板,腔室中在處理另一基板之前加載、處理及卸載單個基板。亦可以連續方式(如傳送帶系統)處理基板,在該傳送帶系統中多個基板個別地載入至腔室之第一部分中,移動穿過腔室且自腔室之第二部分卸載。腔室之形狀及關聯傳送帶系統可形成直線路徑或曲線路徑。另外,處理腔室可為回轉料架,在該回轉料架中,多個基板繞中心軸移動且在整個回轉料架路徑中曝露至沉積、蝕刻、退火、清潔等製程。
在處理期間,可加熱或冷卻基板。可藉由任一適合手段(包括(但不限於)改變基板支撐件之溫度且使經加熱或冷卻氣體流動至基板表面)完成此加熱或冷卻。在一些實施例中,基板支撐件包括可受控制以熱傳導改變基板溫度之加熱器/冷卻器。在一或更多個實施例中,加熱或冷卻正在採用之氣體(反應性氣體或惰性氣體)以局部地改變基板溫度。在一些實施例中,加熱器/冷卻器定位於毗鄰基板表面之腔室內以對流改變基板溫度。
基板在處理期間亦可為靜止的或旋轉的。可連續地或以分立步驟旋轉旋轉基板。舉例而言,可在整個製程中旋轉基板,或可在曝露至不同反應性或沖洗氣體之間小量地旋轉基板。在處理期間(連續地或逐步地)旋轉基板之步驟可藉由最小化(例如)氣流幾何形狀之局部變化性之影響來幫助產生更均勻沉積或蝕刻。
在原子層沉積類型之腔室中,基板可在空間上或時間上分離之製程中曝露至試劑及/或其他化合物。時間性ALD(或蝕刻)係第一前驅物流入腔室以與表面反應之傳統製程。在使第二前驅物流動之前自腔室沖洗第一前驅物。在空間性ALD(或蝕刻)中,第一及第二前驅物兩者同時流動至腔室但係空間上分離的,使得在流之間存在防止前驅物混合之區域。在空間性ALD中,必須相對於氣體分配板移動基板,或反之亦然。
整篇此說明書中對「一個實施例」、「某些實施例」、「一或更多個實施例」或「一實施例」之提及意謂結合被納入本發明之至少一個實施例的實施例描述之特定特徵結構、結構、材料或特性。因此,在整篇此說明書中之不同地方出現之諸如「在一或更多個實施例中」、「在某些實施例中」、「在一個實施例」或「在一實施例中」之用語不必代表本發明之相同實施例。此外,可在一或更多個實施例中以任一適合方式組合特定特徵結構、結構、材料或特性。
儘管本文已參照特定實施例描述本發明,但應瞭解,此等實施例僅用於說明本發明之原理及應用。對於熟習此項技術者將為顯而易見的是,在不脫離本發明之精神及範疇之情況下可對本發明之方法及設備進行各種修改及變化。因此,本發明旨在包括在隨附申請專利範圍及其等效物之範疇內之修改及變化。 實例 實例1 – 在200℃至300℃下之鈷蝕刻
提供經由電漿氣相沉積(PVD)沉積且具有130埃之厚度之曝露至空氣之鈷試樣。鈷試樣沉積於矽基板上方。鈷試樣在4秒沖洗之後曝露至在200℃與300℃之間的溫度下之0.1秒之Br2
脈衝。基板表面隨後曝露至在200℃與300℃之間之溫度下之N,N,N',N'-四甲基乙二胺(TMEDA)之1秒沖洗,隨後係另一4秒沖洗。此製程之可能的化學機制圖示在第5圖中。
藉由X射線熒光光譜量測,在Br2
/TMEDA之20個循環之後,自試樣移除所有鈷,但SiO2
不受干擾。第6圖圖示隨循環變化以埃為單位蝕刻之鈷之圖表。如該圖所圖示,經由2循環培養,每循環移除約7埃之蝕刻速率之鈷。在Br2
或TMEDA脈衝任一者不存在的情況下觀察不到蝕刻,證明Br2
或TMEDA兩者皆不能獨自蝕刻。此實例證明蝕刻製程之有效性,因在不影響下伏SiO2
基板之情況下完全移除鈷。製程亦證明良好蝕刻控制,其中每循環可靠地移除約7埃之鈷。 實例2 – 隨溫度變化之鈷蝕刻
重複實例1之蝕刻製程,但在曝露至Br2
及TMEDA期間之基板溫度係150℃。此製程之可能的化學機制圖示在第7圖中。觀察到表面之粗糙化,但Co計數方面觀察到極小改變。認為反應正在發生,但所得之Co錯合物在150℃不揮發。此情況證明必須針對所形成之特定錯合物選擇溫度以確保錯合物具有足夠揮發性以能夠自基板表面沖洗掉。
在其他溫度下量測使用相同製程之10個循環之蝕刻,該量測之結果圖示於第8圖中。如在圖表中所圖示,在10個循環之後蝕刻之鈷量在溫度到達200℃之後保持相當一致。此情況證明,一旦溫度足夠高使得所得鈷錯合物具有揮發性,則蝕刻速率係相當一致且與溫度無關。 實例3 – 隨沖洗時間變化之鈷蝕刻
重複實例1之蝕刻製程兩次,但在曝露至Br2
及TMEDA期間之基板溫度係200℃且沖洗時間自4秒至2分鐘變化。第9圖圖示針對沖洗時間係2分鐘之製程及沖洗時間係4秒之製程循環數與蝕刻鈷埃數之圖表。兩個製程蝕刻之鈷量幾乎係相同的。此情況證明在循環之間的沖洗時間之長度似乎不會影響製程之蝕刻速率。 實例4 – 銅蝕刻選擇性
提供經由PVD沉積且具有100埃至400埃之厚度之銅試樣。銅試樣覆蓋鉭層,該鉭層覆蓋矽基板。鈷試樣曝露於Br2
,隨後係在300℃溫度下用TMEDA處理。此製程之可能的化學機制圖示在第10圖中。在10個循環之後,自試樣移除所有銅。在移除銅之後,在立即氧化且自曝露於空氣之基板剝離掉之表面上觀察到閃亮銀。此情況證明完全移除了銅,留下完全未受影響之下伏鉭層,意味著該製程對銅相對於鉭係選擇性的。
無
為了可以詳細理解本發明之上述特徵結構的方式,可參照實施例對簡要概述於上之本發明進行更加詳細的描述,該等實施例中之一些實施例圖示於隨附圖式中。然而應注意的是,隨附圖式僅圖示本發明之典型實施例且因此不應被視為限制本發明之範疇,因為本發明可許可其他等效實施例。
第1圖圖示根據本發明之一或更多個實施例之方法之示意圖;
第2圖圖示根據本發明之一或更多個實施例之方法之示意圖;
第3圖圖示根據本發明之一或更多個實施例之方法之示意圖;
第4圖圖示根據本發明之一或更多個實施例之方法之示意圖;
第5圖圖示根據本發明之一或更多個實施例之方法之示意圖;
第6圖圖示根據本發明之一或更多個實施例之製程隨循環數變化的蝕刻鈷量的圖表;
第7圖圖示根據本發明之一或更多個實施例之方法之示意圖;
第8圖圖示根據本發明之一或更多個實施例之製程隨溫度變化的蝕刻鈷量的圖表;
第9圖圖示根據本發明之一或更多個實施例之製程隨溫度變化的蝕刻鈷量的圖表;及
第10圖圖示根據本發明之一或更多個實施例之方法之示意圖。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
(請換頁單獨記載) 無
Claims (19)
- 一種蝕刻一基板之方法,該方法包含以下步驟:活化包含一過渡金屬之一基板表面,其中該基板表面之活化包含以下步驟:曝露該基板表面至一鹵化物轉移劑以提供一經活化基板表面;及曝露該經活化基板表面至包含一路易士鹼或π酸之一試劑以提供包含配位至來自該試劑之一或更多個配位體之該過渡金屬之一或更多個原子之一氣相配位錯合物,其中該路易士鹼或π酸包含具有式PR1 3之烷基膦,其中每一R1獨立地係C1-C6烷基、N2O、1,2-雙(二氟膦基)乙烷,或具有以下結構之一化合物:
- 如請求項1所述之方法,其中該路易士鹼或π酸進一步包含一螯合胺,該螯合胺具有由以下表示之一結構:
- 如請求項2所述之方法,其中該螯合胺選自由以下組成之群組:N,N,N',N'-四甲基乙烯二胺、乙烯二胺、N,N'-二甲基乙烯基二胺、2-(胺甲基)吡啶、2-[(烷胺基)甲基]吡啶,以及2-[(二烷基胺基)甲基]吡啶,其中烷基係C1-C6烷基。
- 如請求項1所述之方法,其中曝露該基板表面至該鹵化物轉移劑以及該試劑順序地或大體上順序地發生。
- 如請求項1所述之方法,其中該電漿包含N2O,且曝露該基板表面至N2O產生一-NO表面終止劑。
- 如請求項1所述之方法,其中該過渡金屬包含選自由以下組成之群組之一元素:Co、Cu、Ru、Ni、Fe、Pt、Mn及Pd。
- 如請求項1所述之方法,其中該基板表面包含90%至100%之過渡金屬及0%至10%之碳。
- 如請求項1所述之方法,其中該基板表面覆蓋一沉積腔室壁或噴淋頭。
- 一種蝕刻一基板之方法,該方法包含以下步驟:活化包含一過渡金屬之一基板表面,其中該基板表面之活化包含以下步驟:曝露該基板表面至熱量、一電漿或一氧化環境以提供一經活化基板表面;及曝露該經活化基板表面至包含一路易士鹼或π酸之一試劑以提供包含配位至來自該試劑之一或更多個配位體之該過渡金屬之一或更多個原子之一氣相配位錯合物,其中該路易士鹼或π酸包含具有式PR1 3之烷基膦,其中每一R1獨立地係C1-C6烷基、N2O、1,2-雙(二氟膦基)乙烷,或具有以下結構之一化合物:
- 如請求項9所述之方法,其中該路易士鹼或π酸進一步包含一螯合胺,該螯合胺具有由以下表示之一結構:
- 如請求項10所述之方法,其中該螯合胺選自由以下組成之群組:N,N,N',N'-四甲基乙烯二胺、乙烯二胺、N,N'-二甲基乙烯基二胺、2-(胺甲基)吡啶、2-[(烷胺基)甲基]吡啶,以及2-[(二烷基胺基)甲基]吡啶,其中烷基係C1-C6烷基。
- 如請求項9所述之方法,其中活化該基板表面包含曝露該基板表面至熱量。
- 如請求項12所述之方法,其中曝露該基板表面至熱量及該試劑順序地或大體上順序地發生。
- 如請求項9所述之方法,其中活化該基板表面包含曝露該基板表面至一電漿。
- 如請求項14所述之方法,其中該電漿包含H2、NO、N2O、NF3、Cl2、Ar或N2。
- 如請求項14所述之方法,其中該電漿包含N2O,且曝露該基板表面至N2O產生一-NO表面終止劑。
- 如請求項9所述之方法,其中曝露該基板表面至一氧化環境包含曝露該基板表面至 O2、O3、N2O、NO、Br2、F2、I2或Cl2。
- 如請求項9所述之方法,其中該過渡金屬包含選自由以下組成之群組之一元素:Co、Ru、Ni、Fe、Pt、Mn及Pd。
- 如請求項9所述之方法,其中該基板表面包含90%至100%之過渡金屬及0%至10%之碳。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201361779583P | 2013-03-13 | 2013-03-13 | |
US61/779,583 | 2013-03-13 | ||
US201361892186P | 2013-10-17 | 2013-10-17 | |
US61/892,186 | 2013-10-17 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201732086A TW201732086A (zh) | 2017-09-16 |
TWI625424B true TWI625424B (zh) | 2018-06-01 |
Family
ID=51528996
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW103107032A TWI591211B (zh) | 2013-03-13 | 2014-03-03 | 蝕刻包含過渡金屬的膜之方法 |
TW106117535A TWI625424B (zh) | 2013-03-13 | 2014-03-03 | 蝕刻包含過渡金屬的膜之方法 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW103107032A TWI591211B (zh) | 2013-03-13 | 2014-03-03 | 蝕刻包含過渡金屬的膜之方法 |
Country Status (4)
Country | Link |
---|---|
US (3) | US9390940B2 (zh) |
KR (3) | KR102156317B1 (zh) |
TW (2) | TWI591211B (zh) |
WO (1) | WO2014159888A1 (zh) |
Families Citing this family (156)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
TWI591211B (zh) | 2013-03-13 | 2017-07-11 | 應用材料股份有限公司 | 蝕刻包含過渡金屬的膜之方法 |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
TW201525173A (zh) * | 2013-12-09 | 2015-07-01 | Applied Materials Inc | 選擇性層沉積之方法 |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9309598B2 (en) * | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9773683B2 (en) * | 2014-06-09 | 2017-09-26 | American Air Liquide, Inc. | Atomic layer or cyclic plasma etching chemistries and processes |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10381227B2 (en) | 2014-12-18 | 2019-08-13 | The Regents Of The University Of Colorado, A Body Corporate | Methods of atomic layer etching (ALE) using sequential, self-limiting thermal reactions |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US9611552B2 (en) | 2015-03-13 | 2017-04-04 | Varian Semiconductor Equipment Associates, Inc. | System and method for controllable non-volatile metal removal |
US10217646B2 (en) * | 2015-06-17 | 2019-02-26 | Intel Corporation | Transition metal dry etch by atomic layer removal of oxide layers for device fabrication |
US9659791B2 (en) * | 2015-07-16 | 2017-05-23 | Applied Materials, Inc. | Metal removal with reduced surface roughness |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10695794B2 (en) | 2015-10-09 | 2020-06-30 | Asm Ip Holding B.V. | Vapor phase deposition of organic films |
US10814349B2 (en) | 2015-10-09 | 2020-10-27 | Asm Ip Holding B.V. | Vapor phase deposition of organic films |
KR102496037B1 (ko) | 2016-01-20 | 2023-02-06 | 삼성전자주식회사 | 플라즈마 식각 방법 및 장치 |
US10229837B2 (en) | 2016-02-04 | 2019-03-12 | Lam Research Corporation | Control of directionality in atomic layer etching |
TWI658512B (zh) * | 2016-02-23 | 2019-05-01 | 東京威力科創股份有限公司 | 原子層蝕刻用方法與系統 |
US10256108B2 (en) | 2016-03-01 | 2019-04-09 | Lam Research Corporation | Atomic layer etching of AL2O3 using a combination of plasma and vapor treatments |
US10982336B2 (en) * | 2016-04-01 | 2021-04-20 | Wayne State University | Method for etching a metal surface |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
WO2017213842A2 (en) | 2016-05-23 | 2017-12-14 | The Regents Of The University Of Colorado, A Body Corporate | Enhancement of thermal atomic layer etching |
US11565936B2 (en) | 2016-05-25 | 2023-01-31 | The Regents Of The University Of Colorado | Atomic layer etching on microdevices and nanodevices |
US10373820B2 (en) | 2016-06-01 | 2019-08-06 | Asm Ip Holding B.V. | Deposition of organic films |
US10453701B2 (en) | 2016-06-01 | 2019-10-22 | Asm Ip Holding B.V. | Deposition of organic films |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
WO2018006524A1 (zh) * | 2016-07-05 | 2018-01-11 | 中南大学 | 一种螯合树脂及其制备方法和螯合树脂在含铜的镍、钴溶液深度除铜的应用 |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
WO2018106955A1 (en) * | 2016-12-09 | 2018-06-14 | Asm Ip Holding B.V. | Thermal atomic layer etching processes |
US10283319B2 (en) * | 2016-12-22 | 2019-05-07 | Asm Ip Holding B.V. | Atomic layer etching processes |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10208383B2 (en) | 2017-02-09 | 2019-02-19 | The Regents Of The University Of Colorado, A Body Corporate | Atomic layer etching processes using sequential, self-limiting thermal reactions comprising oxidation and fluorination |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
WO2018189067A1 (en) * | 2017-04-13 | 2018-10-18 | Basf Se | Process for the etching metal- or semimetal-containing materials |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
WO2019007871A1 (en) * | 2017-07-05 | 2019-01-10 | Basf Se | METHOD FOR ETCHING MATERIALS CONTAINING METALS OR SEMI-METALS |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
JP2021019201A (ja) | 2019-07-18 | 2021-02-15 | エーエスエム アイピー ホールディング ビー.ブイ. | 半導体処理システム用シャワーヘッドデバイス |
US11574813B2 (en) | 2019-12-10 | 2023-02-07 | Asm Ip Holding B.V. | Atomic layer etching |
US11373878B2 (en) * | 2020-07-16 | 2022-06-28 | Taiwan Semiconductor Manufacturing Company Ltd. | Technique for semiconductor manufacturing |
US20230027528A1 (en) * | 2020-12-10 | 2023-01-26 | Hitachi High-Tech Corporation | Semiconductor manufacturing method and semiconductor manufacturing apparatus |
KR20220152755A (ko) | 2021-05-10 | 2022-11-17 | 삼성전자주식회사 | 원자층 식각 방법 및 이를 이용한 반도체 장치 제조 방법 |
Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW409152B (en) * | 1996-06-13 | 2000-10-21 | Samsung Electronic | Etching gas composition for ferroelectric capacitor electrode film and method for etching a transition metal thin film |
Family Cites Families (18)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5186718A (en) | 1989-05-19 | 1993-02-16 | Applied Materials, Inc. | Staged-vacuum wafer processing system and method |
US5431774A (en) * | 1993-11-30 | 1995-07-11 | Texas Instruments Incorporated | Copper etching |
KR100259609B1 (ko) * | 1996-06-13 | 2000-08-01 | 우성일 | 전이금속 박막의 식각방법 |
DE19631622A1 (de) | 1996-08-05 | 1998-02-12 | Siemens Ag | Verfahren zum plasmaunterstützten anisotropen Ätzen von Metallen, Metalloxiden und deren Gemische |
JPH1188373A (ja) | 1997-09-12 | 1999-03-30 | Nec Corp | コネクション振り分けによる負荷分散方式 |
US6352081B1 (en) * | 1999-07-09 | 2002-03-05 | Applied Materials, Inc. | Method of cleaning a semiconductor device processing chamber after a copper etch process |
US6444512B1 (en) * | 2000-06-12 | 2002-09-03 | Motorola, Inc. | Dual metal gate transistors for CMOS process |
US6770214B2 (en) | 2001-03-30 | 2004-08-03 | Lam Research Corporation | Method of reducing aluminum fluoride deposits in plasma etch reactor |
US6350689B1 (en) * | 2001-04-23 | 2002-02-26 | Chartered Semiconductor Manufacturing Ltd. | Method to remove copper contamination by using downstream oxygen and chelating agent plasma |
US20030013314A1 (en) | 2001-07-06 | 2003-01-16 | Chentsau Ying | Method of reducing particulates in a plasma etch chamber during a metal etch process |
US6989175B2 (en) | 2002-03-08 | 2006-01-24 | Beckman Coulter, Inc. | Acyl fluoride activation of carboxysilyl-coated glass substrates |
AU2003223472A1 (en) * | 2002-05-14 | 2003-12-02 | Tokyo Electron Limited | PLASMA ETCHING OF Cu-CONTAINING LAYERS |
JP5248838B2 (ja) * | 2007-10-25 | 2013-07-31 | 信越化学工業株式会社 | 半導体基板の製造方法 |
US20100120245A1 (en) | 2008-11-07 | 2010-05-13 | Agus Sofian Tjandra | Plasma and thermal anneal treatment to improve oxidation resistance of metal-containing films |
KR20120090996A (ko) | 2009-08-27 | 2012-08-17 | 어플라이드 머티어리얼스, 인코포레이티드 | 인-시튜 챔버 세정 후 프로세스 챔버의 제염 방법 |
FR2950633B1 (fr) * | 2009-09-30 | 2011-11-25 | Alchimer | Solution et procede d'activation de la surface oxydee d'un substrat semi-conducteur. |
TWI591211B (zh) * | 2013-03-13 | 2017-07-11 | 應用材料股份有限公司 | 蝕刻包含過渡金屬的膜之方法 |
US9540736B2 (en) * | 2014-07-29 | 2017-01-10 | Applied Materials, Inc. | Methods of etching films with reduced surface roughness |
-
2014
- 2014-03-03 TW TW103107032A patent/TWI591211B/zh active
- 2014-03-03 TW TW106117535A patent/TWI625424B/zh active
- 2014-03-12 US US14/206,474 patent/US9390940B2/en active Active
- 2014-03-13 KR KR1020187010974A patent/KR102156317B1/ko active IP Right Grant
- 2014-03-13 KR KR1020177021581A patent/KR101851764B1/ko active IP Right Grant
- 2014-03-13 KR KR1020157028656A patent/KR101766190B1/ko active IP Right Grant
- 2014-03-13 WO PCT/US2014/025406 patent/WO2014159888A1/en active Application Filing
-
2016
- 2016-06-09 US US15/177,726 patent/US9799533B2/en not_active Expired - Fee Related
-
2017
- 2017-10-20 US US15/789,282 patent/US10297462B2/en not_active Expired - Fee Related
Patent Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW409152B (en) * | 1996-06-13 | 2000-10-21 | Samsung Electronic | Etching gas composition for ferroelectric capacitor electrode film and method for etching a transition metal thin film |
Also Published As
Publication number | Publication date |
---|---|
TWI591211B (zh) | 2017-07-11 |
US10297462B2 (en) | 2019-05-21 |
US20160293449A1 (en) | 2016-10-06 |
TW201732086A (zh) | 2017-09-16 |
US20180040486A1 (en) | 2018-02-08 |
US9799533B2 (en) | 2017-10-24 |
KR20180042466A (ko) | 2018-04-25 |
TW201500584A (zh) | 2015-01-01 |
KR102156317B1 (ko) | 2020-09-15 |
US20140273492A1 (en) | 2014-09-18 |
KR101851764B1 (ko) | 2018-04-25 |
KR101766190B1 (ko) | 2017-08-08 |
KR20170091792A (ko) | 2017-08-09 |
KR20150128961A (ko) | 2015-11-18 |
WO2014159888A1 (en) | 2014-10-02 |
US9390940B2 (en) | 2016-07-12 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI625424B (zh) | 蝕刻包含過渡金屬的膜之方法 | |
US9716012B2 (en) | Methods of selective layer deposition | |
TWI630281B (zh) | 沉積金屬合金膜之方法 | |
US10233547B2 (en) | Methods of etching films with reduced surface roughness | |
TWI579397B (zh) | 製造含鎳薄膜的方法 | |
TWI577824B (zh) | 使用二氮丁二烯基前驅物沉積含錳膜之方法 | |
US9236467B2 (en) | Atomic layer deposition of hafnium or zirconium alloy films | |
TWI527823B (zh) | 製造含鎳薄膜的方法 | |
JP2024511271A (ja) | 原子層堆積のための還元剤 | |
JP2024506395A (ja) | シリコンベースの誘電体膜の堆積 | |
CN116964714A (zh) | 硅基介电膜的沉积 |