TWI630281B - 沉積金屬合金膜之方法 - Google Patents

沉積金屬合金膜之方法 Download PDF

Info

Publication number
TWI630281B
TWI630281B TW103122109A TW103122109A TWI630281B TW I630281 B TWI630281 B TW I630281B TW 103122109 A TW103122109 A TW 103122109A TW 103122109 A TW103122109 A TW 103122109A TW I630281 B TWI630281 B TW I630281B
Authority
TW
Taiwan
Prior art keywords
metal
substrate
reducing agent
organometallic reducing
processing chamber
Prior art date
Application number
TW103122109A
Other languages
English (en)
Other versions
TW201504468A (zh
Inventor
湯普森大衛
安瑟斯傑佛瑞W
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201504468A publication Critical patent/TW201504468A/zh
Application granted granted Critical
Publication of TWI630281B publication Critical patent/TWI630281B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD

Abstract

茲提供沉積膜的方法,所述方法包含下列步驟:將基板的至少一部分暴露於金屬前驅物,以於基板上提供第一金屬,將基板的至少一部分暴露於有機金屬還原劑,以於基板上沉積第二金屬,以形成第一金屬與第二金屬的混合物或合金。可依序或同步暴露於金屬前驅物及有機金屬還原劑。

Description

沉積金屬合金膜之方法
一般而言,本發明的實施例與用於在基板表面上沉積膜的還原劑及方法有關。詳言之,本發明的實施例導向用於在基板上沉積鈦膜的還原劑及方法。
在基板表面上沉積薄膜為各種工業中之重要製程,該等工業包括半導體處理、擴散阻障塗層及用於磁性讀/寫頭之介電質。在半導體工業中,尤其,小型化要求對薄膜沉積之原子層級控制,以在高縱橫比結構上產生共形塗層。舉例而言,TiAl膜已被用於45nm至20nm節點(node)中的平坦高-k金屬閘中。
可藉由化學氣相沉積(CVD)製程或循環沉積製程來沉積金屬膜(例如,鈦)。舉例而言,可將基板暴露於鈦有機金屬前驅物及還原劑的混合物。鈦有機金屬前驅物與還原劑的反應可造成基板表面上的金屬鈦沉積。在循環製程(如原子層沉積(ALD))中,基板被暴露於,例如,鈦有機金屬前驅物,鈦有機金屬前驅物可吸附或化學吸附至基板的表面。可用合適的還原劑將鈦有機金屬複合物還原為金屬鈦。在ALD製程 中,金屬前驅物及還原劑各別對基板暴露,以避免用於CVD製程中的氣相反應。原子層沉積製程可導致具有已知厚度的膜之受控制沉積。
通常藉由以有機金屬還原劑來還原含鈦前驅物的氯化物,而完成鈦合金膜的沉積。用於沉積TiAl合金的一個慣用製程涉及四氯化鈦(TiCl4)與有機鋁前驅物的反應,以提供包含鈦及鋁的膜。然而,在相關技術領域中對用於沉積不同介金屬膜的前驅物及方法有所需求。
本發明的一或多個實施例導向在處理腔室中沉積合金膜的方法。基板被安置在處理腔室中。基板的至少一部分被暴露於金屬鹵化物前驅物,以於基板上提供第一金屬。從處理腔室清除未反應的金屬鹵化物前驅物。基板表面的該部分被暴露於包含第二金屬的有機金屬還原劑,第二金屬不同於第一金屬,以於基板上沉積第二金屬,產生第一金屬及第二金屬之合金。
在某些實施例中,金屬鹵化物包含四氯化鈦。
在一或多個實施例中,有機金屬還原劑包含第二金屬的烷類。在某些實施例中,第二金屬包含選自由Ge、In、Sn、Sb、Tl、Pb、Bi、Zn、Al、Ga及前述各者之混合物所組成之群組中之金屬。在一或多個實施例中,第二金屬的烷類包含二甲基-金屬氫化物、二乙基氫化-金屬(diethylhydrido-metal)、甲基二氫-金屬(methyldihydro-metal)及化學式為[(CxHy)z-aMHa]n之烷基金屬氫化物中之一或多 者,其中x的值為1至3,y的值為2x+2,z的值為2至5,a的值為1至2,且n的值為1至4。在一或多個實施例中,第二金屬的烷類包含胺類。在某些實施例中,有機金屬還原劑包含四乙基錫、三甲基銦及二乙基鋅中之一或多者。
在一或多個實施例中,基板對金屬鹵化物之暴露與基板對有機金屬還原劑之暴露至少部份重疊。
某些實施例進一步包含下列步驟:清除有機金屬還原劑。
在一或多個實施例中,基板依序暴露於金屬鹵化物及有機金屬還原劑。在某些實施例中,基板在暴露於有機金屬還原劑之前暴露於金屬鹵化物。在一或多個實施例中,基板在暴露於金屬鹵化物之前暴露於有機金屬還原劑。
某些實施例進一步包含下列步驟:將合金膜浸入合金化劑(alloying agent),其中合金化劑包含SiH4、GeH4、三甲基鎵(trimethylgallium)及B2H6中之一或多者。
在一或多個實施例中,合金膜含有少於20%的碳。
本發明的額外實施例導向在處理腔室中,於基板上沉積合金膜的方法。基板被安置於處理腔室內。可提供第一金屬的金屬鹵化物前驅物以及包含第二金屬(第二金屬不同於第一金屬)的有機金屬還原劑被同步流入處理腔室,以沉積包含第一金屬及第二金屬的合金膜。
在某些實施例中,金屬鹵化物包含四氯化鈦。在一或多個實施例中,有機金屬還原劑包含第二金屬的烷類。在某些實施例中,第二金屬包含選自由Ge、In、Sn、Sb、Tl、 Pb、Bi、Zn、Al、Ga及前述各者之混合物所組成之群組中之金屬。在一或多個實施例中,合金膜含有少於20%的碳。
本發明的進一步實施例導向在處理腔室中,於基板上沉積合金膜的方法。基板被安置於處理腔室內。可提供第一金屬的金屬鹵化物前驅物被流入處理腔室,以接觸基板的一部分。包含第二金屬的有機金屬還原劑被流入處理腔室,以接觸基板的一部分,第二金屬不同於第一金屬。金屬鹵化物及有機金屬還原劑被同步流入處理腔室的不同區域,且由惰性氣體簾幕分隔,以防止金屬鹵化物與有機金屬還原劑之氣相反應。
如本文所用,「基板(substrate)」指的是任何基板或形成於基板上的材料表面或膜,在製造製程期間,可於所述基板、材料表面或膜上進行處理。舉例而言,依據應用,可於基板表面上進行處理的基板表面包括諸如矽、氧化矽、應變矽、絕緣體上矽(SOI)、碳摻雜之氧化矽、氮化矽、經摻雜之矽、鍺、砷化鎵、玻璃、藍寶石及任何其它材料(如金屬、金屬氮化物、金屬合金、介電質、高k介電質及其它導電材料)等材料。基板包括,但不限於,半導體晶圓。可將基板暴露於預處理製程,例如,以研磨、蝕刻、還原、氧化、羥基化、退火及/或烘烤基板表面。除了直接在基板本身的表面上進行的膜處理之外,所揭露的任何膜處理步驟也可於底層(底 層形成在基板上)上進行,細節揭露於下文,且當上下文指出時,術語「基板表面(substrate surface)」欲包括此類底層。術語「基板表面」可指整個基板表面或基板表面的一部分。
基板可具有各種尺寸(諸如,200mm或300mm直徑的晶圓),也可為矩形或正方形片。基板上可使用本發明之實施例的基板包括,但不限於,半導體晶圓,諸如結晶矽(如,Si<100>或Si<111>)、氧化矽、應變矽、矽鍺、經摻雜或無摻雜之多晶矽、經摻雜或無摻雜之矽晶圓、III-V族材料(如GaAs、GaN、InP,等等)以及經圖案化或未經圖案化之晶圓。可將基板暴露於預處理製程,以研磨、蝕刻、還原、氧化、羥基化、退火及/或烘烤基板表面。
根據本發明的各種實施例,所提供的是與沉積金屬合金有關的方法,所述金屬合金可適於作為N-金屬膜。在一或多個實施例中,合金膜具有可調整的工作函數。
膜沉積可由任何合適的技術進行,包括但不限於,化學氣相沉積(CVD)及原子層沉積(ALD)。在一或多個實施例中,所提供的膜包含具有低碳含量的HfAl、TiAl或TaAl中之一或多者。
在一或多個實施例中,可使用ALD、CVD或PVD製程來沉積膜。在與CVD製程有關的實施例中,可適當地將基板暴露於持續且同步的,或實質上同步的超過一種的前驅物。如本文所用,術語「實質上同步的(substantially simultaneously)」指的是一種成分流的大部分與另一種成分流重疊,儘管可能有些時候該等成分沒有一起流入。
在其它實施例中,可使用原子層沉積(ALD)製程來沉積膜。因此,在一個實施例中,以兩種或更多種前驅物接觸基板表面是依序發生或實質上依序發生。如本文所用,「實質上依序(substantially sequentially)」意味著一種成分流的大部分不與另一種成分流重合,儘管可能有些重疊。
在ALD製程的示範實施例中,可在前半反應中將第一化學前驅物(「A」)(例如,TiCl4)脈衝或流動至基板表面。典型地可藉由排空-抽氣及/或藉由流入惰性淨化氣體,來移除過量的反應物及反應副產物。接著,可將「B」前驅物,例如鋁有機金屬複合物,遞送至表面,其中前半反應的前驅物與「B」前驅物反應,生成混合的金屬膜。「B」共反應物也與潛在的反應性物種形成自我飽和鍵(self-saturating bond),以提供飽和的後半反應。典型地可利用第二淨化期來移除未被使用的反應物及反應副產物。接著可流入「C」前驅物以與潛在的物種反應,並接著移除過量的「C」前驅物。可接著再次流入「A」、「B」及「C」前驅物及淨化氣體。
本發明的某些實施例導向沉積合金膜的方法。可將基板安置於處理腔室(例如,ALD或CVD腔室)內。可將基板的至少一部分暴露於金屬前驅物,或第一金屬前驅物,以於基板上提供第一金屬。提及「基板的一部分(a portion of substrate)」可意味著任何尺寸的部分,包括整個基板表面。可從處理腔室清除未反應的金屬前驅物,或第一金屬前驅物。被暴露於金屬前驅物的基板部分接著被暴露於有機金屬還原劑,以於基板上沉積第二金屬,導致金屬的混合物或合 金被沉積。金屬前驅物中的金屬與有機金屬還原劑中的金屬可為相同金屬或不同金屬。在某些實施例中,來自金屬前驅物的第一金屬與來自有機金屬還原劑的第二金屬不同,導致混合的金屬或合金膜之沉積。舉例而言,金屬前驅物可含有鈦,且有機金屬還原劑可含有鋁,導致鈦鋁合金膜的形成。在某些實施例中,可自處理腔室清除有機金屬還原劑,或更具體而言,未反應的有機金屬還原劑及反應副產物。
金屬前驅物可為任何合適的化合物,該化合物包括任何合適的金屬原子。合適的金屬原子包括,但不限於,過渡金屬、3族至6族過渡金屬。在某些實施例中,金屬前驅物的金屬為鈦、鉭、鎢及鋁中之一或多種金屬。在一或多個實施例中,金屬前驅物的金屬為鈦。金屬前驅物可為化合物,該化合物可被還原以在基板上沉積金屬。舉例而言,金屬前驅物可為金屬鹵化物。在一或多個實施例中,金屬鹵化物為氯化物、氟化物、溴化物、碘化物及該等鹵化物之混合物中的一或多者。在某些實施例中,金屬鹵化物包含四氯化鈦。合適的金屬鹵化物包括過渡金屬鹵化物、3族至6族過渡金屬鹵化物。對某些6族金屬鹵化物而言,金屬鹵化物前驅物可包含不飽和金屬鹵化物(例如,WCl5)。
有機金屬還原劑可為任何合適的化合物,該化合物能還原金屬前驅物,以沉積金屬。有機金屬還原劑中的金屬可為任何合適的金屬,該金屬包括與金屬前驅物之金屬相同的金屬。在某些實施例中,金屬前驅物具有第一金屬,且有機金屬還原劑具有第二金屬,其中第一金屬及第二金屬二者 為相同金屬。舉例而言,兩種金屬都是鈦,而導致基本上純的鈦膜之沉積。如此說明書及隨附申請專利範圍所使用,術語「基本上純的(substantially pure)」意味著在原子基礎(atomic basis)上低於約5%的雜質,或在原子基礎上低於約2%的雜質,或在原子基礎上低於約1%的雜質。在某些實施例中,金屬前驅物具有第一金屬,且有機金屬還原劑具有第二金屬,第二金屬不同於第一金屬。舉例而言,第一金屬可為鈦,且第二金屬可為鋁,導致混合的鈦-鋁膜或鈦-鋁合金之沉積。
有機金屬前驅物中的金屬,也稱作第二金屬,可為任何合適的金屬。合適的金屬包括,但不限於,鍺、銦、錫、銻、鉈、鉛、鉍、鋅、鋁、鎵及前述金屬的混合物。
有機金屬還原劑的有機成分對於,例如,還原劑的還原潛力及與第一金屬的反應速率具有顯著影響。在某些實施例中,有機金屬還原劑可包含金屬烷類。在一或多個實施例中,第二金屬的烷類可包括二甲基-金屬氫化物、二乙基氫化-金屬、甲基二氫-金屬及化學式為[(CxHy)z-aMHa]n之烷基金屬氫化物中之一或多者,其中x的值為1至3,y的值為2x+2,z的值為2至5,a的值為1至2,且n的值為1至4。在某些實施例中,第二金屬的烷類包含胺類。有機金屬還原劑可為任何合適的有機金屬還原劑。某些實施例的有機金屬還原劑可包含四乙基錫、三甲基銦、三甲基鎵、三甲基鋁、鋁烷(alane)、金屬鋁烷(例如,鋁鋁烷(aluminum alane))及二乙基鋅中之一或多者。
可分開進行或同時進行基板對第一金屬(例如,金屬 鹵化物)的暴露與基板對有機金屬還原劑的暴露。在某些實施例中,對第一金屬前驅物的暴露與基板對有機金屬還原劑的暴露至少部份重疊。如此說明書及隨附申請專利範圍所使用,術語「至少部份重疊(at least partially overlap)」指的是,在處理週期的至少某部分同時將金屬前驅物及有機金屬還原劑二者流入處理腔室至基板表面。舉例而言,在傳統CVD製程中,可以同步將金屬前驅物及有機金屬還原劑二者流入處理腔室,並容許金屬前驅物及有機金屬還原劑在沉積於基板表面上之前以氣相進行混合/反應。在較不傳統的方法中,可將金屬前驅物及有機金屬還原劑各別流入處理腔室,使得在一時段只有該等氣體中的一種氣體接觸基板,且這兩種氣體在各別時段混合或接觸基板。
在某些實施例中,可依序將基板暴露於金屬前驅物(例如,金屬鹵化物)及有機金屬還原劑。依序暴露意味著在任何給定的時間中,基板的特定部分僅暴露於金屬前驅物及有機金屬還原劑中之一者。接著在不同的時間中,將基板的特定部分暴露於金屬前驅物及有機金屬還原劑中之另一者。舉例而言,在空間式原子層沉積(spatial ALD)製程中,基板表面之各別部分被暴露於金屬前驅物流及有機金屬還原劑流。可相對於氣體分配組裝移動基板(反之亦可),使得基板的各獨立部分被暴露於兩種氣體流。在某些實施例中,可將金屬前驅物及有機金屬還原劑同步流入處理腔室的不同區域。可藉由惰性氣體簾幕分隔這些各別的氣流,以防止金屬前驅物及有機金屬還原劑的氣相反應。惰性氣體簾幕可為任何合適的氣 流、真空流(vacuum flow)或氣流與真空流的組合,以保持反應性氣體分離。舉例而言,氣體簾幕可包含真空流、淨化氣體流及第二真空流,以確保氣體簾幕兩側的反應性氣體不會在氣相下發生反應。
可依據特定製程來改變對金屬前驅物及有機金屬還原劑暴露的順序。在某些實施例中,基板,或基板的部分,可在暴露於有機金屬還原劑之前先暴露於金屬前驅物。在一或多個實施例中,基板,或基板的部分,可在暴露於金屬前驅物之前先暴露於有機金屬還原劑。在一或多個實施例中,基板,或基板的部分,可同時暴露於金屬前驅物及有機金屬還原劑。
本文所述之方法也可包括額外的子製程。在一或多個實施例中,所述方法進一步包含:將經沉積的膜浸入合金化劑。舉例而言,可將包含第一金屬及第二金屬之混合金屬膜暴露於合金化劑,以形成合金。如本文所用,「浸入(soak)」或「浸入(soaking)」及類似術語指的是某種製程,在該製程中基板或基板的部分暴露於反應氣體,且氣體與表面反應,但不沉積層。某些實施例進一步包含將合金膜浸入合金化劑,此舉中合金化劑包含SiH4、GeH4、三甲基鎵及B2H6中之一或多者。
相較於藉由其它方法所沉積的類似膜而言,藉由本文所述之方法所沉積的膜可具有較低的碳汙染。舉例而言,由本案所主張的方法所沉積的合金膜含有少於約20%的碳。
可持續使表面交替暴露於反應物,直到達成期望的 膜厚度為止,對大多數的預期應用而言,期望的膜厚度可將近在5Å至100Å的範圍內,更特定而言為自約15Å、20Å、25Å或30Å至約45Å、50Å、55Å或60Å。可瞭解到,可同步流入反應物及淨化氣體,且基板及/或氣流噴嘴可振動,使得基板如期望般依序暴露於反應物及淨化氣體。當然,以上提及的ALD周期僅為各式各樣的ALD製程周期之範例,在彼等ALD製程周期中,可藉由前驅物及/或共反應物的交替層形成沉積層。
應注意到這些順序僅為範例,且可有許多如上文所討論的變化。淨化步驟可移除處理腔室內的任何過量前驅物、副產物及其它汙染。載氣、淨化氣體或其它製程氣體可含有氮、氫、氬、氖、氦或前述氣體之組合。
前驅物及/或反應物可處於氣態或蒸氣態,或對氣相沉積製程有利的其它狀態。在淨化期間,典型地可將惰性氣體導入處理腔室,以淨化反應區或者從反應區移除任何殘留的反應性化合物或副產物。替代地,在整個沉積製程期間可持續流入淨化氣體,使得在介於前驅物與共反應物的脈衝之間的時間延遲期間僅有淨化氣體流入。
如本文所使用的沉積氣體或製程氣體指的是單一氣體、多種氣體、含有電漿的氣體、(多種)氣體及/或(多種)電漿的組合。沉積氣體可含有用於氣相沉積製程的至少一種反應性化合物。在氣相沉積製程期間,反應性化合物可處於氣態、電漿態、蒸氣態。並且,製程可含有淨化氣體或載氣而不含反應性化合物。
在某些實施例中,可在電漿增進原子層沉積(plasma enhanced atomic layer deposition,PEALD)製程期間形成一或多層。在某些製程中,使用電漿可提供足夠的能量,以促進物種進入激發態,在激發態中可使表面反應變得更好且更有可能發生。把電漿導入製程可為連續式或脈衝式。在某些實施例中,前驅物(或反應性氣體)的序列脈衝及電漿被用來處理層。在某些實施例中,可在本地(即,在處理區內)或遠端(即,在處理區外部)離子化反應物。在某些實施例中,遠端離子化可發生於沉積腔室的上游,使得離子或其它高能物種或發光物種不會直接接觸沉積膜。在某些PEALD製程中,電漿在處理腔室外部產生,如藉由遠端電漿產生器系統產生。可透過習知技藝者所知的任何合適的電漿產生製程或技術來產生電漿。舉例而言,可藉由微波(MW)頻率產生器或射頻(RF)產生器中之一或多者來產生電漿。可依據將使用的特定反應性物種來調整電漿的頻率。合適的頻率包括,但不限於,2MHz、13.56MHz、40MHz、60MHz及100MHz。儘管可在本文所揭露的沉積製程期間使用電漿,但應注意到電漿並非必要。
根據一或多個實施例,可在形成層之前及/或之後使基板受到處理。可在相同腔室或在一或多個獨立處理腔室中進行此處理。在某些實施例中,可從第一腔室將基板移動至獨立的第二腔室,以進行進一步處理。可從第一腔室將基板直接移動至獨立的處理腔室,或可從第一腔室將基板移動至一或多個傳遞腔室,並接著將基板移動至期望之獨立的處理腔室。因此,處理設備可包含多個腔室,所述多個腔室與傳 遞站聯繫。此類設備可稱作「叢集工具(cluster tool)」或「叢集的系統(clustered system)」等等。
一般而言,叢集工具為包含多個腔室的模組系統,該多個腔室可進行多種功能,該等功能包括基板中心尋找(substrate center-finding)及定向、去氣(degas)、退火、沉積及/或蝕刻。根據一或多個實施例,叢集工具包括至少一第一腔室及中心傳遞腔室。中心傳遞腔室可容置機械手臂,機械手臂可使基板往返於處理腔室及負載鎖定腔室之間。傳遞腔室典型地維持在真空狀態下,並且傳遞腔室提供中介階段(intermediate stage)用以自一個腔室將基板輸送至另一個腔室,及/或輸送至位於叢集工具前端的負載鎖定腔室。可適用於本發明的兩個已知叢集工具為Centura®及Endura®,兩者皆可購自加州聖大克勞拉市的應用材料公司。一個此類階段式真空基板處理設備的細節揭露於名稱為「Staged-Vacuum Wafer Processing Apparatus and Method」的美國專利第5,186,718號中,該專利於1993年2月16日授予Tepman等人。然而,為了進行本文所描述之製程的特定步驟之目的,可改變腔室的確切布置與組合。可使用的其它處理腔室包括,但不限於,循環層沉積(cyclical layer deposition,CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、蝕刻、預清潔、化學清潔、諸如RTP等熱處理、電漿氮化、去氣、定位、羥化及其它基板處理腔室。藉由在叢集工具上的腔室中執行製程,可避免環境雜質對基板造成表面汙染,而不會在沉積後續膜之前發生氧化。
根據一或多個實施例,基板持續處於真空或「負載鎖定(load lock)」條件下,且當基板從一個腔室被移動至下一個腔室時不被暴露於周圍空氣。因此傳遞腔室處於真空下,且在真空壓力下傳遞腔室被「抽氣(pump down)」。惰性氣體可存在於處理腔室或傳遞腔室中。在某些實施例中,惰性氣體被用作淨化氣體,以在基板的表面上形成層之後,移除部分或所有反應物。根據一或多個實施例,可於沉積腔室的出口處注入淨化氣體,以防止反應物自沉積腔室移動到傳遞腔室及/或附加的處理腔室。因此,惰性氣體流可在腔室出口形成簾幕。
可在單一基板沉積腔室中處理基板,其中單一基板在處理另一個基板前被載入、處理並卸載。也可以連續方式處理基板,例如輸送帶系統,其中多個基板被個別載入腔室的第一部分內,移動通過該腔室並從該腔室的第二部分卸載。腔室的形狀及相關的輸送帶系統可形成筆直路徑或彎曲路徑。此外,處理腔室可為旋轉料架(carousel),其中多個基板沿著中心軸移動並在整個旋轉料架路徑中暴露於沉積、蝕刻、退火、清潔等製程。
在處理期間,可加熱或冷卻基板。可藉由任何合適的手段完成此類加熱或冷卻,合適的手段包括,但不限於,改變基板支撐件的溫度並使加熱或冷卻的氣體流到基板表面。在某些實施例中,基板支撐件包括可受控制的加熱器/冷卻器,以用傳導方式改變基板溫度。在一或多個實施例中,可加熱或冷卻所利用的氣體(無論反應性氣體或惰性氣體),以 局部改變基板溫度。在某些實施例中,可將加熱器/冷卻器安置於腔室內靠近基板表面處,以用對流方式改變基板溫度。
基板也可在處理期間靜止或轉動。轉動的基板可以連續方式轉動或以多個斷續的步驟轉動。舉例而言,基板可在整個製程期間被轉動,或基板可在暴露於不同的反應性氣體或淨化氣體之間小量地轉動。在處理期間轉動基板(無論是以連續方式或以多個步驟轉動)可藉由最小化例如,氣流幾何學中的局部變異性的效應,而有助於產生更均勻的沉積或蝕刻。
在原子層沉積型腔室中,可以空間上或時間上分隔的製程將基板暴露於第一前驅物及第二前驅物。時域ALD為傳統製程,其中第一前驅物流入腔室以與表面反應。在流入第二前驅物之前,從腔室清除第一前驅物。在空間式ALD中,同步將第一前驅物及第二前驅物二者流入腔室,但在空間上分隔所述兩個前驅物流,使得兩個前驅物流之間存在防止該等前驅物混合的一區域。在空間式ALD中,基板須相對於氣體分配板移動,反之亦可。
在整篇說明書中對「一個實施例(embodiment)」、「某些實施例」、「一或多個實施例」或「一實施例」之參考意指與實施例結合而描述之特定特徵、結構、材料或特性包括於本發明之至少一個實施例中。因此,諸如「在一或多個實施例中」、「在某些實施例中」、「在一個實施例中」或「在一實施例中」之用語出現於整篇說明書之不同位置並非必然指代本發明之相同實施例。此外,可以任何適合方式 將特定特徵、結構、材料或特性組合於一或多個實施例中。
儘管已參閱特定實施例描述本文之本發明,但是應理解到,此等實施例僅說明本發明之原理及應用。對於熟習此項技術者將為顯而易見的是,在不脫離本發明之精神及範疇之情況下,可對本發明之方法及設備進行各種修改及變化。因此,希望本發明包括在隨附申請專利範圍及該等隨附申請專利範圍之等效物之範疇內的修改及變化。

Claims (14)

  1. 一種在一處理腔室中沉積一合金膜的方法,該方法包含下列步驟:在該處理腔室內安置一基板;將該基板的至少一部分暴露於一金屬鹵化物前驅物,以於該基板上提供一第一金屬;從該處理腔室清除未反應的金屬鹵化物前驅物;將該基板表面之該部分暴露於一有機金屬還原劑,該有機金屬還原劑包含一第二金屬,該第二金屬不同於該第一金屬,以於該基板上沉積該第二金屬,產生該第一金屬及該第二金屬之一合金,該有機金屬還原劑包含該第二金屬之一烷類,該第二金屬係選自由In、Sb、Tl、Pb、Bi及前述各者之混合物所組成之群組中,該第二金屬之該烷類包含二甲基-金屬氫化物、二乙基氫化-金屬(diethylhydrido-metal)、甲基二氫-金屬(methyldihydro-metal)及化學式為[(CxHy)z-aMHa]n之烷基金屬氫化物中之一或多者,其中x的值為1至3,y的值為2x+2,z的值為2至5,a的值為1至2,且n的值為1至4。
  2. 如請求項1所述之方法,其中該金屬鹵化物包含四氯化鈦。
  3. 如請求項1所述之方法,其中該第二金屬之該烷類包含一胺類。
  4. 如請求項1所述之方法,其中該基板對該金屬鹵化物之暴露與該基板對該有機金屬還原劑之暴露至少部份重疊。
  5. 如請求項1所述之方法,進一步包含下列步驟:清除該有機金屬還原劑。
  6. 如請求項1所述之方法,其中該基板依序暴露於金屬鹵化物及該有機金屬還原劑。
  7. 如請求項6所述之方法,其中該基板係在暴露於該有機金屬還原劑之前暴露於該金屬鹵化物。
  8. 如請求項6所述之方法,其中該基板係在暴露於該金屬鹵化物之前暴露於該有機金屬還原劑。
  9. 如請求項1所述之方法,進一步包含下列步驟:將該合金膜浸入一合金化劑(alloying agent),其中該合金化劑包含SiH4、GeH4、三甲基鎵(trimethylgallium)及B2H6中之一或多者。
  10. 如請求項1所述之方法,其中該合金膜含有少於20%的碳。
  11. 一種在一處理腔室中,於一基板上沉積一合金膜的方法,該方法包含下列步驟:在該處理腔室內安置該基板;以及將一金屬鹵化物前驅物及一有機金屬還原劑同步流入該處理腔室,該金屬鹵化物前驅物提供一第一金屬且該有機金屬還原劑包含一第二金屬,該第二金屬不同於該第一金屬,以沉積一合金膜,該合金膜包含該第一金屬及該第二金屬,該有機金屬還原劑包含該第二金屬之一烷類,該第二金屬係選自由In、Sb、Tl、Pb、Bi及前述各者之混合物所組成之群組中,該第二金屬之該烷類包含二甲基-金屬氫化物、二乙基氫化-金屬(diethylhydrido-metal)、甲基二氫-金屬(methyldihydro-metal)及化學式為[(CxHy)z-aMHa]n之烷基金屬氫化物中之一或多者,其中x的值為1至3,y的值為2x+2,z的值為2至5,a的值為1至2,且n的值為1至4。
  12. 如請求項11所述之方法,其中該金屬鹵化物包含四氯化鈦。
  13. 如請求項11所述之方法,其中該合金膜含有少於20%的碳。
  14. 一種在一處理腔室中,於一基板上沉積一合金膜的方法,該方法包含下列步驟:在該處理腔室內安置該基板;以及將一金屬鹵化物前驅物流入該處理腔室以接觸該基板的一部分,該金屬鹵化物前驅物提供一第一金屬,該第一金屬包含鈦、鉭、鎢、鋁中之一或多者;以及將一有機金屬還原劑流入該處理腔室以接觸該基板的一部分,該有機金屬還原劑包含一第二金屬,該第二金屬不同於該第一金屬,該有機金屬還原劑包含該第二金屬之一烷類,該第二金屬係選自由In、Sb、Tl、Pb、Bi及前述各者之混合物所組成之群組中,該第二金屬之該烷類包含二甲基-金屬氫化物、二乙基氫化-金屬(diethylhydrido-metal)、甲基二氫-金屬(methyldihydro-metal)及化學式為[(CxHy)z-aMHa]n之烷基金屬氫化物中之一或多者,其中x的值為1至3,y的值為2x+2,z的值為2至5,a的值為1至2,且n的值為1至4,其中該金屬鹵化物及有機金屬還原劑係同步流入該處理腔室的不同區域,且係由一惰性氣體簾幕分隔,以防止該金屬鹵化物與有機金屬還原劑之氣相反應,且其中該合金膜為基本上純的,且在原子基礎上具有低於約1%的雜質。
TW103122109A 2013-06-26 2014-06-26 沉積金屬合金膜之方法 TWI630281B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361839521P 2013-06-26 2013-06-26
US61/839,521 2013-06-26

Publications (2)

Publication Number Publication Date
TW201504468A TW201504468A (zh) 2015-02-01
TWI630281B true TWI630281B (zh) 2018-07-21

Family

ID=52115843

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103122109A TWI630281B (zh) 2013-06-26 2014-06-26 沉積金屬合金膜之方法

Country Status (6)

Country Link
US (1) US10036089B2 (zh)
EP (1) EP3014651B8 (zh)
KR (1) KR102298038B1 (zh)
CN (2) CN110592554A (zh)
TW (1) TWI630281B (zh)
WO (1) WO2014210328A1 (zh)

Families Citing this family (340)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) * 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US20150357236A1 (en) * 2014-06-08 2015-12-10 International Business Machines Corporation Ultrathin Multilayer Metal Alloy Liner for Nano Cu Interconnects
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
CN106567053B (zh) * 2015-10-09 2019-03-15 中国科学院微电子研究所 一种制备钛铝合金薄膜的方法
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR20200074263A (ko) * 2017-11-19 2020-06-24 어플라이드 머티어리얼스, 인코포레이티드 금속 표면들 상의 금속 산화물들의 ald를 위한 방법들
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
WO2019125774A1 (en) * 2017-12-20 2019-06-27 Lam Research Corporation Systems and methods for homogenous intermixing of precursors in alloy atomic layer deposition
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) * 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) * 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
KR20210031492A (ko) * 2018-07-12 2021-03-19 바스프 에스이 금속 또는 반금속-함유 필름의 제조 방법
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
CN110777436A (zh) * 2019-11-05 2020-02-11 中国科学院半导体研究所 硅基iv族合金材料及其外延方法
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11359282B2 (en) * 2020-08-12 2022-06-14 Applied Materials, Inc. Methods for forming impurity free metal alloy films
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220259734A1 (en) * 2021-02-16 2022-08-18 Applied Materials, Inc. Reducing Agents for Atomic Layer Deposition
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230399743A1 (en) * 2022-06-13 2023-12-14 Tokyo Electron Limited Cyclic Film Deposition Using Reductant Gas

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101859694A (zh) * 2009-04-09 2010-10-13 东京毅力科创株式会社 成膜装置和成膜方法
US20110159186A1 (en) * 2009-12-28 2011-06-30 Sony Corporation Film forming apparatus and film forming method
US20120322250A1 (en) * 2011-06-20 2012-12-20 Applied Materials, Inc. N-Metal Film Deposition With Initiation Layer
US20130115383A1 (en) * 2011-11-08 2013-05-09 Xinliang Lu Deposition of metal films using alane-based precursors

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4330433A (en) 1980-02-27 1982-05-18 Exxon Research & Engineering Co. Titanium trihalide catalyst and process for its production
US4734514A (en) * 1984-10-25 1988-03-29 Morton Thiokol, Inc. Hydrocarbon-substituted analogs of phosphine and arsine, particularly for metal organic chemical vapor deposition
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US6077775A (en) * 1998-08-20 2000-06-20 The United States Of America As Represented By The Secretary Of The Navy Process for making a semiconductor device with barrier film formation using a metal halide and products thereof
WO2003025260A1 (en) 2001-09-19 2003-03-27 Evergreen Solar, Inc. High yield method for preparing silicon nanocrystals with chemically accessible surfaces
US6869876B2 (en) * 2002-11-05 2005-03-22 Air Products And Chemicals, Inc. Process for atomic layer deposition of metal films
US7645484B2 (en) * 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
KR101342594B1 (ko) * 2006-05-08 2013-12-17 삼성에스디아이 주식회사 금속 나노결정의 제조 방법
WO2007133837A2 (en) 2006-05-12 2007-11-22 Advanced Technology Materials, Inc. Low temperature deposition of phase change memory materials
JP4773562B2 (ja) * 2006-05-26 2011-09-14 エルジー・ケム・リミテッド フォトレジスト用ストリッパー組成物
TW200810019A (en) * 2006-06-08 2008-02-16 Tokyo Electron Ltd Film forming apparatus, film forming method, computer program and storage medium
US8318252B2 (en) * 2008-01-28 2012-11-27 Air Products And Chemicals, Inc. Antimony precursors for GST films in ALD/CVD processes
US8192866B2 (en) * 2008-03-04 2012-06-05 Lockheed Martin Corporation Tin nanoparticles and methodology for making same
US9315896B2 (en) * 2009-10-26 2016-04-19 Asm Ip Holding B.V. Synthesis and use of precursors for ALD of group VA element containing thin films

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101859694A (zh) * 2009-04-09 2010-10-13 东京毅力科创株式会社 成膜装置和成膜方法
US20110159186A1 (en) * 2009-12-28 2011-06-30 Sony Corporation Film forming apparatus and film forming method
US20120322250A1 (en) * 2011-06-20 2012-12-20 Applied Materials, Inc. N-Metal Film Deposition With Initiation Layer
US20130115383A1 (en) * 2011-11-08 2013-05-09 Xinliang Lu Deposition of metal films using alane-based precursors

Also Published As

Publication number Publication date
EP3014651B1 (en) 2018-11-07
US10036089B2 (en) 2018-07-31
KR20160024846A (ko) 2016-03-07
CN105164791A (zh) 2015-12-16
EP3014651B8 (en) 2018-12-26
EP3014651A4 (en) 2016-11-23
TW201504468A (zh) 2015-02-01
CN110592554A (zh) 2019-12-20
KR102298038B1 (ko) 2021-09-02
US20150004316A1 (en) 2015-01-01
EP3014651A1 (en) 2016-05-04
WO2014210328A1 (en) 2014-12-31

Similar Documents

Publication Publication Date Title
TWI630281B (zh) 沉積金屬合金膜之方法
US10297462B2 (en) Methods of etching films comprising transition metals
US9514933B2 (en) Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition
US9145612B2 (en) Deposition of N-metal films comprising aluminum alloys
US10233547B2 (en) Methods of etching films with reduced surface roughness
US8895443B2 (en) N-metal film deposition with initiation layer
TWI628305B (zh) 包含具高鋁含量的鋁合金之膜的沉積
US9328415B2 (en) Methods for the deposition of manganese-containing films using diazabutadiene-based precursors
WO2020046852A1 (en) Methods of depositing metal carbide films
US9982345B2 (en) Deposition of metal films using beta-hydrogen free precursors
US9236467B2 (en) Atomic layer deposition of hafnium or zirconium alloy films