KR102156317B1 - 전이 금속들을 포함하는 막들을 에칭시키는 방법 - Google Patents

전이 금속들을 포함하는 막들을 에칭시키는 방법 Download PDF

Info

Publication number
KR102156317B1
KR102156317B1 KR1020187010974A KR20187010974A KR102156317B1 KR 102156317 B1 KR102156317 B1 KR 102156317B1 KR 1020187010974 A KR1020187010974 A KR 1020187010974A KR 20187010974 A KR20187010974 A KR 20187010974A KR 102156317 B1 KR102156317 B1 KR 102156317B1
Authority
KR
South Korea
Prior art keywords
substrate surface
substrate
reagent
transition metal
plasma
Prior art date
Application number
KR1020187010974A
Other languages
English (en)
Other versions
KR20180042466A (ko
Inventor
제프리 더블유. 안티스
벤자민 쉬미게
데이비드 톰슨
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20180042466A publication Critical patent/KR20180042466A/ko
Application granted granted Critical
Publication of KR102156317B1 publication Critical patent/KR102156317B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/12Gaseous compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

전이 금속들을 포함하는 막들을 에칭시키는 방법들이 제공된다. 특정 방법들은 적어도 하나의 전이 금속을 포함하는 기판 표면을 활성화시키되, 여기서 기판 표면의 활성화가 기판 표면을 열, 플라즈마, 산화 환경, 또는 할라이드 전달제에 노출시켜 활성화된 기판 표면을 제공하는 것을 포함하고; 활성화된 기판 표면을 루이스 염기 또는 pi 산을 포함하는 시약에 노출시켜 시약으로부터의 하나 이상의 리간드들에 배위된 전이 금속 중 하나 이상의 원자들을 포함하는 증기상 배위 착물을 제공하는 것을 포함한다. 특정의 다른 방법들은 Co 층, Cu 층, 및 Ni 층 중 둘 이상을 포함하는 다층 기판으로부터 선택적인 에칭을 제공한다.

Description

전이 금속들을 포함하는 막들을 에칭시키는 방법{METHODS OF ETCHING FILMS COMPRISING TRANSITION METALS}
본 발명의 양태들은 일반적으로 막들을 에칭시키는 방법들에 관한 것이다. 특히, 본 발명의 양태들은 반도체 소자들을 위한 전이 금속들을 포함한 막들을 에칭시키는 것에 관한 것이다.
기판 표면 상의 막들의 증착은 반도체 가공, 확산 배리어 코팅들, 및 자기 판독/기록 헤드들용 유전체들을 포함하는 다양한 산업들에서 중요한 공정이다. 화학적 증기 증착(CVD) 및 원자 층 증착(ALD)은 기판 상에 다양한 물질들을 형성시키거나 증착시키기 위해 사용되는 두 가지 증착 공정들이다. 일반적으로, CVD 및 ALD 공정들은 기판 표면으로 가스상 반응물들의 전달을 수반하는데, 여기서, 화학 반응은 반응의 열역학에 대해 적합한 온도 및 압력 조건들 하에서 일어난다. 그러나, 하나 이상의 이러한 증착 공정들이 갖는 통상적인 문제점은 증착 챔버 벽들, 샤워헤드, 등 상으로의 원치 않는 증착이다. 예를 들어, 코발트 막들이 증착되는 경우에, 챔버의 벽들 상에 요망되는 않는 코발트 금속 또는 화합물들 (예를 들어, 카바이드 코발트(carbidic cobalt))의 축적(buildup)에 대한 가능성이 존재한다. 이는 이후에 이러한 축적을 제거할 필요가 있다. 이에 따라, 증착 장비로부터 이의 축적을 세정하는 방법들에 대한 필요성이 존재한다. 특히, 에치(etch) 동안 보다 큰 조절을 산출하는 자기-제한적인 에치 방법들을 갖는 것이 특히 유리할 것이다.
추가적으로, 반도체 산업에서, 소형화(miniaturization)는 고 종횡 구조물 상에 콘포말한 코팅(conformal coating)들을 형성시키기 위해 박막 증착의 원자 수준 조절을 필요로 한다. 조절 및 콘포말한 증착과 함께 박막들을 증착시키기 위한 한 가지 방법은 원자층 증착(ALD)으로서, 이는 정확한 두께의 층들을 형성시키기 위해 연속적인 표면 반응들을 사용한다. 대부분의 ALD 공정들은 이원 화합물 막을 증착시키는 이원 반응 시퀀스들을 기초로 한다. 표면 반응들이 연속적이기 때문에, 두 가지 가스상 반응물들은 접촉하지 않으며, 입자들을 형성시키고 증착시킬 수 있는 가능한 가스상 반응들은 제한적이다. 그러나, 본 발명 이전에는 조절 및 콘포말성(conformality)을 갖는 막들을 섬세하게 에칭시키기 위한 상업적으로 실용적인 방식이 존재하지 않았다. 예를 들어, 코발트에 대해 제시된 습식 에치 방법들이 존재하였지만, 코발트 및/또는 코발트 잔부를 제거하기 위한 건식 방법들, 및 바람직하게 자기-제한적이고 에칭율(etch rate)에 대해 정확하게 조절할 수 있는 인시튜 방법들이 여전히 요구되고 있다. 더욱더 구체적으로, 특정 금속에 대해 선택적인 방법이 요망되는데, 왜냐하면 이는 에칭 공정에 비해 보다 큰 조절을 제공하기 때문이다.
본 발명의 일 양태는 기판을 에칭시키는 방법에 관한 것이다. 본 방법은 적어도 하나의 전이 금속을 포함하는 기판 표면을 활성화시키되, 여기서 기판 표면의 활성화가 기판 표면을 열, 플라즈마, 산화 환경, 또는 할라이드 전달제에 노출시켜 활성화된 기판 표면을 제공하고; 활성화된 기판 표면을 루이스 염기 또는 pi 산을 포함하는 시약에 노출시켜 시약으로부터의 하나 이상의 리간드들에 배위된 전이 금속의 하나 이상의 원자들을 포함하는 증기상 배위 착물을 제공하는 것을 포함한다.
하나 이상의 구체예들에서, 루이스 염기 또는 pi 산은 CO, PR1 3 (여기서, 각 R1은 독립적으로 C1-C6 알킬 기임), 1,2-비스(디플루오로포스피노)에탄, N2O, NO, NH3, NR2 3 (여기서, 각 R2는 독립적으로 수소, C1-C6 분지되거나 비분지된, 치환되거나 비치환된, 알킬, 알릴 또는 환형 탄화수소 또는 헤테로원자 기임), 또는 하기 구조를 갖는 화합물을 포함한다:
Figure 112018038527491-pat00001
상기 식에서, 각 Rb는 독립적으로 수소, R 또는 C1-C4 알킬이다. 일부 구체예들에서, pi 산은 AlHnXmRc p를 포함하며, 여기서, X는 할로겐이며, n + m + p의 총합은 3이며, Rc는 C1-C6 알킬이다. 하나 이상의 구체예들에서, 기판 표면의 활성화는 루이스 산 및/또는 pi 산과 반응하는 표면 종결화(surface termination)를 제공한다. 일부 구체예들에서, 루이스 염기 또는 pi 산은 N,N,N',N'-테트라메틸에틸렌 디아민, 에틸렌 디아민, N,N'-디메틸에틸렌디아민, 2-(아미노메틸)피리딘, 2-[(알킬아미노)메틸]피리딘, 및 2-[(디알킬아미노)메틸]피리딘으로 이루어진 군으로부터 선택된 킬레이트화 아민을 포함하며, 여기서, 알킬 기는 C1-C6 알킬이다.
기판 표면의 활성화는 여러 형태들을 취할 수 있다. 하나 이상의 구체예들에서, 기판 표면의 활성화는 기판 표면을 열에 노출시키는 것을 포함한다. 일부 구체예들에서, 열 및 시약에 대한 기판 표면의 노출은 동시에 또는 실질적으로 동시에 일어난다.
하나 이상의 구체예들에서, 기판 표면의 활성화는 기판 표면을 플라즈마에 노출시키는 것을 포함한다. 일부 구체예들에서, 플라즈마 및 시약에 대한 기판 표면의 노출은 동시에 또는 실질적으로 동시에 일어난다. 추가 구체예들에서, 플라즈마는 H2, NO, N2O, NF3, Cl2, Ar 또는 N2를 포함한다. 하나 이상의 구체예들에서, 플라즈마는 N2O를 포함하며, N2O에 대한 기판 표면의 노출은 -NO 표면 종결화를 야기시킨다.
일부 구체예들에서, 기판 표면의 활성화는 할라이드 전달제에 대한 노출을 포함한다. 추가 구체예들에서, 할라이드 전달제는 I2, Br2, Cl2, 트리알킬실릴 할라이드, 또는 알킬 할라이드를 포함하며, 여기서 알킬 기는 C1-C6 알킬일 수 있다.
하나 이상의 구체예들에서, 기판 표면의 활성화는 산화 환경에 대한 기판 표면의 노출을 포함한다. 추가 구체예들에서, 산화 환경에 대한 기판 표면의 노출은 기판 표면을 O2, O3, N2O, NO, Br2, F2, I2 또는 Cl2에 노출시키는 것을 포함한다.
일부 구체예들에서, 전이 금속은 Co, Cu, Ru, Ni, Fe, Pt, Mn 및 Pd로 이루어진 군으로부터 선택된 원소를 포함한다. 하나 이상의 구체예들에서, 기판 표면은 약 90 내지 약 100% 전이 금속, 및 0 내지 약 10% 탄소를 포함한다.
또한, 본 방법의 다른 변형예들이 존재한다. 하나 이상의 구체예들에서, 기판 표면은 증착 챔버 벽 또는 샤워헤드 위에 가로놓인다. 일부 구체예들에서, 본 방법은 증기상 배위 착물을 퍼징시키는 것을 추가로 포함한다.
본 발명의 제2 양태는 다층 기판을 에칭시키는 방법에 관한 것이다. 본 방법은 Co 층, Cu 층 및 Ni 층 중 둘 이상을 포함하는 다층 기판을 제공하고; Co 층, Cu 층 또는 Ni 층의 표면을 활성화시키되, 여기서 기판 표면의 활성화가 기판 표면을 열, 플라즈마 또는 할라이드 전달제에 노출시켜 활성화된 기판 표면을 제공하는 것을 포함하고; 킬레이트화 아민이 단지 제1 온도에서 Co, Cu 또는 Ni 중 하나와 휘발성 금속 배위 착물을 형성하도록 활성화된 기판 표면을 제1 온도에서 킬레이트화 아민에 노출시키는 것을 포함한다.
하나 이상의 구체예들에서, 본 방법은 킬레이트화 아민이 단지 제2 온도에서 Co, Cu 또는 Ni 중 하나와 휘발성 금속 배위 착물을 형성하도록, 활성화된 기판 표면을 제2 온도에서 킬레이트화 아민에 노출시키는 것을 추가로 포함한다. 일부 구체예들에서, 킬레이트화 아민은 하기 구조식으로 표현되는 구조를 갖는다:
Figure 112018038527491-pat00002
상기 식에서, 각 Ra는 독립적으로 수소 또는 C1-C4 알킬이며, 단, Ra 기 모두는 수소가 아니다. 일부 구체예들에서, 킬레이트화 아민은 N,N,N',N'-테트라메틸에틸렌 디아민 및 N,N'-디메틸에틸렌디아민으로 이루어진 군으로부터 선택된다. 하나 이상의 구체예들에서, 본 방법은 배위 착물을 퍼징하는 것을 추가로 포함한다.
본 발명의 제3 양태는 기판을 에칭시키는 방법으로서, 코발트 또는 구리를 포함하는 기판 표면을 활성화시키되, 여기서 기판 표면의 활성화가 기판 표면을 Br2에 노출시켜 활성화된 기판 표면을 제공하고; 활성화된 기판 표면을 TMEDA를 포함하는 시약에 노출시켜 시약으로부터의 하나 이상의 리간드들에 배위된 코발트 또는 구리 중 하나 이상의 원자들을 포함하는 증기상 배위 착물을 제공하는 것을 포함한다.
본 발명의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로 앞서 간략히 요약된 본 발명의 보다 구체적인 설명이 구체예들을 참조로 하여 이루어질 수 있는데, 이러한 구체예들 중 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 구체예들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 구체예들을 허용할 수 있기 때문이다.
도 1은 본 발명의 하나 이상의 구체예들에 따른 방법의 개략도를 도시한 것이다.
도 2는 본 발명의 하나 이상의 구체예들에 따른 방법의 개략도를 도시한 것이다.
도 3은 본 발명의 하나 이상의 구체예들에 따른 방법의 개략도를 도시한 것이다.
도 4는 본 발명의 하나 이상의 구체예들에 따른 방법의 개략도를 도시한 것이다.
도 5는 본 발명의 하나 이상의 구체예들에 따른 방법의 개략도를 도시한 것이다.
도 6은 본 발명의 하나 이상의 구체예들에 따른 공정을 위한 사이클들의 함수로서 에칭된 코발트의 양의 그래프를 도시한 것이다.
도 7은 본 발명의 하나 이상의 구체예들에 따른 방법의 개략도를 도시한 것이다.
도 8은 본 발명의 하나 이상의 구체예들에 따른 공정을 위한 온도의 함수로서 에칭된 코발트의 양의 그래프를 도시한 것이다.
도 9는 본 발명의 하나 이상의 구체예들에 따른 공정을 위한 온도의 함수로서 에칭된 코발트의 양의 그래프를 도시한 것이다.
도 10은 본 발명의 하나 이상의 구체예들에 따른 방법의 개략도를 도시한 것이다.
본 발명의 여러 예시적인 구체예들을 기술하기 전에, 본 발명이 하기 설명에 기술된 구조 또는 공정 단계들의 세부사항들로 제한되지 않는 것으로 이해된다. 본 발명은 다른 구체예들일 수 있고, 다양한 방식으로 실행되거나 수행될 수 있다. 또한, 본 발명의 착물들 및 리간드들이 본원에서 특정 입체화학을 갖는 구조식들을 사용하여 예시될 수 있는 것으로 이해된다. 이러한 예시들은 단지 예로서 의도되고 기술된 구조를 임의의 특정 입체화학으로 한정하는 것으로 해석되지 않는다. 오히려, 예시된 구조들은 명시된 화학식을 갖는 모든 이러한 착물들 및 리간드들을 포함하는 것으로 의도된다.
활성화 방법들 및 시약들의 특정 조합들이 적어도 하나의 전이 금속을 포함하는 기판들을 에칭시킬 수 있다는 것이 발견되었다. 기판 표면의 활성화를 위한 가능한 방법들은 기판 표면을 열, 플라즈마, 산화 환경, 또는 할라이드 전달제에 노출시키는 것을 포함한다. 시약들은 루이스 염기들 및/또는 pi 산들을 포함한다. 이러한 공정들은 기판 금속의 휘발성 금속 배위 착물들의 형성을 가능하게 하는데, 이는 이후에 기판 표면으로부터 유동되거나 퍼징될 수 있으며, 이에 의해 기판의 일부를 제거할 수 있다. 특정 공정들은 선택적 금속 에칭에 관한 것으로서, 이는 다른 것들을 온전히 남기면서, 전이 금속의 제거를 가능하게 한다.
이에 따라, 본 발명의 일 양태는 기판을 에칭시키는 방법에 관한 것이다. 본 방법은 적어도 하나의 전이 금속을 포함하는 기판 표면을 활성화시키는 것을 포함한다. 기판 표면의 활성화는 기판 표면을 열, 플라즈마, 산화 환경, 또는 할라이드 전달제에 노출시켜 활성화된 기판 표면을 제공하고; 활성화된 기판 표면을 루이스 염기 또는 pi 산을 포함하는 시약에 노출시켜 시약으로부터의 하나 이상의 리간들에 배위된 전이 금속 중 하나 이상의 원자들을 포함하는 증기상 배위 착물을 제공하는 것을 포함한다.
본원에서 사용되는 "기판"은 하나 이상의 전이 금속들을 포함하는 기판들을 넓게 포함한다. 일부 구체예들에서, 이러한 용어는 기판 상에 증착된 축적물의 층을 갖는 장비를 포함한다. 상술된 바와 같이, 이러한 증착 공정들 중 하나 이상이 갖는 공통의 문제는 증착 챔버 벽, 샤워헤드, 등 상의 원치 않는 증착이다. 이에 따라, 일부 구체예들에서, 기판은 증착 챔버 벽, 증착 샤워헤드, 등 위에 가로 놓여 있는 증착된 금속을 포함한다. 하나 이상의 구체예들에서, 이러한 용어는 임의의 기판, 또는 제작 공정 동안 기판 상에 막 가공이 수행되는 제2 기판 상에 형성된 전이 금속을 포함하는 물질 표면을 지칭한다. 기판들은 기판 표면을 폴리싱, 에칭, 환원, 산화, 하이드록실화, 어닐링, 및/또는 베이킹시키기 위해 사전처리 공정에 노출될 수 있다. 용어 "기판 표면"은 기판의 노출된 표면을 지칭한다. 하나 이상의 구체예들에서, 그리고 문맥이 명시하는 바와 같이, 층들이 기판에 첨가되거나 (대안적으로) 기판의 일부가 제거됨에 따라, 새로이 노출된 표면은 기판 표면이 된다.
하나 이상의 구체예들에서, 기판 표면은 적어도 하나의 전이 금속을 포함한다. 하나 이상의 구체예들에서, 전이 금속은 제1 열 전이 금속을 포함한다. 일부 구체예들에서, 전이 금속은 Co, Cu, Ru, Ni, Fe, Pt, Mn 및 Pd로 이루어진 군으로부터 선택된다. 일부 구체예들에서, 기판 표면은 전이 금속을 필수적으로 포함한다. 하나 이상의 구체예들에서, 기판 표면은 금속 합금들을 포함하는, 하나 초과의 전이 금속을 포함할 수 있다. 이러한 기판의 일 예는 코발트 및 철 둘 모두를 포함하는 기판을 포함한다.
다른 구체예들에서, 기판 표면은 적어도 하나의 전이 금속을 포함하지만, 또한, 다른 성분들을 포함한다. 다른 성분들은 탄소를 포함할 수 있다. 하나 이상의 구체예들에서, 기판 표면은 약 90 내지 약 100% 전이 금속 및 0 내지 약 10% 탄소를 포함한다. 카바이드 막들은 특히 증착 챔버 벽들, 샤워헤드들, 및 다른 장비 부품들 상에 증착된 전이 금속 카바이드들의 제거와 관련된 구체예들에서 나타날 수 있다. 일부 구체예들에서, 다른 성분들은 산소, 붕소, 황 및/또는 질소를 포함할 수 있다. 이에 따라, 적합한 기판의 다른 예들은 금속 합금들/금속간 화합물들, 금속 옥사이드들, 금속 보라이드들, 금속 설파이드들, 금속 니트라이드들, 금속 금속간 보라이드들, 금속 금속간 옥사이드들, 금속 금속간 설파이드들, 및 금속 금속간 니트라이드들을 포함하는 물질들을 포함한다. 명확하게 하기 위하여, 상기에는 하나 초과의 전이 금속 뿐만 아니라 추가 성분들을 포함하는 기판을 포함한다. 이러한 물질의 예는 코발트, 철, 및 붕소를 포함하는 기판이다(CoFeB).
표면이 활성화되고 시약 가스가 반응성 표면 위로 흐른 직후에, 시약 가스가 기판 표면으로부터의 전이 금속 원자들 중 하나 이상을 갖는 금속 배위 착물을 형성시키는 것으로 사료된다. 이상적으로, 반응 조건들은 형성된 배위 착물이 제공된 온도에서 휘발성(즉, 증기상)일 수 있도록 선택된다. 이후에, 착물은 간단하게 기판 표면으로부터 흐를 수 있고, 적절한 경우에 챔버 밖으로 흐를 수 있다. 즉, 일부 구체예들에서, 본 방법은 증기상 배위 착물을 퍼징시키는 것을 추가로 포함한다.
이에 따라, 기판 표면은 적어도 하나의 금속 층을 에치 공정 이전 보다 더욱 얇게 할 것이다. 일부 구체예들에서, 에치 공정은 자기-제한적이다. 즉, 에치 시간, 에치 사이클이 수행되며, 기판의 동일한 양이 제거되지만, 반드시 단일층에서 이루어지는 것은 아니다. 예를 들어, 특정 수의 옹스트롱 (예를 들어, 약 7), 또는 여러 단일층들이 사이클 당 제거될 수 있다. 이러한 구체예들에서, 전이금속 원자들의 하나 이상의 층들은 각 사이클에서 신뢰성 있게 제거될 수 있다. 이러한 방법은 "교대 노출 에칭"으로서 지칭될 수 있는데, 여기서, 기판 표면은 시약 및 활성화 제제들에 연속적으로 또는 실질적으로 연속적으로 노출된다. 본원에서 사용되는 "실질적으로 연속적으로"는 펄스 기간 대부분이 보조-시약의 펄스와 중첩하지 않지만, 일부 중첩이 존재할 수 있음을 의미한다. 다른 구체예들에서, 본 공정은 단일층에서 자기-제한적일 수 있다. 즉, 이러한 구체예들에서, 전이 금속 원자들의 단지 하나의 층은 소정 시간에 제거된다. 이러한 공정은 "원자층 에칭"으로서 지칭될 수 있다.
에치 반응들에 대한 특정 반응 조건들은 시약들 및 기판 표면의 성질들, 뿐만 아니라 사용되는 압력을 기초로 하여 선택될 수 있다. 에치는 대기압에서 수행될 수 있지만, 또한 감압에서 수행될 수 있다. 기판 온도는 형성된 금속 착물들을 가스상으로 유지시키고 표면 반응들에 대해 충분한 에너지를 제공하기에 충분히 높아야 한다. 특정 기판, 막 전구체들, 등의 성질들은 당해 분야에 공지된 방법들을 이용하여 평가될 수 있으며, 이는 반응을 위한 적절한 온도 및 압력의 선택을 가능하게 한다.
일부 구체예들에서, 기판 표면 온도는 약 500, 475, 450, 425, 400, 375, 350, 325, 또는 300℃ 미만에서 유지된다. 장비의 축적물을 세정하기 위해 에치가 사용되는 구체예들에서, 기판 온도는 250, 225, 또는 200℃ 미만으로 유지될 수 있다. 기판 표면 온도는 적어도 약 실온(23℃) 또는 적어도 약 25, 50 또는 75℃이어야 한다.
시약들
본 발명의 하나 이상의 구체예들에 따르면, 시약들은 루이스 염기 또는 pi 산을 포함한다. 본원에서 사용되는 "pi 산"은 리간드로서, 금속으로부터의 전자 밀도를 빈 pi 오비탈들에 수용할 뿐만 아니라 시그마 결합을 통해 전자 밀도를 금속에 공여할 수 있는 화합물을 지칭한다. 본원에서 사용되는 "루이스 염기"는 리간드로서, 전자 쌍을 금속에 공여할 수 있는 화합물을 지칭한다. 본원에 기술된 공정들을 위해 여러 적합한 시약들이 존재한다.
하나 이상의 구체예들에서, 루이스 염기 또는 pi 산은 킬레이트화 아민을 포함한다. 일부 구체예들에서, 킬레이트화 아민은 하기 화학식으로 표현되는 구조를 갖는다:
Figure 112018038527491-pat00003
상기 식에서, 각 Ra는 독립적으로, 수소 또는 C1-C4 알킬 기이며, 단, Ra 기 모두는 수소가 아니다. 추가 구체예들에서, 킬레이트화 아민은 N,N,N',N'-테트라메틸에틸렌 디아민 (또한, TMEDA로서 알려짐), 에틸렌 디아민, N,N'-디메틸에틸렌디아민, 2-(아미노메틸)피리딘, 2-[(알킬아미노)메틸]피리딘, 및 2-[(디알킬아미노)메틸]피리딘으로 이루어진 군으로부터 선택되며, 여기서, 알킬 기는 C1-C6 알킬 기이다.
일부 구체예들에서, 루이스 염기 또는 pi 산은 CO, 알킬포스핀들 (PR1 3, 여기서, 각 R1은 C1-C6 알킬 기임), 1,2-비스(디플루오로포스피노)에탄, N2O, NO, NH3, NR2 3 (여기서, 각 R2는 독립적으로 수소 또는 C1-C6 분지되거나 비분지된, 치환되거나 비치환된, 알킬, 알릴 또는 환형 탄화수소 또는 헤테로원자 기임), 또는 하기 구조를 갖는 화합물을 포함한다:
Figure 112018038527491-pat00004
상기 식에서, 각 Rb는 독립적으로 수소, R 또는 C1-C4 알킬이다. N2O가 전통적인 루이스 염기가 아니고 고립 전자 쌍을 가짐을 주지한다. 시약이 NR2 3을 포함하는 일부 구체예들에서, 각 R2는 독립적으로 C1-C6 알킬이다. 다른 구체예들에서, R2 기들 중 적어도 하나는 사이클로헥실아민이다.
하나 이상의 구체예들에서, pi 산은 알루미늄 전구체를 포함한다. 추가 구체예들에서, 알루미늄 전구체는 화학식 AlHnXmRc p를 갖는데, 여기서, X는 할로겐이며, n + m + p의 총합은 3이며, Rc는 C1-C6 알킬이다.
활성화
하나 이상의 구체예들에서, 본 공정은 기판 표면의 활성화를 포함한다. 일부 구체예들에서, 기판 표면의 활성화는 루이스 산 및/또는 pi 산과 반응하는 표면 종결화를 제공한다. 추가 구체예들에서, 표면 종결화는 루이스 산들 및/또는 pi 산들 중 임의의 하나 이상과 반응할 것이다.
일부 구체예들에서, 기판 표면의 활성화는 기판 표면을 열처리함으로써 달성된다. 기판 표면을 열처리하는 것은 단순히 챔버를 열처리하는 것을 포함하는, 당해 분야에 공지된 방법들에 의해 수행될 수 있다. 일부 구체예들에서, 기판 표면 온도는 약 400, 375, 350, 325, 또는 300℃ 미만에서 유지된다. 장비의 축적물을 세정하기 위해 에치가 사용되는 구체예들에서, 기판 온도는 250, 225, 또는 200℃ 미만에서 유지될 수 있다. 기판 표면 온도는 적어도 약 실온(23℃) 또는 적어도 약 25, 50 또는 75℃이어야 한다.
열처리를 포함하는 공정들과 관련하여, 시약 가스는 열처리된 기판 위로 진행될 수 있다. 기판 표면은 열처리되고 시약 가스에 동시에 또는 실질적으로 동시에 노출될 수 있다. 본원에서 사용되는 구 "열 및 시약에 대한 기판 표면의 노출이 실질적으로 동시에 일어난다"는 기판 표면이 시약에 대한 노출과 중첩하는 대부분의 열처리 기간에 열처리됨을 의미하지만, 이러한 것은 완전히 동일한 시간에 걸치지 않을 수 있다. 일부 구체예들에서, 기판을 열처리한 후 사용되는 시약 가스는 CO, PR1 3, N2O, NO, NH3, NR2 3 중 하나 이상을 포함하며, 여기서, 각 R1은 C1-C6 알킬 기이며, 각 R2는 C1-C6 분지되거나 비분지된, 치환되거나 비치환된, 알킬, 알릴 또는 환형 탄화수소 또는 헤테로원자 기이다. 다른 구체예들에서, 시약 가스는 킬레이트화 아민, 예를 들어 N,N,N'N'-테트라메틸에틸렌 디아민 및 N,N'-디메틸에틸렌디아민을 포함한다.
일부 구체예들에서, 기판 표면의 활성화는 기판 표면을 플라즈마에 노출시키는 것을 포함한다. 기판 표면은 플라즈마 및 시약 가스를 연속적으로, 실질적으로 연속적으로, 동시에 또는 실질적으로 동시에 노출될 수 있다. 본원에서 사용되는, 구 "플라즈마 및 시약에 대한 기판 표면의 노출은 실질적으로 연속적으로 일어난다"는 기판 표면이 시약에 대한 노출과 동시에 일어나지 않는 대부분의 플라즈마 노출 기간에 플라즈마에 노출되는 것을 의미하지만, 일부 중첩이 존재할 수 있다. 본원에서 사용되는 구 "플라즈마 및 시약에 대한 기판 표면의 노출이 실질적으로 동시에 일어난다"는 기판 표면이 시약에 대한 노출과 중첩하는 대부분의 플라즈마 노출 기간에 플라즈마에 노출되는 것을 의미하지만, 이러한 것은 완전히 동일한 시간에 걸쳐지지 않을 수 있다.
일반적으로, 활성화를 위해 사용되는 플라즈마는 후속 시약 노출 단계들에 대한 표면의 반응성을 향상시킬 것이다. 하나 이상의 구체예들에서, 플라즈마는 H2, NO, N2O, NF3, Cl2, Ar 또는 N2를 포함한다. 일부 구체예들에서, 플라즈마는, 상이한 표면 종결화를 부가함으로써 기판 표면을 변화시킨다. 예를 들어, 기판 표면이 N2O를 포함하는 플라즈마에 노출되는 구체예들에서, 플라즈마에 대한 노출은 -NO 표면 종결화를 야기시킬 것으로 사료된다. 임의의 특정 이론으로 제한하고자 하는 것은 아니지만, 이러한 작용성을 첨가함으로써, 기판 표면이 특정 시약들, 특히 본원에 기술된 pi 산들 및/또는 루이스 염기들 중 하나 이상에 대해 더욱 반응적이게 된다고 사료된다.
일부 구체예들에서, 기판 표면에 대한 노출은 기판 표면을 할라이드 전달제에 노출시키는 것을 포함한다. 하나 이상의 구체예들에서, 할라이드 전달제 및 임의의 pi 산 및/또는 루이스 염기에 대한 기판 표면의 노출은 연속적으로 또는 실질적으로 연속적으로 일어난다. 본원에서 사용되는 구 "할라이드 전달제 및 시약에 대한 기판 표면의 노출이 실질적으로 연속적으로 일어난다"는 기판 표면이 시약에 대한 노출과 동시에 일어나지 않는 다수의 할라이드 전달제 노출 기간에 할라이드 전달제에 노출되는 것을 의미하지만, 일부 중첩이 존재할 수 있다. 일부 구체예들에서, 할라이드 전달제 및 임의의 pi 산 및/또는 루이스 염기에 대한 기판 표면의 노출은 동시에 또는 실질적으로 동시에 일어난다. 본원에서 사용되는 "실질적으로 동시에"는 기판 표면이 시약에 대한 노출과 동시에 일어나는 다수의 할라이드 전달제 노출 기간에 할라이드 전달제에 노출되는 것을 의미하지만, 두 개가 중첩하지 않는 일부 시간이 존재할 수 있다. 또한, 임의의 특정 이론으로 제한하고자 하는 것은 아니지만, 할라이드 전달제에 대한 기판 표면의 노출이 할라이드 표면 종결화들을 갖는 기판 표면을 초래하여, 이를 본원에 기술된 pi 산들 및/또는 루이스 염기들 중 하나 이상에 더욱 반응적이게 만드는 것으로 사료된다. 일부 구체예들에서, 할라이드 전달제는 디할라이드를 포함한다. 추가 구체예들에서, 디할라이드는 I2, Br2, Cl2를 포함한다. 다른 구체예들에서, 할라이드 전달제는 트리알킬실릴 할라이드 또는 알킬 할라이드를 포함하며, 트리알킬실릴 할라이드 또는 알킬 할라이드 중 어느 하나의 알킬 기(들)은 C1-C6 알킬 기이다. 적합한 알킬 할라이드의 예들은 에틸요오다이드 및 디요오도에탄을 포함한다.
일부 구체예들에서, 기판 표면의 활성화는 기판 표면을 산화 환경에 노출시키는 것을 포함한다. 하나 이상의 구체예들에서, 할라이드 전달제 및 임의의 pi 산 및/또는 루이스 염기에 대한 기판 표면의 노출은 연속적으로 또는 실질적으로 연속적으로 일어난다. 본원에서 사용되는 구 "산화 환경 및 시약에 대한 기판 표면의 노출은 실질적으로 연속적으로 일어난다"는 기판 표면이 시약에 대한 노출과 동시에 일어나지 않는 다수의 산화 환경 노출 기간에 산화 환경에 노출되는 것을 의미하지만, 일부 중첩이 존재할 수 있다. 하나 이상의 구체예들에서, 산화 환경에 대한 노출은 기판 표면을 O2, O3, N2O, NO, Br2, F2, I2 또는 Cl2에 노출시키는 것을 포함한다.
일부 구체예들에서, 기판을 산화 환경에 노출시킨 후에 사용되는 시약 가스는 CO, PR1 3, N2O, NO, NH3, NR2 3 중 하나 이상을 포함하며, 여기서 각 R1은 C1-C6 알킬 기이며, 각 R2는 C1-C6 분지되거나 비분지된, 치환되거나 비치환된, 알킬, 알릴 또는 환형 탄화수소 또는 헤테로원자 기이다. 다른 구체예들에서, 시약 가스는 킬레이트화 아민, 예를 들어 N,N,N'N'-테트라메틸에틸렌 디아민 및 N,N'-디메틸에틸렌디아민을 포함한다. 다른 구체예들에서, 기판을 산화 표면에 노출시킨 후에 사용되는 시약 가스는 알루미늄-함유 전구체, 예를 들어 클로로알킬알루미늄들, 알루미늄 트리할라이드들, 아루미늄 할라이드 하이드라이드들, 알킬 알루미늄 하이드라이드를 포함한다.
임의의 상기 활성화 공정들 및/또는 시약들이 조합될 수 있다는 것이 주지되어야 한다. 즉, 하나 초과의 활성화 공정이 사용될 수 있거나, 하나 초과의 시약이 제공된 에치 순서 동안에 사용될 수 있다. 또한, 요망되는 양의 전이 금속이 에칭될 때까지 본 공정이 반복될 수 있는 것으로 이해되어야 한다.
선택적 에치 공정(Selective Etch Process)
본원에 기술된 공정들 중 하나 이상은 선택적 에칭을 위해 사용될 수 있다. 이에 따라, 본 발명의 다른 양태는 다층 기판을 에칭시키는 방법에 관한 것이다. 본 방법은 Co 층, Cu 층 및 Ni 층 중 둘 이상을 포함하는 다층 기판을 제공하고; Co 층, Cu 층, 또는 Ni 층의 표면을 활성화시키되, 기판 표면의 활성화가 기판 표면을 열, 플라즈마, 또는 할라이드 전달제에 노출시켜 활성화된 기판 표면을 제공하는 것을 포함하고; 킬레이트화 아민이 단지 제1 온도에서 Co, Cu 또는 Ni 중 하나와 휘발성 금속 배위 착물을 형성하도록 제1 온도에서 활성화된 기판 표면을 킬레이트화 아민에 노출시키는 것을 포함한다. 상기에서 논의되는 바와 같이, 하나 이상의 구체예들에서, 다층 기판은 산소, 붕소, 탄소, 황, 질소 및 이들의 조합물들로 이루어진 군으로부터 선택된 다른 성분을 포함할 수 있다.
일반적으로, 이러한 공정은 한번에 Co, Cu 또는 Ni 중 하나를 에칭시키기 위해 사용될 수 있다. 즉, 하나 이상의 구체예들에서, Co는 Cu 또는 Ni 층들을 방해하지 않으면서 제거될 수 있으며, Cu는 Co 또는 Ni 층들을 방해하지 않으면서 제거될 수 있으며, Ni는 Co 또는 Cu 층들을 방해하지 않으면서 제거될 수 있다. 이러한 층들 중 하나가 제거된 직후에, 온도는 상이한 금속을 제거하기 위해 변경될 수 있다. 이에 따라, 일부 구체예들에서, 본 방법은 킬레이트화 아민이 단지 제2 온도에서 Co, Cu 또는 Ni 중 하나와 휘발성 금속 배위 착물을 형성하도록 활성화된 기판 표면을 제2 온도에서 킬레이트화 아민에 노출시키는 것을 추가로 포함한다.
일부 구체예들에서, 킬레이트화 아민은 하기 화학식으로 표현되는 구조를 갖는다:
Figure 112018038527491-pat00005
상기 식에서, 각 Ra는 독립적으로 H 또는 C1-C4 알킬이며, 단, Ra 기 모두는 수소는 아니다. 하나 이상의 구체예들에서, 킬레이트화 아민은 N,N,N',N'-테트라메틸에틸렌 디아민 및 N,N'-디메틸에틸렌디아민으로 이루어진 군으로부터 선택된다.
임의의 특정 이론으로 한정하고자 하는 것은 아니지만, Ni, Co 및 Cu가 상이한 온도들에서 상이한 휘발성들을 갖는 상기 킬레이트화 아민과 배위 금속 착물들을 형성하는 것으로 사료된다. 이에 따라, 온도는 다른 두 개의 금속들이 방해되지 않게 잔류시키면서, 한번에 하나의 금속을 착화시키기 위한 파라미터로서 조절될 수 있다. 특정 온도들은 선택된 특정 금속 및 킬레이트화 아민들에 의존적일 것이다.
예시적인 공정들
여러 공정들은 하기에 그리고 도면들에 예시될 것이다. 도시된 구조들이 에치 공정 동안에 일어날 것으로 사료되는 화학적 메카니즘들의 예시인 것으로 이해될 것이다. 그러나, 이러한 것은 제한적인 것으로 의도되지 않으며, 다른 화학적 구조물들이 일어날 수 있다.
도 1은 본 발명의 하나 이상의 구체예들에 따른 예시적 공정을 예시한 것이다. 상세하게, 할라이드 활성화 및 루이스 염기를 이용한 열적 에칭 공정이 도시된다. 먼저, 코발트 기판 표면이 제공된다. 기판 표면은 할라이드 전달제에 노출된다. 할라이드 전달제는 디할라이드 또는 알킬 할라이드, 예를 들어 에틸 할라이드일 수 있다. 예시적인 공정은 적어도 약 200℃의 기판 온도에서 Br2를 사용할 수 있다.
기판 표면이 할라이드 전달제에 노출된 직후에, 표면은 활성화된 기판 표면을 제공하기 위해 할라이드 종결화/표면 작용성으로 개질된다. 다음에, 활성화된 기판 표면은 루이스 염기 및/또는 pi 산에 노출된다. 도 1은 N,N,N',N'-테트라메틸에틸렌디아민 (TMEDA) 또는 3차 아민 또는 CO 시약과 함께 도시된 것이다. 활성화된 기판 표면이 시약에 노출된 직후에, 시약은 기판 표면으로부터의 금속 원자를 착화시킨다. 도 1의 공정에 도시된 바와 같이, TMEDA가 시약으로서 사용되는 경우에, 이는 코발트 원자를 착화시켜, N,N,N',N'-테트라메틸에틸렌디아민 및 두 개의 할라이드 리간드들에 배위된 코발트와 금속 배위 착물을 야기시킬 수 있다. 형성된 코발트 착물은 이후에 기판 표면으로부터 퍼징되어, 본래 기판 표면으로부터 적어도 하나의 코발트 원자를 제거할 수 있다.
도 2는 대신에 각각 TMEDA 또는 3차 아민의 양성자화된 버젼들인 N,N'-디메틸에틸렌디아민 및 2차 아민을 사용하는 것을 제외하고 도 1과 유사한 공정을 예시한 것이다. 코발트 기판 표면이 다시 제공되며, 이는 활성화된 표면을 제공하기 위해 할라이드 전달제에 노출된다. 활성화된 기판 표면은 N,N'-디메틸에틸렌디아민 또는 2차 아민 시약에 노출된다. 코발트 할라이드는 복분해 반응에서 코발트 아미드들을 형성시키기 위해 반응성 조작으로서 작용할 수 있다. 이러한 시약과 함께, 착화된 코발트가 두 개의 N,N'-디메틸에틸렌디아민 또는 2차 아민 리간드들을 함유할 것으로 사료되며, 이들 중 적어도 하나는 중심 금속 원자와 공유 결합을 형성할 것이다. 다른 리간드는 배위 결합(dative bond)을 형성하는 것으로서 나타난다. 도 2에서의 공정은 수소 할라이드 부산물을 갖는 것으로 나타난다. 예를 들어, Br2가 사용되는 경우에, HBr이 부산물로서 형성될 것이다. 에치가 보다 낮은 온도에서 수행될 수 있도록, 수소 할라이드 부산물이 증기압을 증가시키는데 도움을 주는 것으로 사료된다.
도 3은 플라즈마 활성화를 기반으로 한 에치 공정을 예시한 것이다. 코발트 표면이 다시 제공된다. 기판 표면은 플라즈마(H2로서 도시됨)에 노출되고, 동시에 루이스 염기(CO 또는 TMEDA 중 어느 하나로서 도시됨)에 노출된다. 활성화된 기판 표면이 시약에 노출된 직후에, 시약은 기판 표면으로부터의 금속 원자를 착화시킨다. 도 3의 공정에 도시된 바와 같이, CO가 시약으로서 사용되는 경우에, 이는 코발트 원자를 착화시켜 네 개의 CO 리간드들에 배위된 코발트와 금속 배위 착물을 야기시킬 수 있다. 형성된 코발트 착물은 이후에 기판 표면으로부터 퍼징되어 본래 기판 표면으로부터 적어도 하나의 코발트 원자를 제거할 수 있다.
도 4는 플라즈마 활성화를 기반으로 한 다른 에치 공정을 예시한 것이다. 이러한 공정에서, 코발트 기판 표면은 N2O 플라즈마에 노출된다. 플라즈마는 -NO 작용성을 생성시킴으로써 표면을 활성화시킨다. 시약, CO가 이후에 사용되어 코발트를 착화시키며, 이는 화학식 Cox(CO)y(NO)z를 갖는 금속 배위 착물을 형성시킬 것으로 사료된다. 하나 이상의 구체예들에서, 착물은 테트라카보닐코발트 니트로실로서 또한 공지된, 세 개의 CO 리간드들 및 하나의 NO 리간드에 배위된 코발트 원자를 포함할 수 있다. 테트라카보닐코발트 니트로실은 심지어 실온에서도 상당히 휘발성인 것으로 알려져 있으며, 이는 매우 낮은 온도들에서 에칭을 가능하게 할 것이다.
일부 공정들에서, 플라즈마의 사용은 종들을, 표면 반응들이 선호되고 가능한 여기된 상태로 촉진시키기 위해 충분한 에너지를 제공한다. 본 공정에 플라즈마를 도입하는 것은 연속적이거나 펄스화될 수 있다. 일부 구체예들에서, 전구체들(또는 반응성 가스들) 및 플라즈마의 연속적인 펄스들은 층을 가공하기 위해 사용된다. 일부 구체예들에서, 시약들은 국소적으로(즉, 가공 구역 내에서) 또는 원거리(즉, 가공 구역의 외측에)에서 이온화될 수 있다. 일부 구체예들에서, 이온들 또는 에너지 또는 광 방출 종들이 증착하는 막과 직접 접촉하지 않도록 원거리 이온화는 증착 챔버의 업스트림에서 일어날 수 있다. 일부 PEALD 공정들에서, 플라즈마는 가공 챔버로부터 외부에, 예를 들어 원격 플라즈마 발생기 시스템에 의해 발생된다. 플라즈마는 임의의 적합한 플라즈마 발생 공정 또는 당업자에게 공지된 기술을 통해 발생될 수 있다. 예를 들어, 플라즈마는 마이크로파(MW) 주파수 발생기 또는 무선 주파수(RF) 발생기 중 하나 이상에 의해 발생될 수 있다. 플라즈마의 주파수는 사용되는 특정 반응 종들에 따르 조정될 수 있다. 적합한 주파수들은 2 MHz, 13.56 MHz, 40 MHz, 60 MHz 및 100 MHz를 포함하지만, 이로 제한되지 않는다. 플라즈마들이 본원에 기술된 공정들 중 일부 동안 사용될 수 있지만, 플라즈마들이 요구되지 않을 수 있다는 것이 주지되어야 한다.
본원에 기술된 공정들 중 하나 이상은 퍼지를 포함한다. 퍼징 공정은 시약들을 별도로 유지시킨다. 기판 및 챔버는 시약들 중 하나 이상의 흐름을 중지시킨 후에 퍼지 단계에 노출될 수 있다. 퍼지 가스는 약 10 sccm 내지 약 10,000 sccm, 예를 들어 약 50 sccm 내지 약 5,000 sccm의 범위 내, 및 특정 예에서, 약 1000 sccm의 유량으로 가공 챔버에 투여될 수 있다. 퍼지 단계는 임의의 가공 챔버 내에서 과도한 전구체, 부산물들 및 다른 오염물질들을 제거한다. 퍼지 단계는 약 0.1초 내지 약 60초, 예를 들어 약 1초 내지 약 10초 범위 내, 및 특정 예에서, 약 5초의 시간 동안 수행될 수 있다. 운반 가스, 퍼지 가스, 증착 가스, 또는 다른 공정 가스는 질소, 수소, 아르곤, 네온, 헬륨, 또는 이들의 조합물을 함유할 수 있다. 일 예에서, 운반 가스는 아르곤 및 질소를 포함한다.
하나 이상의 구체예들에 따르면, 기판은 에치를 형성하기 전 및/또는 후에 가공된다. 이러한 가공은 동일함 챔버에서 또는 하나 이상의 별도의 가공 챔버들에서 수행될 수 있다. 일부 구체예들에서, 기판은 제1 챔버에서 추가 가공을 위한 별도의 제2 챔버로 이동된다. 기판은 제1 챔버에서 별도의 가공 챔버로 직접적으로 이동될 수 있거나, 이는 제1 챔버에서 하나 이상의 이동 챔버들로 이동되고, 이후에 요망되는 별도의 가공 챔버로 이동될 수 있다. 이에 따라, 가공 장비는 이동 스테이션와 소통하는 다수의 챔버들을 포함할 수 있다. 이러한 부류의 장비는 "클러스터 툴(cluster tool)" 또는 "클러스터 시스템(cluster system)" 등으로서 지칭될 수 있다.
일반적으로, 클러스터 툴은 기판 중심-확인 및 지향, 탈기, 어닐링, 증착 및/또는 에칭을 포함하는 다양한 기능들을 수행하는 다수의 챔버들을 포함하는 모듈형 시스템이다. 하나 이상의 구체예들에 따르면, 클러스터 툴은 적어도 제1 챔버 및 중앙 이송 챔버를 포함한다. 중앙 이송 챔버는 가공 챔버들과 로드 로크 챔버(load lock chamger)들 사이 및 사이들에서 기판들을 왕복시킬 수 있는 로보트(robot)를 하우징(house)할 수 있다. 이송 챔버는 통상적으로 진공 조건에서 유지되고, 기판들을 하나의 챔버에서 다른 챔버로 및/또는 클러스터 툴의 전면 단부에 정위된 로드 로크 챔버로 왕복시키기 위한 중간 스테이지(intermediate stage)를 제공한다. 본 발명을 위해 구성될 수 있는 두 개의 널리-공지된 클러스터 툴들은 Centura® 및 Endura®로서, 둘 모두는 Applied Materials, Inc.(Santa Clara, Calif.)로부터 입수 가능하다. 하나의 이러한 단계별-진공 기판 가공 기구의 세부사항은 미국특허번호 제5,186,718호[발명의 명칭: "Staged-Vacuum Wafer Processing Apparatus and Method," Tepman et al., 1993, 2월 16일에 발행됨]에 기술되어 있다. 그러나, 챔버들의 정확한 배열 및 조합은 본원에 기술된 바와 같이 공정의 특정 단계들을 수행할 목적들을 위해 변경될 수 있다. 사용될 수 있는 다른 가공 챔버들은 순환 층 증착 (CLD), 원자층 증착 (ALD), 화학적 증기 증착 (CVD), 물리적 증기 증착 (PVD), 에치(etch), 사전-세정(pre-clean), 화학적 세정(chemical clean), 열처리, 예를 들어 RTP, 플라즈마 니트라이드화(plasma nitridation), 탈기, 배향(orientation), 하이드록실화, 및 다른 기판 공정들을 포함하지만, 이로 제한되지 않는다. 챔버의 클러스터 툴 상에서 공정들을 수행함으로써, 대기 불순물들로의 기판의 표면 오염은 후속 막을 증착시키기 전, 산화 없이 방지될 수 있다.
하나 이상의 구체예들에 따르면, 기판은 진공 또는 "로드 로크(load lock)" 조건들 하에서 연속적으로 존재하고, 하나의 챔버에서 다음 챔버로 이동될 때에 주변 공기에 노출되지 않는다. 이송 챔버들은 이에 따라 진공 하에 있고, 진공 압력 하에서 "펌프 다운(pump down)"된다. 불활성 가스들은 가공 챔버들 또는 이송 챔버들에서 존재할 수 있다. 일부 구체예들에서, 불활성 가스는 기판의 표면 상에 층을 형성시킨 후에 반응물들 중 일부 또는 모두를 제거하기 위해 퍼지 가스(purge gas)로서 사용된다. 하나 이상의 구체예들에 따르면, 퍼지 가스는 반응물들이 증착 챔버에서 이송 챔버 및/또는 추가 가공 챔버로 이동하는 것을 방지하기 위해 증착 챔버의 배출구에 주입된다. 이에 따라, 불활성 가스의 흐름은 챔버의 배출구에서 커튼(curtain)을 형성한다.
기판은 단일 기판 증착 챔버들에서 가공될 수 있으며, 여기서 단일 기판은 다른 기판이 가공되기 전에 로딩되고, 가공되고, 언로딩(unload)된다. 기판은 또한, 컨베이어 시스템(conveyer system)과 같이, 연속적인 방식으로 가공될 수 있으며, 여기서 다수의 기판은 챔버의 제1 부분으로 개별적으로 로딩되고, 챔버를 통해 이동하고, 챔버의 제2 부분으로부터 언로딩된다. 챔버 및 관련된 컨베이어 시스템의 형상은 직선 통로 또는 곡선 통로를 형성할 수 있다. 추가적으로, 가공 챔버는 카로우젤(carousel)일 수 있는데, 여기서 다수의 기판들은 중심축 둘레로 이동하고, 카로우젤 통로 전반에 걸쳐 증착, 에치, 어닐링, 세정, 등의 공정들에 노출된다.
가공 동안에, 기판은 가열되거나 냉각될 수 있다. 이러한 가열 또는 냉각은 기판 지지체의 온도를 변경시키고 가열되거나 냉각된 가스들을 기판 표면으로 흘려 보내는 것을 포함하지만 이로 제한되지 않는 임의의 적합한 수단에 의해 달성될 수 있다. 일부 구체예들에서, 기판 지지체는 기판 온도를 전도적으로 변화시키도록 제어될 수 있는 가열기/냉각기를 포함한다. 하나 이상의 구체예들에서, 사용되는 가스들(반응성 가스들 또는 불활성 가스들 중 어느 하나)은 기판 온도를 부분적으로(locally) 변경시키기 위해 가열되거나 냉각된다. 일부 구체예들에서, 가열기/냉각기는 기판 온도를 대류적으로 변경시키기 위해 기판 표면에 인접한 챔버 내에 정위된다.
기판은 또한 가공 동안에 정지되거나 회전될 수 있다. 회전 기판은 연속적으로 또는 별도의 단계들에서 회전될 수 있다. 예를 들어, 기판은 전체 공정 전반에 걸쳐 회전될 수 있거나, 기판은 상이한 반응성 또는 퍼지 가스들에 대한 노출 사이에 조금씩 회전될 수 있다. 가공 동안 기판을 회전시키는 것은(연속적으로 또는 단계별로) 예를 들어 가스 흐름 기하학적 구조에서 부분 변동성(local variability)의 효과를 최소화함으로써 더욱 균일한 증착 또는 에치를 형성시키는데 도움을 줄 수 있다.
원자층 증착-타입 챔버들에서, 기판은 시약들 및/또는 다른 화합물들에 공간적으로 또는 일시적으로 분리된 공정들 중 어느 하나로 노출될 수 있다. 일시적 ALD (또는 에치)는 표면과 반응시키기 위해 제1 전구체가 챔버로 유동하는 전통적인 공정이다. 제1 전구체는 제2 전구체를 유동시키기 전에 챔버로부터 퍼징된다. 공간적 ALD (또는 에치)에서, 제1 전구체 및 제2 전구체 둘 모두는 챔버로 동시에 유동되지만, 전구체들의 혼합을 방지하는 흐름들 사이의 영역이 존재하도록 공각적으로 분리된다. 공간적 ALD에서, 기판은 가스 분배 플레이트에 대해 이동되거나 그 반대로 이동되어야 한다.
본 명세서 전반에 걸쳐서 "일 구체예," "특정 구체예들," "하나 이상의 구체예들" 또는 "구체예"에 대한 언급은 구체예와 관련하여 기술된 특별한 특성, 구조, 물질, 또는 특징이 본 발명의 적어도 하나의 구체예에 포함됨을 의미한다. 이에 따라, 본 명세서 전반에 걸쳐 다양한 곳에서 "하나 이상의 구체예들에서," "특정 구체예들에서," "일 구체예에서" 또는 "구체예에서"와 같은 구들의 기술(appearance)은 반드시 본 발명의 동일한 구체예를 지칭하는 것은 아니다. 또한, 특별한 특성들, 구조들, 물질들, 또는 특징들은 하나 이상의 구체예들에서 임의의 적합한 방식으로 결합될 수 있다.
본원에서 본 발명이 특정 구체예들을 참조로 하여 기술되었지만, 이러한 구체예들이 단지 본 발명의 원리들 및 적용들을 예시하는 것으로 이해된다. 당업자에게, 다양한 개질예들 및 변형예들이 본 발명의 사상 및 범위를 벗어나지 않으면서 본 발명의 방법 및 기구로 이루어질 수 있다는 것은 자명할 것이다. 이에 따라, 본 발명이 첨부된 청구범위 및 이들의 균등물들 내에 있는 개질예 및 변형예들을 포함하는 것으로 의도된다.
실시예
실시예 1 - 200 내지 300℃에서의 코발트 에치
플라즈마 증기 증착(PVD)를 통해 증착되고 130 옹스트롱의 두께를 갖는 공기-노출된 코발트 쿠폰(coupon)을 제공하였다. 코발트 쿠폰을 실리콘 기판 위에 증착시켰다. 코발트 쿠폰을 200 내지 300℃의 온도에서 0.1초의 Br2 펄스에 노출시키고 이후에 4초 퍼지에 노출시켰다. 이후에, 기판 표면을 200 내지 300℃의 온도에서 N,N,N',N'-테트라메틸에틸렌디아민 (TMEDA)의 1초 퍼지 이후, 다른 4초 퍼지에 노출시켰다. 본 공정의 가능한 화학적 메카니즘은 도 5에 도시되어 있다.
Br2/TMEDA의 20 사이클들 후에, 모든 코발트를 쿠폰으로부터 제거하였지만, X-선 형광 분광법으로 측정한 경우, SiO2는 방해되지 않았다. 도 6은 사이클들에 따른 옹스트롱 단위의 에칭된 코발트의 그래프를 도시한 것이다. 도면에 도시된 바와 같이, 약 7 옹스트롱의 코발트의 에칭율이 사이클 당 제거되었으며, 2-사이클 인큐베이션(incubation) 하였다. Br2 또는 TMEDA 펄스들 중 어느 하나가 부재한 경우에 어떠한 에치도 관찰되지 않았는데, 이는 시약 자체가 에칭하지 못함을 입증하는 것이다. 본 실시예는 코발트가 하부 SiO2 기판에 영향을 미치지 않으면서 완전히 제거되었는바, 에치 공정의 효율성을 나타낸다. 본 공정은 또한, 양호한 에치 조절을 나타내었으며, 약 7 옹스트롱의 코발트가 사이클들 당 신뢰성 있게 제거되었다.
실시예 2 - 온도에 따른 코발트 에치
Br2 및 TMEDA에 노출 동안 기판의 온도가 150℃인 것을 제외하고, 실시예 1의 각 공정을 반복하였다. 본 공정의 가능한 화학적 메카니즘은 도 7에 도시되어 있다. 표면의 조면화(roughening)가 관찰되었지만, Co 카운트(count)들의 측면에서 매우 적은 변화이다. 반응이 일어나지만, 얻어진 Co 착물이 150℃에서 휘발성이지 않은 것으로 사료된다. 이는, 착물이 기판 표면으로부터 퍼징될 수 있기에 충분히 휘발성임을 확보하기 위해 형성된 특정 착물들에 대해 온도가 선택적이어야 함을 나타낸다.
동일한 공정을 이용한 10 사이클들의 에치를 다른 온도들에서 측정하였으며, 이의 결과는 도 8에 도시되어 있다. 그래프에 나타낸 바와 같이, 10 사이클들 후에 에칭된 코발트의 양은 온도가 200℃에 도달한 후에 거의 일정하게 남아있었다. 이는, 에칭율이 거의 일정하고 얻어진 코발트 착물이 휘발성이도록 온도가 충분히 높아진 직후에 온도에 독립적임을 나타낸다.
실시예 3 - 퍼지 시간에 따른 코발트 에치
Br2 및 TMEDA에 노출 동안 기판 온도가 200℃이고, 퍼지 시간을 4초 내지 2분 내에서 변화시키는 것을 제외하고, 실시예 1의 에치 공정을 2회 반복하였다. 도 9는 옹스트롱 단위의 에칭된 코발트 대 퍼지 시간이 2분인 공정 및 퍼지 시간이 4초인 공정에 대한 사이클들의 수의 그래프를 도시한 것이다. 에칭된 코발트의 양은 두 공정들에 대해 거의 동일하였다. 이는, 사이클들 사이의 퍼지 시간의 길이가 공정의 에칭율에 영향을 미치는 것으로 나타내지 않음을 입증한다.
실시예 4 - 구리 에치 선택성
PVD를 통해 증착되고 100 내지 400 옹스트롱의 두께를 갖는 구리 쿠폰을 제공하였다. 구리 코폰은 실리콘 기판 위에 놓여진 탄탈 층 위에 가로로 놓여져 있다. 코발트 쿠폰을 Br2에 노출시키고, 이후 300℃의 온도에서 TMEDA로 처리하였다. 이러한 공정의 가능한 화학적 메카니즘은 도 10에 도시되어 있다. 10 사이클들 후에, 모든 구리는 쿠폰으로부터 제거되었다. 구리를 제거한 후에, 반짝이는 은이 즉시 산화되고 공기에 대한 노출 시에 기판으로부터 박리되는 표면 상에서 관찰되었다. 이는, 구리가 완전히 제거되어 하부 탄탈 층을 완전히 그대도 남아 있었는데, 이는 본 공정이 탄탈에 비해 구리에 대해 선택적임을 의미한다.

Claims (18)

  1. 전이 금속을 포함하는 기판 표면을 활성화시키는 단계로서, 기판 표면의 활성화가 기판 표면을 할라이드 전달제에 노출시켜 활성화된 기판 표면을 제공하는 것을 포함하는 단계; 및
    활성화된 기판 표면을 루이스 염기 또는 pi 산을 포함하는 시약에 노출시켜 시약으로부터의 하나 이상의 리간드들에 배위된 전이 금속의 하나 이상의 원자들을 포함하는 증기상 배위 착물을 제공하는 단계를 포함하는, 기판을 에칭시키는 방법으로서,
    상기 루이스 염기 또는 pi 산이 1,2-비스(디플루오로포스피노)에탄, 또는 하기 구조를 갖는 화합물 중 하나 이상을 포함하는, 기판을 에칭시키는 방법:
    Figure 112020009459733-pat00018

    상기 식에서, 각각의 Rb는 독립적으로 수소 또는 C1-C4 알킬이다.
  2. 제 1항에 있어서, 루이스 염기 또는 pi 산이 하기에 의해 표현되는 구조를 갖는 화합물을 포함하는, 기판을 에칭시키는 방법:
    Figure 112020009459733-pat00019

    상기 식에서, 각각의 Rb는 독립적으로 수소 또는 C1-C4 알킬 기이며, 단 모든 Rb 기가 수소는 아니다.
  3. 제 1항에 있어서, 할라이드 전달제 및 시약에 대한 기판 표면의 노출이 연속적으로 일어나는, 기판을 에칭시키는 방법.
  4. 제 1항에 있어서, 플라즈마가 N2O를 포함하고, N2O에 대한 기판 표면의 노출이 -NO 표면 종결화(surface termination)를 초래하는, 기판을 에칭시키는 방법.
  5. 제 1항에 있어서, 전이 금속이 Co, Cu, Ru, Ni, Fe, Pt, Mn 및 Pd로 이루어진 군으로부터 선택된 원소를 포함하는, 기판을 에칭시키는 방법.
  6. 제 1항에 있어서, 기판 표면이 90 내지 100%의 전이 금속 및 0 초과 내지 10%의 탄소를 포함하는, 기판을 에칭시키는 방법.
  7. 제 1항에 있어서, 기판 표면이 증착 챔버 벽 또는 샤워헤드 위에 가로놓이는, 기판을 에칭시키는 방법.
  8. 전이 금속을 포함하는 기판 표면을 활성화시키는 단계로서, 기판 표면의 활성화가 기판 표면을 열, 플라즈마, 또는 산화 환경에 노출시켜 활성화된 기판 표면을 제공하는 것을 포함하는 단계; 및
    활성화된 기판 표면을 루이스 염기 또는 pi 산을 포함하는 시약에 노출시켜 시약으로부터의 하나 이상의 리간드들에 배위된 전이 금속의 하나 이상의 원자들을 포함하는 증기상 배위 착물을 제공하는 단계를 포함하는, 기판을 에칭시키는 방법으로서,
    상기 루이스 염기 또는 pi 산이 1,2-비스(디플루오로포스피노)에탄, 또는 하기 구조를 갖는 화합물 중 하나 이상을 포함하는, 기판을 에칭시키는 방법:
    Figure 112020009459733-pat00020

    상기 식에서, 각각의 Rb는 독립적으로 수소 또는 C1-C4 알킬이다.
  9. 제 8항에 있어서, 루이스 염기 또는 pi 산이 하기에 의해 표현되는 구조를 갖는 화합물을 포함하는, 기판을 에칭시키는 방법:
    Figure 112020009459733-pat00021

    상기 식에서, 각각의 Rb는 독립적으로 수소 또는 C1-C4 알킬 기이며, 단 모든 Rb 기가 수소는 아니다.
  10. 제 8항에 있어서, 기판 표면의 활성화가 기판 표면을 열에 노출시키는 것을 포함하는, 기판을 에칭시키는 방법.
  11. 제 8항에 있어서, 열 및 시약에 대한 기판 표면의 노출이 연속적으로 일어나는, 기판을 에칭시키는 방법.
  12. 제 8항에 있어서, 기판 표면의 활성화가 기판 표면을 플라즈마에 노출시키는 것을 포함하는, 기판을 에칭시키는 방법.
  13. 제 12항에 있어서, 플라즈마가 H2, NO, N2O, NF3, Cl2, Ar 또는 N2를 포함하는, 기판을 에칭시키는 방법.
  14. 제 12항에 있어서, 플라즈마가 N2O를 포함하며, N2O에 대한 기판 표면의 노출이 -NO 표면 종결화를 초래하는, 기판을 에칭시키는 방법.
  15. 제 8항에 있어서, 산화 환경에 대한 기판 표면의 노출이 기판 표면을 O2, O3, N2O, NO, Br2, F2, I2 또는 Cl2에 노출시키는 것을 포함하는, 기판을 에칭시키는 방법.
  16. 제 8항에 있어서, 전이 금속이 Co, Ru, Ni, Fe, Pt, Mn 및 Pd로 이루어진 군으로부터 선택된 원소를 포함하는, 기판을 에칭시키는 방법.
  17. 제 8항에 있어서, 기판 표면이 90 내지 100%의 전이 금속 및 0 초과 내지 10%의 탄소를 포함하는, 기판을 에칭시키는 방법.
  18. 제 8항에 있어서, 기판 표면이 증착 챔버 벽 또는 샤워헤드 위에 가로놓이는, 기판을 에칭시키는 방법.
KR1020187010974A 2013-03-13 2014-03-13 전이 금속들을 포함하는 막들을 에칭시키는 방법 KR102156317B1 (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201361779583P 2013-03-13 2013-03-13
US61/779,583 2013-03-13
US201361892186P 2013-10-17 2013-10-17
US61/892,186 2013-10-17
US14/206,474 2014-03-12
US14/206,474 US9390940B2 (en) 2013-03-13 2014-03-12 Methods of etching films comprising transition metals
PCT/US2014/025406 WO2014159888A1 (en) 2013-03-13 2014-03-13 Methods of etching films comprising transition metals

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020177021581A Division KR101851764B1 (ko) 2013-03-13 2014-03-13 전이 금속들을 포함하는 막들을 에칭시키는 방법

Publications (2)

Publication Number Publication Date
KR20180042466A KR20180042466A (ko) 2018-04-25
KR102156317B1 true KR102156317B1 (ko) 2020-09-15

Family

ID=51528996

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020187010974A KR102156317B1 (ko) 2013-03-13 2014-03-13 전이 금속들을 포함하는 막들을 에칭시키는 방법
KR1020157028656A KR101766190B1 (ko) 2013-03-13 2014-03-13 전이 금속들을 포함하는 막들을 에칭시키는 방법
KR1020177021581A KR101851764B1 (ko) 2013-03-13 2014-03-13 전이 금속들을 포함하는 막들을 에칭시키는 방법

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020157028656A KR101766190B1 (ko) 2013-03-13 2014-03-13 전이 금속들을 포함하는 막들을 에칭시키는 방법
KR1020177021581A KR101851764B1 (ko) 2013-03-13 2014-03-13 전이 금속들을 포함하는 막들을 에칭시키는 방법

Country Status (4)

Country Link
US (3) US9390940B2 (ko)
KR (3) KR102156317B1 (ko)
TW (2) TWI625424B (ko)
WO (1) WO2014159888A1 (ko)

Families Citing this family (156)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
TWI625424B (zh) * 2013-03-13 2018-06-01 應用材料股份有限公司 蝕刻包含過渡金屬的膜之方法
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
TW201525173A (zh) * 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) * 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9773683B2 (en) * 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
JP2018500767A (ja) 2014-12-18 2018-01-11 ザ リージェンツ オブ ザ ユニバーシティ オブ コロラド,ア ボディー コーポレイトTHE REGENTS OF THE UNIVERSITY OF COLORADO,a body corporate 逐次的な自己制御熱反応を使用する原子層エッチング(ale)の新規の方法
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9611552B2 (en) * 2015-03-13 2017-04-04 Varian Semiconductor Equipment Associates, Inc. System and method for controllable non-volatile metal removal
WO2016204757A1 (en) * 2015-06-17 2016-12-22 Intel Corporation Transition metal dry etch by atomic layer removal of oxide layers for device fabrication
US9659791B2 (en) * 2015-07-16 2017-05-23 Applied Materials, Inc. Metal removal with reduced surface roughness
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
KR102496037B1 (ko) 2016-01-20 2023-02-06 삼성전자주식회사 플라즈마 식각 방법 및 장치
US10229837B2 (en) * 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
TWI658512B (zh) * 2016-02-23 2019-05-01 東京威力科創股份有限公司 原子層蝕刻用方法與系統
US10256108B2 (en) 2016-03-01 2019-04-09 Lam Research Corporation Atomic layer etching of AL2O3 using a combination of plasma and vapor treatments
US10982336B2 (en) * 2016-04-01 2021-04-20 Wayne State University Method for etching a metal surface
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
WO2017213842A2 (en) 2016-05-23 2017-12-14 The Regents Of The University Of Colorado, A Body Corporate Enhancement of thermal atomic layer etching
WO2017205658A1 (en) * 2016-05-25 2017-11-30 The Regents Of The University Of Colorado, A Body Corporate Atomic layer etching on microdevices and nanodevices
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
WO2018006524A1 (zh) * 2016-07-05 2018-01-11 中南大学 一种螯合树脂及其制备方法和螯合树脂在含铜的镍、钴溶液深度除铜的应用
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10280519B2 (en) * 2016-12-09 2019-05-07 Asm Ip Holding B.V. Thermal atomic layer etching processes
US10283319B2 (en) * 2016-12-22 2019-05-07 Asm Ip Holding B.V. Atomic layer etching processes
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10208383B2 (en) 2017-02-09 2019-02-19 The Regents Of The University Of Colorado, A Body Corporate Atomic layer etching processes using sequential, self-limiting thermal reactions comprising oxidation and fluorination
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
CN110494594A (zh) * 2017-04-13 2019-11-22 巴斯夫欧洲公司 蚀刻含金属或半金属的材料的方法
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
WO2019007871A1 (en) * 2017-07-05 2019-01-10 Basf Se METHOD FOR ETCHING MATERIALS CONTAINING METALS OR SEMI-METALS
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP2021019201A (ja) 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
US11574813B2 (en) * 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
US11373878B2 (en) * 2020-07-16 2022-06-28 Taiwan Semiconductor Manufacturing Company Ltd. Technique for semiconductor manufacturing
WO2022123725A1 (ja) * 2020-12-10 2022-06-16 株式会社日立ハイテク 半導体製造方法及び半導体製造装置
KR20220152755A (ko) 2021-05-10 2022-11-17 삼성전자주식회사 원자층 식각 방법 및 이를 이용한 반도체 장치 제조 방법

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5431774A (en) * 1993-11-30 1995-07-11 Texas Instruments Incorporated Copper etching
KR100259609B1 (ko) * 1996-06-13 2000-08-01 우성일 전이금속 박막의 식각방법
TW409152B (en) * 1996-06-13 2000-10-21 Samsung Electronic Etching gas composition for ferroelectric capacitor electrode film and method for etching a transition metal thin film
DE19631622A1 (de) 1996-08-05 1998-02-12 Siemens Ag Verfahren zum plasmaunterstützten anisotropen Ätzen von Metallen, Metalloxiden und deren Gemische
JPH1188373A (ja) 1997-09-12 1999-03-30 Nec Corp コネクション振り分けによる負荷分散方式
US6352081B1 (en) * 1999-07-09 2002-03-05 Applied Materials, Inc. Method of cleaning a semiconductor device processing chamber after a copper etch process
US6444512B1 (en) * 2000-06-12 2002-09-03 Motorola, Inc. Dual metal gate transistors for CMOS process
US6770214B2 (en) 2001-03-30 2004-08-03 Lam Research Corporation Method of reducing aluminum fluoride deposits in plasma etch reactor
US6350689B1 (en) * 2001-04-23 2002-02-26 Chartered Semiconductor Manufacturing Ltd. Method to remove copper contamination by using downstream oxygen and chelating agent plasma
US20030013314A1 (en) 2001-07-06 2003-01-16 Chentsau Ying Method of reducing particulates in a plasma etch chamber during a metal etch process
US6989175B2 (en) 2002-03-08 2006-01-24 Beckman Coulter, Inc. Acyl fluoride activation of carboxysilyl-coated glass substrates
US7553427B2 (en) * 2002-05-14 2009-06-30 Tokyo Electron Limited Plasma etching of Cu-containing layers
JP5248838B2 (ja) * 2007-10-25 2013-07-31 信越化学工業株式会社 半導体基板の製造方法
US20100120245A1 (en) 2008-11-07 2010-05-13 Agus Sofian Tjandra Plasma and thermal anneal treatment to improve oxidation resistance of metal-containing films
US20110117728A1 (en) 2009-08-27 2011-05-19 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
FR2950633B1 (fr) * 2009-09-30 2011-11-25 Alchimer Solution et procede d'activation de la surface oxydee d'un substrat semi-conducteur.
TWI625424B (zh) * 2013-03-13 2018-06-01 應用材料股份有限公司 蝕刻包含過渡金屬的膜之方法
US9540736B2 (en) * 2014-07-29 2017-01-10 Applied Materials, Inc. Methods of etching films with reduced surface roughness

Also Published As

Publication number Publication date
US20180040486A1 (en) 2018-02-08
US20160293449A1 (en) 2016-10-06
TWI625424B (zh) 2018-06-01
KR20170091792A (ko) 2017-08-09
TWI591211B (zh) 2017-07-11
US9799533B2 (en) 2017-10-24
US10297462B2 (en) 2019-05-21
KR20150128961A (ko) 2015-11-18
US20140273492A1 (en) 2014-09-18
US9390940B2 (en) 2016-07-12
KR101766190B1 (ko) 2017-08-08
TW201732086A (zh) 2017-09-16
KR20180042466A (ko) 2018-04-25
TW201500584A (zh) 2015-01-01
KR101851764B1 (ko) 2018-04-25
WO2014159888A1 (en) 2014-10-02

Similar Documents

Publication Publication Date Title
KR102156317B1 (ko) 전이 금속들을 포함하는 막들을 에칭시키는 방법
TWI630281B (zh) 沉積金屬合金膜之方法
US9716012B2 (en) Methods of selective layer deposition
US10233547B2 (en) Methods of etching films with reduced surface roughness
TWI579397B (zh) 製造含鎳薄膜的方法
US9177783B2 (en) Substituted silacyclopropane precursors and their use for the deposition of silicon-containing films
US9683287B2 (en) Deposition of films comprising aluminum alloys with high aluminum content
US9328415B2 (en) Methods for the deposition of manganese-containing films using diazabutadiene-based precursors
US8859045B2 (en) Method for producing nickel-containing films
TWI559381B (zh) 金屬合金薄膜的原子層沉積

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant