JP2002510878A - 低k誘電体をエッチングする方法 - Google Patents
低k誘電体をエッチングする方法Info
- Publication number
- JP2002510878A JP2002510878A JP2000542791A JP2000542791A JP2002510878A JP 2002510878 A JP2002510878 A JP 2002510878A JP 2000542791 A JP2000542791 A JP 2000542791A JP 2000542791 A JP2000542791 A JP 2000542791A JP 2002510878 A JP2002510878 A JP 2002510878A
- Authority
- JP
- Japan
- Prior art keywords
- oxygen
- low
- etching
- chlorine
- plasma
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 238000000034 method Methods 0.000 title claims abstract description 130
- 238000005530 etching Methods 0.000 title abstract description 87
- 239000000463 material Substances 0.000 claims abstract description 81
- 239000000460 chlorine Substances 0.000 claims abstract description 79
- 229910052760 oxygen Inorganic materials 0.000 claims abstract description 57
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims abstract description 51
- 239000001301 oxygen Substances 0.000 claims abstract description 51
- 239000007789 gas Substances 0.000 claims abstract description 50
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 claims abstract description 31
- 229910052801 chlorine Inorganic materials 0.000 claims abstract description 31
- 229910052736 halogen Inorganic materials 0.000 claims abstract description 22
- 150000002367 halogens Chemical class 0.000 claims abstract description 22
- 239000000654 additive Substances 0.000 claims abstract description 18
- 229910052731 fluorine Inorganic materials 0.000 claims abstract description 17
- 239000011737 fluorine Substances 0.000 claims abstract description 17
- 238000001020 plasma etching Methods 0.000 claims abstract description 13
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 claims abstract description 7
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 claims abstract 2
- 239000003989 dielectric material Substances 0.000 claims description 49
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 16
- 229910052710 silicon Inorganic materials 0.000 claims description 16
- 239000010703 silicon Substances 0.000 claims description 16
- 230000000996 additive effect Effects 0.000 claims description 12
- 239000001307 helium Substances 0.000 claims description 12
- 229910052734 helium Inorganic materials 0.000 claims description 12
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 12
- 229920000642 polymer Polymers 0.000 claims description 11
- RTZKZFJDLAIYFH-UHFFFAOYSA-N Diethyl ether Chemical compound CCOCC RTZKZFJDLAIYFH-UHFFFAOYSA-N 0.000 claims description 10
- -1 Polytetrafluoroethylene Polymers 0.000 claims description 9
- 229920000412 polyarylene Polymers 0.000 claims description 7
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 6
- 239000004642 Polyimide Substances 0.000 claims description 5
- 229920000052 poly(p-xylylene) Polymers 0.000 claims description 5
- 229920001721 polyimide Polymers 0.000 claims description 5
- 229920001343 polytetrafluoroethylene Polymers 0.000 claims description 5
- 239000004810 polytetrafluoroethylene Substances 0.000 claims description 5
- 229910002091 carbon monoxide Inorganic materials 0.000 claims description 4
- 150000004767 nitrides Chemical class 0.000 claims description 4
- 229910014265 BrCl Inorganic materials 0.000 claims description 3
- 229910052786 argon Inorganic materials 0.000 claims description 3
- CODNYICXDISAEA-UHFFFAOYSA-N bromine monochloride Chemical compound BrCl CODNYICXDISAEA-UHFFFAOYSA-N 0.000 claims description 3
- 229910052799 carbon Inorganic materials 0.000 claims description 3
- 229910052743 krypton Inorganic materials 0.000 claims description 3
- DNNSSWSSYDEUBZ-UHFFFAOYSA-N krypton atom Chemical compound [Kr] DNNSSWSSYDEUBZ-UHFFFAOYSA-N 0.000 claims description 3
- 229910052754 neon Inorganic materials 0.000 claims description 3
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 claims description 3
- 229910052724 xenon Inorganic materials 0.000 claims description 3
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 claims description 3
- GUHKMHMGKKRFDT-UHFFFAOYSA-N 1785-64-4 Chemical compound C1CC(=C(F)C=2F)C(F)=C(F)C=2CCC2=C(F)C(F)=C1C(F)=C2F GUHKMHMGKKRFDT-UHFFFAOYSA-N 0.000 claims description 2
- 239000004698 Polyethylene Substances 0.000 claims description 2
- 239000004721 Polyphenylene oxide Substances 0.000 claims description 2
- 239000004743 Polypropylene Substances 0.000 claims description 2
- 239000004793 Polystyrene Substances 0.000 claims description 2
- 229910003902 SiCl 4 Inorganic materials 0.000 claims description 2
- 229920002577 polybenzoxazole Polymers 0.000 claims description 2
- 229920000573 polyethylene Polymers 0.000 claims description 2
- 229920006380 polyphenylene oxide Polymers 0.000 claims description 2
- 229920001155 polypropylene Polymers 0.000 claims description 2
- 229920002223 polystyrene Polymers 0.000 claims description 2
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims 2
- 229910052757 nitrogen Inorganic materials 0.000 claims 2
- QVHWOZCZUNPZPW-UHFFFAOYSA-N 1,2,3,3,4,4-hexafluorocyclobutene Chemical compound FC1=C(F)C(F)(F)C1(F)F QVHWOZCZUNPZPW-UHFFFAOYSA-N 0.000 claims 1
- 150000002170 ethers Chemical class 0.000 claims 1
- 150000002916 oxazoles Chemical class 0.000 claims 1
- 229920000620 organic polymer Polymers 0.000 abstract description 3
- 239000000758 substrate Substances 0.000 description 67
- UMIVXZPTRXBADB-UHFFFAOYSA-N benzocyclobutene Chemical compound C1=CC=C2CCC2=C1 UMIVXZPTRXBADB-UHFFFAOYSA-N 0.000 description 64
- 230000008569 process Effects 0.000 description 63
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 51
- 239000010410 layer Substances 0.000 description 43
- 235000012239 silicon dioxide Nutrition 0.000 description 23
- 239000000377 silicon dioxide Substances 0.000 description 23
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 15
- 230000000694 effects Effects 0.000 description 15
- 230000001965 increasing effect Effects 0.000 description 15
- 239000004020 conductor Substances 0.000 description 12
- 239000004065 semiconductor Substances 0.000 description 12
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 11
- 229910052802 copper Inorganic materials 0.000 description 11
- 239000010949 copper Substances 0.000 description 11
- 238000012545 processing Methods 0.000 description 10
- 230000007423 decrease Effects 0.000 description 8
- 241000894007 species Species 0.000 description 8
- 230000008859 change Effects 0.000 description 7
- 230000008878 coupling Effects 0.000 description 7
- 238000010168 coupling process Methods 0.000 description 7
- 238000005859 coupling reaction Methods 0.000 description 7
- 229920002120 photoresistant polymer Polymers 0.000 description 7
- 239000006117 anti-reflective coating Substances 0.000 description 6
- 229910052581 Si3N4 Inorganic materials 0.000 description 5
- 238000000151 deposition Methods 0.000 description 5
- 238000010586 diagram Methods 0.000 description 5
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 5
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 5
- 229910052814 silicon oxide Inorganic materials 0.000 description 5
- 230000001939 inductive effect Effects 0.000 description 4
- 238000000059 patterning Methods 0.000 description 4
- 238000012546 transfer Methods 0.000 description 4
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 3
- 230000004888 barrier function Effects 0.000 description 3
- 150000001875 compounds Chemical class 0.000 description 3
- 230000008021 deposition Effects 0.000 description 3
- 238000011156 evaluation Methods 0.000 description 3
- 150000002500 ions Chemical class 0.000 description 3
- 238000004519 manufacturing process Methods 0.000 description 3
- 229910052751 metal Inorganic materials 0.000 description 3
- 239000002184 metal Substances 0.000 description 3
- 238000001000 micrograph Methods 0.000 description 3
- 238000005498 polishing Methods 0.000 description 3
- 229940058401 polytetrafluoroethylene Drugs 0.000 description 3
- 238000012876 topography Methods 0.000 description 3
- 229910052782 aluminium Inorganic materials 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 239000005388 borosilicate glass Substances 0.000 description 2
- 230000003247 decreasing effect Effects 0.000 description 2
- 230000002939 deleterious effect Effects 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 238000002474 experimental method Methods 0.000 description 2
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 2
- 238000010849 ion bombardment Methods 0.000 description 2
- 238000002955 isolation Methods 0.000 description 2
- 230000000873 masking effect Effects 0.000 description 2
- 238000001465 metallisation Methods 0.000 description 2
- 239000000203 mixture Substances 0.000 description 2
- BCCOBQSFUDVTJQ-UHFFFAOYSA-N octafluorocyclobutane Chemical compound FC1(F)C(F)(F)C(F)(F)C1(F)F BCCOBQSFUDVTJQ-UHFFFAOYSA-N 0.000 description 2
- 235000019407 octafluorocyclobutane Nutrition 0.000 description 2
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 2
- 239000002861 polymer material Substances 0.000 description 2
- 239000002356 single layer Substances 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- ZCYVEMRRCGMTRW-UHFFFAOYSA-N 7553-56-2 Chemical compound [I] ZCYVEMRRCGMTRW-UHFFFAOYSA-N 0.000 description 1
- 206010067484 Adverse reaction Diseases 0.000 description 1
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 1
- 101150042515 DA26 gene Proteins 0.000 description 1
- ZCQWOFVYLHDMMC-UHFFFAOYSA-N Oxazole Chemical compound C1=COC=N1 ZCQWOFVYLHDMMC-UHFFFAOYSA-N 0.000 description 1
- 241000183290 Scleropages leichardti Species 0.000 description 1
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 1
- 239000004809 Teflon Substances 0.000 description 1
- 229920006362 Teflon® Polymers 0.000 description 1
- WGKMWBIFNQLOKM-UHFFFAOYSA-N [O].[Cl] Chemical compound [O].[Cl] WGKMWBIFNQLOKM-UHFFFAOYSA-N 0.000 description 1
- 230000006838 adverse reaction Effects 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 1
- 229910052794 bromium Inorganic materials 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 230000007812 deficiency Effects 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 238000001312 dry etching Methods 0.000 description 1
- 230000005684 electric field Effects 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 239000011551 heat transfer agent Substances 0.000 description 1
- 230000006698 induction Effects 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- 229910052740 iodine Inorganic materials 0.000 description 1
- 239000011630 iodine Substances 0.000 description 1
- 229910052741 iridium Inorganic materials 0.000 description 1
- GKOZUEZYRPOHIO-UHFFFAOYSA-N iridium atom Chemical compound [Ir] GKOZUEZYRPOHIO-UHFFFAOYSA-N 0.000 description 1
- 230000000670 limiting effect Effects 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 239000011159 matrix material Substances 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 238000004377 microelectronic Methods 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 230000007935 neutral effect Effects 0.000 description 1
- 229910052756 noble gas Inorganic materials 0.000 description 1
- 150000002835 noble gases Chemical class 0.000 description 1
- 238000010943 off-gassing Methods 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 230000002250 progressing effect Effects 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 230000002829 reductive effect Effects 0.000 description 1
- 229910052701 rubidium Inorganic materials 0.000 description 1
- IGLNJRXAVVLDKE-UHFFFAOYSA-N rubidium atom Chemical compound [Rb] IGLNJRXAVVLDKE-UHFFFAOYSA-N 0.000 description 1
- 229910052709 silver Inorganic materials 0.000 description 1
- 239000004332 silver Substances 0.000 description 1
- 230000000087 stabilizing effect Effects 0.000 description 1
- 238000012360 testing method Methods 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
- 238000009736 wetting Methods 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
- H01L21/31133—Etching organic layers by chemical means
- H01L21/31138—Etching organic layers by chemical means by dry-etching
Abstract
Description
法は、パターン化エッチングに対して、またはエッチバック用途に対して使用で
きる。本方法は、重量で少なくとも約80%のポリマー材料を含む低k材料をエ
ッチングするために特に有用である。普通には、ポリマー材料は、有機ベース材
料である。加えて、本方法は、塩素および酸素の種を含むプラズマに影響され易
い無機の成分を有する低k材料のエッチングに対して使用されることができる。
下に縮小するのに従い、配線のRC遅延がデバイス速度の主要な制限要因になる
ことが、良く認知されている。この問題に焦点を当てる2つの開発領域がある。
配線の導体抵抗が、導体配線材料に対する業界標準であったアルミニウムより低
い抵抗(R)を有する、銅と他の導体との使用によって低減されている。焦点の
第2の領域は、業界標準の誘電体であった2酸化ケイ素より低い誘電定数(k)
を有する誘電体材料の使用である。低k誘電体の存在は、静電容量(C)への配
線の寄与を低減する。
マシン技術として知られる。この技術では、0.25ミクロン(μm)以下の範
囲でのフィーチャサイズに対して銅を導体材料として使用する多層 (multi-leve
l) 構造を作出するための典型的なプロセスは:誘電体材料のブランケット堆積
;開口を形成するよう誘電体材料のパターン化;拡散バリア層と、普通には、開
口を配線する濡れ層との堆積;開口を充填するのに充分な厚さでの、基板上への
銅層堆積;および、ケミカルメカニカルポリッシング(CMP)技術を使用して
基板表面からの余剰な導体材料の除去;を含むであろう。この方法は、誘電体材
料のパターン化エッチングを必要とする。ダマシンプロセスは、C. Steinbruche
l によって、「多層配線 (multilevel metallization) のための銅のパターン化
:反応性イオンエッチングとケミカルメカニカルポリッシング (Patterning of
copper for multilevel metallization: reactive ion etching and chemical-m
echanical polishing)」、Applied Surface Science 91 (1995) 139-146 で詳細
に記述されている。
術では、典型的なプロセスは、所望(普通には、その表面にバリア層を有する誘
電体材料)の基板上への銅層の堆積;銅層上へのハードマスク材料とフォトレジ
ストとの適用;湿式または乾式エッチング技術を使用して、フォトレジストと、
ハードマスク材料と、銅層とのパターンエッチング;および、パターン化された
銅層の表面の上への誘電体材料の堆積;を含み、種々の集積回路を含む導体の配
線およびコンタクトの絶縁を提供する。誘電体材料の適用の後に、普通には、導
体の配線とコンタクトとの上にコンタクト点を露出させるために誘電体材料をエ
ッチバックする必要がある。
7号は、埋込まれた低誘電定数絶縁体を有する多層配線構造、および構造を作成
する方法を記載している。詳細には、構造の誘電体部分は、二酸化ケイ素の層と
組合せて使用される低誘電定数の有機ポリマーの層を含む。この特許は、熱安定
性問題とエッチングの困難さが原因で、有機ポリマーを誘電体として使用するこ
とに固有の問題を記述している。しかし、本発明者は、複数の配線の上部表面を
露出させるよう、有機の低k誘電体材料をエッチバックする方法を開発した。詳
細には、エッチングは、少量のCF4の存在で酸素プラズマエッチングを使用し
て行われる。本方法での使用に推奨される低k誘電体は、ポリテトラフルオロエ
チレン (polytetrafluoro-ethylene) 「テフロン (Teflon)」(Du Pont Corpora
tion の商標);ベンゾシクロブチレン (benzocyclobutene)(BCB);パリレ
ン (parylene);ポリイミド (polyimide);または、誘電定数3.9未満を有す
る他の材料;である、パリレンが好ましい実施の形態で使用される。
号は、低誘電率誘電体を使用する自己位置合わせバイアを記載する。低誘電率誘
電体は、配線層で隣接する導体間の静電容量を低減することに使用される。バイ
アを製作する方法は、パターン化された導体間の水平方向間隙を有機含有誘電体
材料(Allied Signal 500 Series)で充填すること、有機含有誘電体材料の上に
無機の誘電体層を形成すること、および、フルオロカーボン (fluorocarbon) を
含む高密度プラズマを使用して有機含有誘電体材料を認められる程までにエッチ
ングしない間に、二酸化ケイ素をエッチングすること、を含む。有機含有誘電体
材料は、デバイス静電容量を低減する一方で、エッチストップ (etch stop) と
して作用し、バイアとパターン化導体との間の位置合わせ不良、または半導体デ
バイスにわたる非平坦トポグラフィを原因とする過剰エッチングを阻止する。大
部分の層間誘電体は、良好な熱移動と構造的の特性を持つ酸化物または他の通例
の誘電体から構成される。
608号は、金属配線の上へのスピンオン誘電体 (spin on dielectric) として
、ベンゾシクロブチレンまたはその誘導体のような低誘電定数材料の使用を開示
する。詳細には、低誘電定数材料は、二酸化ケイ素のそれ(約4.0未満)より
小さい誘電定数を有するものと定義される。
の面が、異なる基板上に作出され、ベンゾシクロブチレンの接続層によって接続
される、垂直に集積された半導体構成要素を記載している。種々の有機含有層が
CF4/O2プラズマを含むプラズマを使用してプラズマエッチングされるという
。
34891 A1号は、CF4ベースプラズマを使用して導体要素を露出させる
ようエッチバックされ得る、スピンオン誘電体として、ビスベンゾシクロブチレ
ン (bisbenzocyclobutene) の使用を記載している。
ある。一般に、そのような材料は、鉛フリーのアモルファスのホウケイ酸ガラス
(borosilicate glass) を含む。
の存在を含んでいた。しかし、フッ素含有エッチャントの使用は、環境にとり有
害である。更に、フルオロカーボンのエッチャントが使用される場合、普通には
、デバイス表面上への大量のポリマー堆積がある。用途によっては、低k誘電体
材料の下地に二酸化ケイ素層(または、フッ素によって容易にエッチングされる
他の層)がある。フッ素が低k誘電体をエッチングすることに使用される場合、
隣接する下地層に対する低k誘電体のエッチング選択性は、容認できないもので
ある。例えば、低k誘電体層がエッチングされる時点で、下地の(二酸化ケイ素
、および窒化ケイ素のような)ハードマスクは、無くなるかもしれない。更に、
膜表面組成の約5原子数%を超える量での、エッチングされた低k誘電体膜の表
面上に残留するエッチングプロセスのフッ素の存在は、後のプロセス統合で問題
を引起す。
品を採用する、有機ベースの(および、ある場合には無機の)低k誘電体材料を
エッチングするための方法を有することは、非常に有利であろう。好ましくは、
エッチング化学薬品は、多数の異なる種類の低k材料をエッチングするために好
適であり;高エッチレートを示し(約8,000Å/分より大);隣接する酸化
物および窒化物に関しての低k材料の高選択性を示し;良好なエッチングプロフ
ァイルと重要な寸法の制御とを提供し;最少の放出ガスを作出し;および、コス
トが安い。
ース低k材料をプラズマエッチングする方法に関係する。ポリマーベース材料は
、ケイ素またはフッ素またはその組合せを含むことがでできる。好ましくは、ポ
リマーの低k材料は、約10原子数%未満のケイ素と約5原子数%未満のフッ素
を含有する。方法は、プラズマエッチングを採用し、プラズマの主要なエッチャ
ント種は、フッ素以外のハロゲン、および酸素を含む。好ましくは、ハロゲンは
塩素である。エッチングプラズマにおけるハロゲン種のソースは、Cl2、Br2 、I2、ICl、IBr、BrCl、または、HCl、BCl3、CHCl3、C
H2Cl2、CCl4、C2H3Cl3、C2H4Cl2、SiCl4、HBr、CH3B
r、C2H2Br2Cl2、HI、CCl2O、CCl3NO2、のようなハロゲン含
有化合物、または、有害なまたは非実用的な様式では反応しないそれらの組合せ
でよい。(非実用的は、安全性とプロセスの有効性に影響する悪影響の反応が起
ることを意味する)。エッチングプラズマにおける酸素種のソースは、上に記載
した酸素含有化合物のひとつ、または、O2、CO、CO2、CH4O、C2H6O
、N2O、NO2、O3、H2O、または、ハロゲン含有材料と酸素含有材料とが有
害なまたは非実用的な様式で反応しない限り、それらの組合せである。
または臭素、またはヨー素ガスを含む場合、ハロゲンガス:酸素の体積(流量レ
ート)比は、約1:20から約20:1の範囲にわたる。ハロゲン種のソースが
ハロゲン含有化合物である場合、ハロゲン:酸素の原子数比は、約1:20から
約20:1の範囲にわたる。ハロゲンが塩素である場合、好ましくは、塩素の酸
素に対する原子数比は、約1:10から約5:1の範囲にわたり、それによって
、隣接する酸素含有または窒素含有の層に対しての低k材料のエッチング選択性
は有利である。
グ選択性を改善するよう、または、より良いエッチングプロファイルを提供する
よう、設計された添加剤を含有できる。添加剤ガスが存在する場合、そのような
ガスの配合は、普通には、全プラズマソースガス供給の約15体積パーセント未
満である。主要なプラズマソースガスと組合され得る添加剤の例は、N2、H2、
CxHy(xは普通には約3未満であり、yは普通には約8未満である)CF4、
およびNF3であり、添加剤は上記で説明された種類のハロゲン含有と酸素含有
のプラズマソースガスと両立できるよう選択される。ヘリウム、ネオン、アルゴ
ン、クリプトン、キセノンのような希ガスも、添加剤として使用され得る。
するエッチレートは、少なくとも約8,000Å/分である。このエッチレート
は、他のプラズマプロセスパラメータに依存して、約1:10から約5:1の範
囲にわたるハロゲン:酸素の原子数比に対して、期待される。好ましくは、Si 3 N4等の窒素含有材料の隣接する層、または、SiO2等の酸素含有材料の隣接
する層に対しての低k誘電体材料の選択性は、少なくとも約10:1である。こ
の選択性は、他のプラズマプロセスパラメータに依存して、プラズマ種ハロゲン
:酸素の原子数比が約1:10から約5:1の範囲にわたる場合に、得られる。
む、上に置くパターン化「ハード」マスクを使用してパターン化される。ハード
マスクでのパターンは、フォトレジストを使用して生成されることが多い。場合
によっては、低k誘電体材料は、ハードマスクとフォトレジストの組合せを使用
してパターン化される。パターン化ハードマスクが二酸化ケイ素を含む場合、フ
ッ素含有プラズマソースガスが、ハードマスクのパターン化のために使用でき、
塩素/酸素含有プラズマソースガスが、低k誘電体層までパターンを転写する
ために、それに引続き使用され得る。塩素/酸素含有ガスを使用して、少なくと
も約1,000Å/分のエッチレートで均等に(ウェーハ表面にわたり表面高さ
で10%以下の偏差内で)エッチングされ得る材料でパターン化マスクが構成さ
れる場合、エッチングプラズマを形成するためにフッ素含有ガスソースの使用を
回避することが好ましい。
れる。方法は、プラズマエッチングを採用し、プラズマの主要なエッチャント種
は塩素と酸素を含む。プラズマソースガスは、例えば、隣接する材料に対しての
低k材料のエッチング選択性を改善するよう、または、より良いエッチングプロ
ファイルを提供するよう、設計された添加剤を含有できる。好ましくは、塩素/
酸素含有プラズマソースガスを使用する低k誘電体材料に対するエッチレートは
、少なくとも約8,000Å/分である。好ましくは、窒素含有材料の隣接する
層に対しての低k材料の選択性は、少なくとも約10:1であり、酸素含有材料
の隣接する層に対しての低k材料の選択性は、少なくとも約10:1である。
される設備は、結合分離 (decouple) されたプラズマソースの センチュラ統合
処理システム(Centura(登録商標)Integrated Processing System)であったと
はいえ、プラズマが、誘導結合、または静電容量結合、または共鳴結合、または
その組合せにより生成される他の種類のエッチング処理設備もまた使用されるこ
とができる。好ましい設備の幾つかの実施例は:その装置が誘導結合によりプラ
ズマ生成を援助する、基板表面の上に配置された内部装置を有するプロセスチャ
ンバ;遠隔で生成されたソースからプラズマを供給されるプロセスチャンバ;誘
導結合によりプラズマ生成を支援するチャンバの外部に配置された装置を有する
プロセチャンバ;および、静電容量結合によりプラズマ生成を支援する平行プレ
ート装置を利用するプロセスチャンバ;を含む。
れる場合、単数形「a」「an」および「the」は、文脈が他であると明確に
指示しない限り、複数対象物を含むことに注意されたい。従って、例えば、用語
「半導体」は、半導体の性向特性を持つことが知られる種々の異なる材料を含み
、「導体材料」は、アルミニウム、銅、白金、銀、イリジウム、ルビジウム、お
よびその組合せと合金、のような金属と、同様に記載の用途に適切であろう他の
導体材料とを含む。
細書で使用される際に、主としてプラズマ密度を制御するRF電力(ソース電力
)の誘導結合と、主として基板表面のイオンボンバードエネルギーを制御するバ
イアス電力とに対する別々の制御装置を持つプラズマエッチング装置を称する。
板表面のトポグラフィを構成する他の構造を称する。フィーチャサイズは、ウェ
ーハ上の最小のフィーチャの大きさを指すことが多い。
ラズマに曝された表面の近傍での電界によって表面方向へ加速される。
有するいずれかの材料を称する。
成分を有する低k誘電体を称する。
いガス種の幾らか他の数と、を含有する部分的にイオン化されたガスを称する。
の材料のエッチレートが別の材料に比較して増大される場合にエッチング中に達
成される状態、を称することに使用される。
主要部分を供給することによってプラズマの持続を担う電力のことでる。
なくともその一部分がエッチングされる、次々に堆積された異なる材料の層の集
合を称する。
半導体業界で使用される他の材料を含む。
対して垂直である側壁を示す、フィーチャのプロファイルを称する。代替として
、「正のプロファイル (positive profile)」は、フィーチャの断面の幅が、表
面から離れたところより、フィーチャが立つ表面での方が大きいものを称する。
サンタクララのApplied Materials, Inc. から提供されるセンチュラ統合処理シ
ステム(Centura(登録商標)Integrated Processing System)で実行されたも
のである。このシステムは米国特許第5,186,718号に示されて、記載さ
れており、この開示は参照によって本明細書に組み込まれる。ここで呈示された
実施例で使用されるエッチングプロセスチャンバは、図1で略示されているが、
当業界で利用可能ないずれのエッチングプロセッサも、他のプロセスパラメータ
へ何らかの調整を加えることにより、ここで記載のエッチング化学作用の利点を
持つことができる。図1に略示した設備は、1996年5月7日の第11回国際
プラズマ処理シンポジウムの会報(Proceedings of the Eleventh International
Symposium of Plasma Processing, May 7, 1996)で、Yan Ye他が述べ、電気化学学会会報(
Electrochemical Society Proceedings) Vol.96−12、pp.222−
233(1996)で公けになった種類の解離プラズマソース(DPS)を含む
。このプラズマ処理チャンバは、直径8インチ(200mm)のウェハの処理が
可能である。
0の外側に配置され、無線周波(RF)パワー発生器18(異なるプラズマ条件
でもインピーダンス整合がとれるように、およそ2MHzに同調可能な周波数を
持つソースパワー発生器)に接続される、少なくともひとつの誘導コイルアンテ
ナセグメント12を含んで構成される。プロセスチャンバ内には、基板14の支
持ペデスタル(カソード)16があり、インピーダンス整合ネットワーク24を
介してRF周波パワー発生器22(13.56MHzの固定周波数のバイアスパ
ワー発生器)へ接続されている。また、プロセスチャンバ内には、オフセットバ
イアスのための電気的接地34として働く導体チャンバ壁30があり、このオフ
セットバイアスは、基板支持ペデスタル16へ加えられるRFパワーの結果とし
て基板14上に蓄積する。
26を通じてプロセスチャンバに送りこまれる。プラズマは、プロセスチャンバ
10で、RFパワー18と22を印加することによって点火される。エッチング
プロセスチャンバ10内の圧力は、真空ポンプ(不図示)と、プロセスチャンバ
10と真空ポンプ間に置かれたスロットルバルブ27とを使用して制御される。
エッチングチャンバ壁の表面温度は、エッチングチャンバ10の壁内に配置され
た液体収容導管(不図示)を使用して制御される。半導体基板の温度は、支持ペ
デスタルの温度を安定化させることによって、そして基板背部と、ペデスタル1
6の表面にある溝(不図示)とによって形成されるチャンネルに、ヘリウムガス
を流すことによって制御される。ヘリウムガスは、基板とペデスタルの間の熱伝
達を促進するために使用される。エッチングプロセスの間、プロセス条件に依存
はするが、基板表面は、プラズマによって基板支持プラテンの温度よりも高い略
25〜45℃の定常温度まで次第に加熱される。本発明者のほとんどの実験の間
、基板表面温度は典型的には75℃付近であったと予測される。エッチングチャ
ンバ10の壁の表面温度は、先に記載の冷却導管を用いて約80℃に維持された
。
点をもたらすことが実証されている。一般のエッチングング(エッチングバック
技術で例証される)と、0.25μ幅のフィーチャーサイズのパターンエッチン
グングは、共に実証されている。エッチング化学作用は、塩素と酸素のプラズマ
種を組合せて用いることをベースとしている。エッチングレート、隣接材料に対
するエッチング選択性、および優れたエッチング形状が約束されている。先に説
明したように、エッチングプロセスは200mmDPS センチュウラ金属エッチングシ
ステム(Centura(登録商標) Metal Etch System)で実行された。そのカソード
温度は約30℃に設定され、その結果、典型的には約75℃のエッチング基板表
面温度が得られた。基板背面への熱伝達剤としてヘリウムガスを使用して、基板
温度が維持され、カソードと基板背面間のヘリウム圧力は、約7Torrであっ
た。
スベンゾシクロブチレン(BCB)であり、これはミシガン州ミドランドのDow
Chemical Co.のCYCLOTENT(商標名)として入手でき、約5原子%のシリコンを
含む;SILK(商標名)であり、これもDow Chemical Co.から入手でき、BCBに
極めて類似しているがシリコンを含まない;FLARE2.0(商標名)、カリフォルニ
ア州サニーベールのAllied Signal Advanced Microelectronic Materials から
入手可能な独占材料であるが、その名称にもかかわらずフッ素を含んではいない
;そして、デラウェア州ウィルミントンのDuPont Companyから入手可能なフッ化
ポリイミド、FPI-136M、である。後者の2種類の材料はシリコンを含んではいな
い。
に検討されるが、本発明の方法に従って、ここに記載のエッチング化学作用を使
用してエッチングされたとき、同じように振舞うことが期待される多くの他の低
k材料がある。これらの他の低k材料にはポリ(アリレン)エーテル;ポリ(ア
リレン)エーテルオキサゾール;フッ化ポリ(アリレン)エーテル(FLARE
);パリレン−N;パリレン−F;パリレン−AF4;ポリイミド;ポリナフタ
レン−N;ポリナフタレン−F;ペルフルオルシクロブタン(PFCB);ポリ
テトラフルオロエチレン(PTFE);ポリフェニル−キノキサリン(PPQ);ポ
リベンゾクサゾール;ポリインダン;ポリノルボレン;ポリスチレン;ポリフェ
ニレンオキサイド;ポリエチレン;ポリプロピレン;および類似材料がある。
ングされた。これら誘電体材料の厚さは約10,000Åであった。
ラズマソースガス含有量を開発する間、エッチングレートに最大の効果を持つと
知られている他のプロセスパラメータは一定値に保たれた。プラズマソースガス
の全流量は、約100sccmに維持された。RFプラズマソースパワーは約1
、200Wであり、バイアスパワーは約200Wであった。プロセスチャンバ圧
力は約12mT、基板支持プラテン(カソード)温度は約30℃に維持され、エ
ッチング時間は略30秒であった。
チングレートの均一性を、プラズマソースガス含有量の関数として示す。
マソースガスとして使用した場合であることを、表1のデータが明瞭に示してい
る。N2またはCHF3の付加は、エッチングレートをわずかに減少させたが、エ
ッチング基板表面の横断方向のエッチングレート均一性を向上することとなった
。Cl2/O2へ、N2またはCHF3の限られた量を添加すると、パターン化され
た基板をエッチングングする間、形状と限界寸法の調節を支援する。
べき結果であった。というのは、誘電エッチャーはこの化学作用を用いて良好な
結果を示したからである。更に調べてみると、プロセスチャンバ内のフッ素の存
在によりO2/N2化学作用を用いて得られる良好な結果が実際に得られる、とい
うことが判った。フッ素は硬質マスクオープニングプロセスステップで使用され
た、前エッチングプロセスでの残留フッ素であった。
傾向を探るために、L4直交マトリックスが設計された。特に、図2A,3Aお
よび4Aは、プラズマソースガスのCl2:O2容積比変化に寄与するBCBエッ
チングレートに与える効果;基板支持プラテンへのRFバイアスパワー変化の、
エッチングレートに与える効果;および、プラズマへのRFソースパワー変化の
、エッチングレートに与える効果、をそれぞれ示す。対応する図2B、3B、お
よび4Bはそれぞれ、同じプロセス変数の関数としての、BCBエッチングレー
トの非均一性を示す。
Cl2:O2容積比の関数としての、BCBのエッチングレートを示す。エッチン
グレートはスケール204上でÅ/分.で示され、Cl2:O2比はスケール208
上で示されている。直ぐに分かるように、評価された範囲にわたり、比が増加す
るとともにエッチングレートが減少する。加えて後でより詳しく検討するように
、基板エッチング停止、およびバリアの層等の隣接材料に対する、BCBエッチ
ングレートを最適化するために、Cl2:O2比は注意深く平衡させなければなら
ない。
14は、エッチング前後のウェーハの厚さの差における1σ偏差の%値を示す(
49点測定に基く標準偏差)。エッチングレート均一性の変動を最少に維持する
のが望ましい。工業規格で通常に要件とする点は、このような偏差を約5%未満
に維持することである。
いするRFバイアスパワーの関数としてのBCBのエッチングレートを示す。エ
ッチングレートはスケール234にÅ/分.で示され、バイアスパワーはスケール
236上で示す。明らかに、示された範囲にわたってバイアスパワーの増加は、
BCBエッチングレートに非常に大きな効果をもたらす。評価された3つの変数
のうち、バイアスパワーがエッチングレートに最も大きな効果を持つ。バイアス
パワーの関数としてのBCB基板にわたるエッチングレート均一性の偏差は、曲
線242として図3Bに示す。エッチング前後のウェーハ厚の差の1σ偏差%が
スケール244で示され、バイアスパワーがスケール246で示されている。示
された範囲にわたるバイアスパワーの増加、つまり150Wから250Wまでの増
加、は、エッチング基板表面の非均一性を、約4%から約5.6%まで増加させ
た。
ソースパワーの関数としてのBCBエッチングレートを示す。エッチングレート
はスケール254でÅ/分.で示され、ソースパワーはスケール256で示される
。示された範囲にわたる、ソースパワーの増加は、BCBエッチングレートの大
きな増加をもたらすことが明らかである。RFソースパワーの関数としてのエッ
チングレート均一性の偏差は図4Bに示される。エッチング前後のウェーハ厚の
差の1σ偏差%がスケール264で、ソースパワーがスケール266で示される
。示された範囲にわたるソースパワーの増加、つまり800Wから1、600Wの
増加は、エッチング基板表面の非均一性を約4.2%から約5.4%まで増加さ
せた。
)を超えて増加した時に観察されたBCBエッチングレート減少に鑑み、我々は
低いCl2:O2比を調べることとした。我々は、0.7:1.0(Cl2を70s
ccm、O2を100sccm)のCl2:O2比を評価した。しかし十分な酸素
リッチプラズマソースガスが使用されたとき、エッチングされた表面のトポグラ
フィが許容できなくなることを発見した。図5は作られた3次元のエッチングB
CB表面の略図を示す。1σ偏差%は33.6%であった。エッチング表面を注
意深く観察すると、BCB表面の幾つかの場所でエッチングが起きなかったこと
が分かった。酸素がBCBフィルムのシリコンと反応して酸化物硬質マスクが形
成され、それがエッチングプロセスを停止させたと考える。
き、1:1のCl2:O2比を使用して他の基板材料上でBCBに対する選択性を
評価することを決定した。図6Aと6Bは1.0:1.0(Cl2を60sccm、
O2を60sccm)のCl2:O2比を使用してエッチングされた3次元のBC
B表面の略図を示す。他のプロセス条件は以下の通りである:ソースパワーは1
、200W;バイアスパワーは350W;プロセス容器圧力は12mT;基板背
面の熱伝達ヘリウム圧力は7T;BCB基板を支持するカソードの温度は約30
℃;エッチング時間は約40秒であった。1σ偏差%を図6Aと6Bに示すエッ
チング表面プロファイルの左側スケールで示す。プロセスチャンバガスフローパ
ターンの調整は、図6Aと6Bとの間で示されるエッチングレート均一性の向上
に導いた。
エッチングレートに及ぼす効果、そして熱堆積された酸化シリコン、窒化シリコ
ン、およびI−ラインフォトレジストと比較されたBCBに対するエッチング選
択性についても評価された。このデータを下の表2に示す。
酸化シリコンと窒化シリコンの両方に対する高いBCB選択性を達成できた。エ
ッチングレートパターンが斜めになっている−プロセスチャンバのポンプポート
側で低くなっている−ことに注目すべきである。この不具合欠陥を解決するため
に、ハードウェアの修正を行っている。
セスチャンバ圧力は14mTまで増加された。チャンバ圧力の増加がBCBエッ
チングレートへネガティブな影響を与えることが観察されたので、BCB基板へ
向けてイオンを更に強く引きつけるように、RFバイアスパワーを350Wから
450Wへと増加した。その他のプロセス変数は、表3を参照して上で与えられ
た値をそのまま維持した。プロセス変数のこの修正に関する性能データを下の表
3に示す。
。
ングされた。これらの材料は、SILKとFLARE2.0である。
、7B、および7Cは、プラズマソースガスのCl2:O2容積比の変化に寄与す
るSILKエッチングレートに及ぼす効果;基板支持プラテンへのRFバイアス
パワー変化のエッチングレートに及ぼす効果;および、プラズマへのRFソース
パワー変化のエッチングレートに及ぼす効果をそれぞれ示す。対応する図7D,
7E,および7Fは、SILKエッチングレートの非均一性を、同じプロセス変
数の関数としてそれぞれ示す。
けるCl2:O2の流量比の関数として、SILKエッチングレートを示す。この
エッチングレートはスケール706でÅ/分.で示され、Cl2:O2比はスケール
708に示される。図7Aの曲線704は、1σ偏差の%をCl2:O2比の関数
として、スケール710で示される1σの%で示す。容易に分かるように、評価
範囲にわたり、比の増加とともに、エッチングレートと1σ偏差の両方が減少す
る。図7Dの曲線712は、2酸化シリコン上で優先するSILKの選択比をC
l2:O2比の関数として示す。選択性はスケール714で示され、Cl2:O2比
はスケール716で示される。図示のCl2:O2比の全範囲にわたって、選択性
は、約95:1(SILK:2酸化シリコン)から約30:1に減少している。
ード)へのRFバイアスパワーの関数として、SILKエッチングレートを示す
。エッチングレートはスケール726にÅ/分.で、RFバイアスパワーはスケー
ル728で示される。図7Bの曲線724は、RFバイアスパワーの関数として
1σ偏差%を示す。1σ%はスケール730で示される。容易に分かるように、
評価された全範囲にわたって、バイアスパワーの増加とともにエッチングレート
と1σ偏差の両方に増加が見られる。図7Eの曲線732は、RFバイアスパワ
ーの関数として、2酸化シリコン上で優先するSILKの選択比を示す。選択性
はスケール734で、バイアスパワーはスケール736で示す。選択性はRFバ
イアスパワー範囲にわたって、約75:1(SILK:2酸化シリコン)から約
55:1に減少している。
ーの関数として、SILKエッチングレートを示す。エッチングレートはスケー
ル746にÅ/分.で示され、RFバイアスパワーはスケール748で示される。
図7Cの曲線744は、1σ偏差%をRFソースパワーの関数として、スケール
750で示される1σ%で示す。容易に分かるように、評価された範囲にわたっ
てソースパワーの増加とともにエッチングレートと1σ偏差の両方に増加が見ら
れる。図7Fの曲線752は、RFソースパワーの関数として、2酸化シリコン
上で優先するSILKの選択比を示す。選択性はスケール754に、ソースパワ
ーはスケール756に示す。選択性は、図示のRFソースパワーにわたって比較
的一定値に留まっている。
す。特に図8A,図8B,および図8Cは、プラズマソースガスのCl2:O2容
積比の変化に及ぼすFLARE2.0のエッチングレート効果;基板支持プラテ
ンへのRFバイアスパワーの変化によるエッチングレートに及ぼす効果;および
、プラズマへのRFソースパワーの変化によるエッチングレートに及ぼす効果を
、それぞれ示す。対応する図8D,8E,および8Fは、FLARE2.0のエ
ッチングレートの非均一性を、同じプロセス変数の関数として、それぞれ示す。
けるCl2:O2の流量比の関数として、FLARE2.0エッチングレートを示
す。エッチングレートはスケール806にÅ/分.で示され、Cl2:O2比はスケ
ール808で示される。図8Aの曲線804は、1σ偏差%をCl2:O2の関数
として、スケール810で示される1σの%で示す。容易に分かるように、評価
の範囲にわたり、エッチングレートの減少が見られ、1σ偏差に予期せぬ増加が
見られる。BCBは、Cl2:O2比の減少と共に1σ偏差の増加を示すが、BC
Bは約5重量%のシリコンを含んでいて、FLARE2.0はシリコンを含んで
いない。図8Dの曲線812は、2酸化シリコン上での選択におけるFLARE
2.0の選択比を、Cl2:O2比の関数として示す。選択性はスケール814で
、Cl2:O2比はスケール816で示される。図示のCl2:O2比の全範囲にわ
たって、選択性は約125:1(FLARE2.0:2酸化シリコン)から約3
0:1に減少することを示される。
ード)へのRFバイアスパワーの関数として、FLARE2.0エッチングレー
トを示す。エッチングレートはスケール826にÅ/分.で示され、RFバイアス
パワーはスケール828で示される。図8Bの曲線824は、RFバイアスパワ
ーの関数として1σ偏差%を示す。1σ%はスケール830で示される。容易に
分かるように、評価された範囲にわたってバイアスパワーの増加とともにエッチ
ングレートに大きな増加が見られ、1σ偏差に僅かな増加が見られる。図8Eの
曲線832は、RFバイアスパワーの関数として、2酸化シリコン上で優先する
FLARE2.0の選択比を示す。選択性はスケール834で示され、バイアス
パワーはスケール836で示される。選択性は示された範囲全体にわたって、非
常に僅かに減少している。
ーの関数として、FLARE2.0エッチングレートを示す。エッチングレート
はスケール846にÅ/分で、RFバイアスパワーはスケール848で示される
。図8Cの曲線844は、1σ偏差%をRFソースパワーの関数として、スケー
ル850に示されている1σ%で示す。容易に分かるように、評価された範囲に
わたってソースパワーの増加の結果として、エッチングレートの増加が見られ、
また1σ偏差に予期せぬ減少が見られる。図8Fの曲線852は、RFソースパ
ワーの関数として、2酸化上で優先するFLARE2.0の選択比を示す。選択
性はスケール854に、バイアスパワーはスケール856で示される。選択性は
図示のRFソースパワーにわたって約65:1(FLARE2.0:2酸化シリ
コン)から約90:1に増加している。
はFLARE2.0の単一層のデータであった。次のステップは低k誘電体層表
面のパターンエッチングングの評価であった。
グングでの一連のプロセスステップによるエッチングスタックプログレッシング
の略図を示す。図の寸法は正確ではない。図9Aを参照すると、基板上のエッチ
ングスタックは構造900として示されている。下層の基板902はシリコンウ
ェーハである。エッチングスタックはパターン化された厚さ0.8μのフォトレ
ジスト912の厚い層を含む。パターンは溝の連続であり、溝のフィーチャーサ
イズはおよそ0.25μである。フォトレジスト912の下層は、有機物ベース
の反射阻止膜(ARC)910の0.07μ厚の層である。ARC910の下層
は、0.1μ厚の2酸化シリコンの硬質マスキング材料908の層である。酸化
シリコン下層の硬質マスキング材料908は1.0μ厚のBCB906の層であ
る。BCB層906の下層は0.5μ厚のTEOS904の層である。また、T
EOS904の下層はシリコンウェーハ基板902である。ARC層710と酸
化硬質マスク層708はまだ開かれていないので、CHF3/Cl2ベースのプラ
ズマソースガス化学作用は、図9Bに示す構造を作り出すように、これら2層を
貫通するエッチングに使用される。プラズマエッチング条件は次の通りであった
:50sccmのCHF3と30sccmのCl2;ソースパワー1,400W;
バイアスパワー100W;プロセスチャンバ圧力10mT;基板背後へのヘリウ
ム背圧7Torr;カソード温度30℃;およびエッチング時間65秒。
質マスク908とARC910の上の層がパターン化されたところである。
。特別なエッチング条件は次の通りであった:60sccmのCl2と60sc
cmのO2;ソースパワー1200W;バイアスパワー350W;プロセスチャ
ンバ圧力10mT;基板背後へのヘリウム背圧7Torr;カソード温度30℃
;およびエッチング時間60秒。結果として得られた構造は図7Cに示されてい
て、そこでは、パターン化されたBCB層906が、シリコンに支持されたTE
OS層904に載っている。
中心近くに溝が高密度に配置されたパターン化BCBについて、達成されたエッ
チングプロファイルをより詳細に示す。エッチングされたBCBは1006とラ
ベル付けをされ、下にある基板のTEOSは、図10Aで1004とラベル付け
をされている。縦方向のプロファイルは、0.25μフィーチャーサイズであっ
ても高エッチングレートで達成された。ウェハ横断方向の均一性はブランクのB
CB基板に対するものとほぼ同じである。
心近くの孤立した溝のパターン化BCBについて達成されたエッチングプロファ
イルをより詳細に示す。再度、エッチングされたBCBは1006とラベル付け
をされ、TEOS基板は、図10Bで1004とラベル付けをされている。優れ
た縦方向のプロファイルが達成されている。
ーディングのデータが、下の表4に示される。
ンを含まない有機物ベースの低k誘電体における、本発明のエッチング化学作用
を試験するために、フッ化ポリイミド低k誘電体をエッチングした。エッチング
スタックは実施例8で使用したものと基本的に同一であり、エッチングステップ
もやはり同一であった。ARCと酸化硬質マスク層は、次のエッチング化学作用
とプロセス条件を使用してパターン化された:50sccmのCHF3と30s
ccmのCl2;ソースパワー1,400W;バイアスパワー100W;プロセ
スチャンバ圧力10mT;基板背面のヘリウム背圧7Torr;カソード温度3
0℃;および、エッチング時間67秒。
。使用された特別なエッチング条件は次の通りである:60sccmのCl2と
60sccmのO2;ソースパワー1,200W;バイアスパワー350W;プ
ロセスチャンバ圧力10mT;基板背面のヘリウム背圧7Torr;カソード温
度30℃;および、エッチング時間50秒。
近くに溝が高密度に配置されたパターン化FPIについて、達成されたエッチン
グプロファイルをより詳細に示す。エッチングされたFPIは1016とラベル
付けをされ、TEOS基板は1014とラベル付けをされている。0.25μフ
ィーチャーサイズでも、縦方向のプロファイルが高エッチングレートで達成され
ている。FPI膜のほうが密度が明らかに低いので、FPIエッチングレートは
BCBよりも高い。
。なぜなら、本開示に鑑み、当該技術に精通する者が、先に請求された本発明の
主題に対応する実施の形態を拡張することができるからである。
る種類の、好ましい実施の形態のプラズマ処理装置の略図を示す。
数として、ベンゾシクロブチレン(BCB)のエッチレートを示す。
、BCBに対する基板表面のエッチング不均一性を示す。
。
ッチング不均一性を示す。
チング不均一性を示す。
スでエッチングされたBCB表面に対する3次元の基板表面の略図を示す。(B
CBに関して不適切な性能に拘らず、このプラズマソースガスは、ケイ素を含有
しない幾つかの他の低k誘電体材料で良好に働く。)
のポンプポート側でのエッチレートがやや遅かったが)、塩素/酸素プラズマソ
ースガスでエッチングされたBCB表面に対する3次元の基板表面の略図を示す
。
のポンプポート側でのエッチレートがやや遅かったが)、塩素/酸素プラズマソ
ースガスでエッチングされたBCB表面に対する3次元の基板表面の略図を示す
。
電体材料に対する、ウェーハ表面にわたる、エッチレートと、エッチレート不均
一性とを示す。
電体材料に対する、ウェーハ表面にわたる、エッチレートと、エッチレート不均
一性とを示す。
電体材料に対する、ウェーハ表面にわたる、エッチレートと、エッチレート不均
一性とを示す。
対してのSILK低k誘電体材料のエッチレート選択性を示す。
対してのSILK低k誘電体材料のエッチレート選択性を示す。
対してのSILK低k誘電体材料のエッチレート選択性を示す。
0 低k誘電体材料に対する、ウェーハ表面にわたる、エッチレートと、エッチ
レート不均一性とを示す。
0 低k誘電体材料に対する、ウェーハ表面にわたる、エッチレートと、エッチ
レート不均一性とを示す。
0 低k誘電体材料に対する、ウェーハ表面にわたる、エッチレートと、エッチ
レート不均一性とを示す。
対してのFLARE2.0低k誘電体材料のエッチレート選択性を示す。
対してのFLARE2.0低k誘電体材料のエッチレート選択性を示す。
対してのFLARE2.0低k誘電体材料のエッチレート選択性を示す。
テップによるBCB基板と付随するエッチスタックとの進行の略図を示す。
テップによるBCB基板と付随するエッチスタックとの進行の略図を示す。
テップによるBCB基板と付随するエッチスタックとの進行の略図を示す。
化エッチングの顕微鏡写真の描写である略図を示す。
ターン化エッチングの顕微鏡写真の描写である略図を示す。
エッチングの顕微鏡写真の略図を示す。
Claims (32)
- 【請求項1】 プラズマソースガスの使用を含み、主要なエッチャント種が
、フッ素以外のハロゲンおよび酸素を含む、低k材料をプラズマエッチングする
方法。 - 【請求項2】 前記ハロゲン種のソースが、Cl2、Br2、I2、ICl、
IBr、BrCl、HCl、BCl3、CHCl3、CH2Cl2、CCl4、C2H 3 Cl3、C2H4Cl2、SiCl4、HBr、CH3Br、C2H2Br2Cl2、H
I、CCl2O、CCl3NO2からなる群から選ばれ、或いは、非実用的には反
応しない、それらの組合せである、請求項1の方法。 - 【請求項3】 前記ハロゲンが塩素である、請求項1または請求項2の方法
。 - 【請求項4】 前記酸素種のソースが、O2、CO、CO2、CH4O、C2H 6 O、N2O、NO2、O3、H2Oからなる群から選ばれ、或いは、非実用的には
、前記ハロゲン種の前記ソースが、前記酸素種の前記ソースと反応しない、それ
らの組み合わせである、請求項1の方法。 - 【請求項5】 添加剤が、前記主要なエッチャント種と組合せて使用され、
前記添加剤は、全プラズマソースガス供給の約15体積パーセント未満である、
請求項1、または請求項2、または請求項4の方法。 - 【請求項6】 前記添加剤が、N2;H2;CxHy;CF4;NF3;および、
それらの組合せ、から成るグループから選定され、xは約3未満であり、yは約
8未満である、請求項5の方法。 - 【請求項7】 前記グループは、更に、ヘリウム、ネオン、アルゴン、クリ
プトン、および、キセノンを含む、請求項6の方法。 - 【請求項8】 前記低k材料がポリマーベース材料である、請求項1の方法
。 - 【請求項9】 前記ポリマーベース材料が、有機ベース材料である、請求項
8の方法。 - 【請求項10】 前記ハロゲンの前記酸素に対する原子数比は、約1:20
から約20:1の範囲にわたる、請求項1の方法。 - 【請求項11】 前記塩素の前記酸素に対する原子数比は、約1:10から
約5:1の範囲にわたる、請求項3の方法。 - 【請求項12】 前記プラズマソースガスは、体積で15%以下の量での添
加剤を含有し、その添加剤は、隣接する材料に対しての前記 低k誘電体の選択
性を改善する、または、エッチングプロファイルを改善する、または、重要な寸
法の制御を改善する、請求項1の方法。 - 【請求項13】 前記添加剤は、少なくとも30原子数パーセントの酸素を
含有する酸素含有材料に対しての選択性を改善する、請求項12の方法。 - 【請求項14】 前記酸素含有材料がケイ素の酸化物である、請求項13の
方法。 - 【請求項15】 前記添加剤は、少なくとも30原子数パーセントの窒素を
含有する窒素含有材料に対しての選択性を改善する、請求項12の方法。 - 【請求項16】 前記窒素含有材料がケイ素の窒化物である、請求項15の
方法。 - 【請求項17】 前記窒素含有材料がオキシ窒化ケイ素である。請求項15
の方法。 - 【請求項18】 前記低k誘電体材料がケイ素を含有しない、請求項1また
は請求項12の方法。 - 【請求項19】 塩素および酸素の種を含む前記ソースガスを使用しての前
記低k誘電体材料に対するエッチレートは、少なくとも約8,000Å/分であ
る、請求項1の方法。 - 【請求項20】 前記低k誘電体材料は、ポリ(アリレン)エーテル (Poly
(arylene) ethers);ポリ(アリレン)エーテルオキサゾル (Poly (arylene) e
thers oxazoles);フッ化 (fluorinated) ポリ(アリレン)エーテル;パリレン
(Parylene)−N;パリレン−F;パリレン−AF;パリレン−AF4;ポリイ
ミド;ポリナフタレン (Polynaphthalene) −N;ポリナフタレン−F;ペルフ
ルオロシクロブチレン (Perfluorocyclobutene);ポリテトラフルオロエチレン
(Polytetrafluoroethylene);ポリフェニル−キノキサリン (Polyphenyl-Qinoxa
lines);ポリベンゾオキサゾル (Polybenzoxazoles);ポリインダン (Polyindan
e);ポリノルボレン (Polynorborene);ポリスチレン;酸化ポリフェニレン (Po
lyphenyleneoxide);ポリエチレン;ポリプロピレン;α−カーボン (alpha-car
bons):SILKTM、および、それらの組合せ、から成るグループから選定され
る、請求項1または請求項12の方法。 - 【請求項21】 前記低k誘電体材料は、BCB、FPI、SILK、FL
ARE2.0、または、それらの組合せ、から成るグループから選定される、 請求項1または請求項12の方法。 - 【請求項22】 前記低k誘電体材料は、ウェーハ表面にわたり10%以下
の1σ内で均一にエッチングされる、請求項1の方法。 - 【請求項23】 低k材料をプラズマエッチングするための方法であって:
プラズマソースガスの使用を含み、主体のエッチャント種は、塩素および酸素を
含む、方法。 - 【請求項24】 前記塩素種のソースは、Cl2、ICl、BrCl、HC
l、BCl3、CHCl3、CH2Cl2、CCl4、C2H3Cl3、C2H4Cl2、
SiCl4、HBr、C2H2Br2Cl2、CCl2O、CCl3NO2、または、非
実用的である様式では反応しない、それらの組合せ、から成るグループから選定
される、請求項23の方法。 - 【請求項25】 前記酸素種のソースは、O2、CO、CO2、CH4O、C2 H6O、N2O、NO2、O3、H2O、または、その組合せ、からなるグループか
ら選定され、前記塩素種の前記ソースは、前記酸素種の前記ソースと非実用的で
ある様式では反応しない、請求項23の方法。 - 【請求項26】 添加剤が、前記主体のエッチャント種と組合せて使用され
、前記添加剤は、全プラズマソースガス供給の約15体積パーセント未満である
、請求項23、または請求項24、または請求項25の方法。 - 【請求項27】 前記添加剤は、N2;H2;CxHy;CF4;NF3;および
、それらの組合せ、から成るグループから選定され、xは約3未満であり、yは
約8未満である、請求項26の方法。 - 【請求項28】 前記グループが、更にヘリウム、ネオン、アルゴン、クリ
プトン、および、キセノンを含む、請求項27の方法。 - 【請求項29】 前記低k材料がポリマーべース材料である、請求項23の
方法。 - 【請求項30】 前記ポリマーベース材料は、有機ベース材料である、請求
項29の方法。 - 【請求項31】 前記塩素の前記酸素に対する原子数比は、約1:20から
約20:1の範囲にわたる、請求項23の方法。 - 【請求項32】 前記塩素の前記酸素に対する原子数比は、約1:10から
約5:1の範囲にわたる、請求項31の方法。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US5428598A | 1998-04-02 | 1998-04-02 | |
US09/054,285 | 1998-04-02 | ||
PCT/US1999/007152 WO1999052135A1 (en) | 1998-04-02 | 1999-03-31 | Method for etching low k dielectrics |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2002510878A true JP2002510878A (ja) | 2002-04-09 |
Family
ID=21990005
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2000542791A Pending JP2002510878A (ja) | 1998-04-02 | 1999-03-31 | 低k誘電体をエッチングする方法 |
Country Status (6)
Country | Link |
---|---|
US (1) | US6547977B1 (ja) |
EP (1) | EP1070346A1 (ja) |
JP (1) | JP2002510878A (ja) |
KR (1) | KR20010042419A (ja) |
TW (1) | TW593764B (ja) |
WO (1) | WO1999052135A1 (ja) |
Cited By (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2006108484A (ja) * | 2004-10-07 | 2006-04-20 | Ulvac Japan Ltd | 層間絶縁膜のドライエッチング方法 |
JP2007520080A (ja) * | 2004-01-30 | 2007-07-19 | ラム リサーチ コーポレーション | 銅表面に対する表面還元、不動態化、腐食防止、および活性化のためのシステムおよび方法 |
US8232207B2 (en) | 2008-12-26 | 2012-07-31 | Tokyo Electron Limited | Substrate processing method |
JP2014192322A (ja) * | 2013-03-27 | 2014-10-06 | Nippon Zeon Co Ltd | エッチング方法 |
JP2016174013A (ja) * | 2015-03-16 | 2016-09-29 | 株式会社東芝 | 半導体装置の製造方法 |
Families Citing this family (217)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6593247B1 (en) * | 1998-02-11 | 2003-07-15 | Applied Materials, Inc. | Method of depositing low k films using an oxidizing plasma |
JP2000208488A (ja) * | 1999-01-12 | 2000-07-28 | Kawasaki Steel Corp | エッチング方法 |
CN1367935A (zh) * | 1999-06-28 | 2002-09-04 | 拉姆研究公司 | 用于蚀刻碳掺杂有机硅酸盐玻璃的方法和装置 |
JP2002270586A (ja) * | 2001-03-08 | 2002-09-20 | Tokyo Electron Ltd | 有機系絶縁膜のエッチング方法およびデュアルダマシンプロセス |
US7084070B1 (en) | 2001-03-30 | 2006-08-01 | Lam Research Corporation | Treatment for corrosion in substrate processing |
US20020177321A1 (en) * | 2001-03-30 | 2002-11-28 | Li Si Yi | Plasma etching of silicon carbide |
US7776314B2 (en) | 2002-06-17 | 2010-08-17 | Grunenthal Gmbh | Abuse-proofed dosage system |
JP4014456B2 (ja) * | 2002-06-19 | 2007-11-28 | 株式会社日立ハイテクノロジーズ | エッチング処理方法 |
PT1842533E (pt) * | 2003-08-06 | 2013-05-17 | Gruenenthal Gmbh | Forma de dosagem protegida contra abuso |
DE10361596A1 (de) * | 2003-12-24 | 2005-09-29 | Grünenthal GmbH | Verfahren zur Herstellung einer gegen Missbrauch gesicherten Darreichungsform |
DE10336400A1 (de) * | 2003-08-06 | 2005-03-24 | Grünenthal GmbH | Gegen Missbrauch gesicherte Darreichungsform |
US20070048228A1 (en) | 2003-08-06 | 2007-03-01 | Elisabeth Arkenau-Maric | Abuse-proofed dosage form |
DE102004020220A1 (de) * | 2004-04-22 | 2005-11-10 | Grünenthal GmbH | Verfahren zur Herstellung einer gegen Missbrauch gesicherten, festen Darreichungsform |
DE102005005446A1 (de) * | 2005-02-04 | 2006-08-10 | Grünenthal GmbH | Bruchfeste Darreichungsformen mit retardierter Freisetzung |
US20050230350A1 (en) * | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
US20060051966A1 (en) * | 2004-02-26 | 2006-03-09 | Applied Materials, Inc. | In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber |
DE102004032049A1 (de) | 2004-07-01 | 2006-01-19 | Grünenthal GmbH | Gegen Missbrauch gesicherte, orale Darreichungsform |
US20060118519A1 (en) * | 2004-12-03 | 2006-06-08 | Applied Materials Inc. | Dielectric etch method with high source and low bombardment plasma providing high etch rates |
US7253123B2 (en) * | 2005-01-10 | 2007-08-07 | Applied Materials, Inc. | Method for producing gate stack sidewall spacers |
US20060168794A1 (en) * | 2005-01-28 | 2006-08-03 | Hitachi Global Storage Technologies | Method to control mask profile for read sensor definition |
DE102005005449A1 (de) | 2005-02-04 | 2006-08-10 | Grünenthal GmbH | Verfahren zur Herstellung einer gegen Missbrauch gesicherten Darreichungsform |
US20070045230A1 (en) * | 2005-08-30 | 2007-03-01 | Micron Technology, Inc. | Methods for independently controlling one or more etching parameters in the manufacture of microfeature devices |
KR100780944B1 (ko) | 2005-10-12 | 2007-12-03 | 삼성전자주식회사 | 탄소함유막 식각 방법 및 이를 이용한 반도체 소자의 제조방법 |
US20070238254A1 (en) * | 2006-03-28 | 2007-10-11 | Applied Materials, Inc. | Method of etching low dielectric constant films |
US7601651B2 (en) * | 2006-03-31 | 2009-10-13 | Applied Materials, Inc. | Method to improve the step coverage and pattern loading for dielectric films |
US7780865B2 (en) * | 2006-03-31 | 2010-08-24 | Applied Materials, Inc. | Method to improve the step coverage and pattern loading for dielectric films |
US20070287301A1 (en) * | 2006-03-31 | 2007-12-13 | Huiwen Xu | Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics |
DE102007011485A1 (de) | 2007-03-07 | 2008-09-11 | Grünenthal GmbH | Darreichungsform mit erschwertem Missbrauch |
AU2009207796B2 (en) | 2008-01-25 | 2014-03-27 | Grunenthal Gmbh | Pharmaceutical dosage form |
ES2599031T3 (es) | 2008-05-09 | 2017-01-31 | Grünenthal GmbH | Proceso para la preparación de una formulación de polvo intermedia y una forma de dosificación solida final utilizando un paso de congelación por pulverización |
PT2456424E (pt) * | 2009-07-22 | 2013-09-30 | Gruenenthal Gmbh | Forma de dosagem resistente à adulteração e estabilizada contra a oxidação |
NZ596667A (en) | 2009-07-22 | 2013-09-27 | Gruenenthal Chemie | Hot-melt extruded controlled release dosage form |
WO2011095314A2 (en) * | 2010-02-03 | 2011-08-11 | Grünenthal GmbH | Preparation of a powdery pharmaceutical composition by means of an extruder |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US8828883B2 (en) | 2010-08-24 | 2014-09-09 | Micron Technology, Inc. | Methods and apparatuses for energetic neutral flux generation for processing a substrate |
TWI402012B (zh) | 2010-09-01 | 2013-07-11 | Ind Tech Res Inst | 圖案化可撓式基板的方法 |
MX2013002377A (es) | 2010-09-02 | 2013-04-29 | Gruenenthal Gmbh | Forma de dosificacion resistente a manipulacion que comprende una sal inorganica. |
CA2808541C (en) | 2010-09-02 | 2019-01-08 | Gruenenthal Gmbh | Tamper resistant dosage form comprising an anionic polymer |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
MX348054B (es) | 2011-07-29 | 2017-05-25 | Gruenenthal Gmbh | Tableta a prueba de alteracion que proporciona liberacion inmediata del farmaco. |
AR087360A1 (es) | 2011-07-29 | 2014-03-19 | Gruenenthal Gmbh | Tableta a prueba de manipulacion que proporciona liberacion de farmaco inmediato |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
AU2013225106B2 (en) | 2012-02-28 | 2017-11-02 | Grunenthal Gmbh | Tamper-resistant dosage form comprising pharmacologically active compound and anionic polymer |
US8551877B2 (en) * | 2012-03-07 | 2013-10-08 | Tokyo Electron Limited | Sidewall and chamfer protection during hard mask removal for interconnect patterning |
RS57913B1 (sr) | 2012-04-18 | 2019-01-31 | Gruenenthal Gmbh | Farmaceutske dozne forme otporne na neovlašćenu upotrebu i naglo oslobađanje celokupne doze |
US10064945B2 (en) | 2012-05-11 | 2018-09-04 | Gruenenthal Gmbh | Thermoformed, tamper-resistant pharmaceutical dosage form containing zinc |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
JP6466417B2 (ja) | 2013-05-29 | 2019-02-06 | グリュネンタール・ゲゼルシャフト・ミト・ベシュレンクテル・ハフツング | 二峰性放出プロファイルを有する改変防止(tamper−resistant)剤形 |
JP6445537B2 (ja) | 2013-05-29 | 2018-12-26 | グリュネンタール・ゲゼルシャフト・ミト・ベシュレンクテル・ハフツング | 1個または複数の粒子を含有する改変防止(tamper−resistant)剤形 |
JP6449871B2 (ja) | 2013-07-12 | 2019-01-09 | グリュネンタール・ゲゼルシャフト・ミト・ベシュレンクテル・ハフツング | エチレン−酢酸ビニルポリマーを含有する改変防止剤形 |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US10297459B2 (en) | 2013-09-20 | 2019-05-21 | Lam Research Corporation | Technique to deposit sidewall passivation for high aspect ratio cylinder etch |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
BR112016010482B1 (pt) | 2013-11-26 | 2022-11-16 | Grünenthal GmbH | Preparação de uma composição farmacêutica em pó por meio de criomoagem |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
WO2015173195A1 (en) | 2014-05-12 | 2015-11-19 | Grünenthal GmbH | Tamper resistant immediate release capsule formulation comprising tapentadol |
JP2017516789A (ja) | 2014-05-26 | 2017-06-22 | グリュネンタール・ゲゼルシャフト・ミト・ベシュレンクテル・ハフツング | エタノール過量放出に対して防護されている多粒子 |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9837254B2 (en) | 2014-08-12 | 2017-12-05 | Lam Research Corporation | Differentially pumped reactive gas injector |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9406535B2 (en) | 2014-08-29 | 2016-08-02 | Lam Research Corporation | Ion injector and lens system for ion beam milling |
US10825652B2 (en) | 2014-08-29 | 2020-11-03 | Lam Research Corporation | Ion beam etch without need for wafer tilt or rotation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9536748B2 (en) | 2014-10-21 | 2017-01-03 | Lam Research Corporation | Use of ion beam etching to generate gate-all-around structure |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9384998B2 (en) | 2014-12-04 | 2016-07-05 | Lam Research Corporation | Technique to deposit sidewall passivation for high aspect ratio cylinder etch |
US9887097B2 (en) | 2014-12-04 | 2018-02-06 | Lam Research Corporation | Technique to deposit sidewall passivation for high aspect ratio cylinder etch |
US9620377B2 (en) | 2014-12-04 | 2017-04-11 | Lab Research Corporation | Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
EA035434B1 (ru) | 2015-04-24 | 2020-06-15 | Грюненталь Гмбх | Защищенная от применения не по назначению лекарственная форма с немедленным высвобождением и устойчивостью к экстракции растворителями |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US9543148B1 (en) | 2015-09-01 | 2017-01-10 | Lam Research Corporation | Mask shrink layer for high aspect ratio dielectric etch |
US10842750B2 (en) | 2015-09-10 | 2020-11-24 | Grünenthal GmbH | Protecting oral overdose with abuse deterrent immediate release formulations |
US9779955B2 (en) | 2016-02-25 | 2017-10-03 | Lam Research Corporation | Ion beam etching utilizing cryogenic wafer temperatures |
TWI550134B (zh) * | 2016-04-22 | 2016-09-21 | 台灣美日先進光罩股份有限公司 | 用於電漿處理的製程方法以及光罩板材 |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US20180286707A1 (en) * | 2017-03-30 | 2018-10-04 | Lam Research Corporation | Gas additives for sidewall passivation during high aspect ratio cryogenic etch |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10276398B2 (en) | 2017-08-02 | 2019-04-30 | Lam Research Corporation | High aspect ratio selective lateral etch using cyclic passivation and etching |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10847374B2 (en) | 2017-10-31 | 2020-11-24 | Lam Research Corporation | Method for etching features in a stack |
US10658174B2 (en) | 2017-11-21 | 2020-05-19 | Lam Research Corporation | Atomic layer deposition and etch for reducing roughness |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10361092B1 (en) | 2018-02-23 | 2019-07-23 | Lam Research Corporation | Etching features using metal passivation |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
TWI753250B (zh) | 2018-05-01 | 2022-01-21 | 美商應用材料股份有限公司 | 選擇性蝕刻方法及改善蝕刻選擇性的方法 |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH02244625A (ja) * | 1989-03-16 | 1990-09-28 | Sony Corp | ドライエッチング方法 |
JPH04343425A (ja) * | 1991-05-21 | 1992-11-30 | Sony Corp | 半導体装置の製造方法 |
JPH05283375A (ja) * | 1992-03-31 | 1993-10-29 | Hitachi Ltd | ドライエッチング方法 |
JPH09115878A (ja) * | 1995-10-13 | 1997-05-02 | Sony Corp | プラズマエッチング方法 |
Family Cites Families (29)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
DE3072040D1 (en) * | 1979-07-23 | 1987-11-05 | Fujitsu Ltd | Method of manufacturing a semiconductor device wherein first and second layers are formed |
JPS59163826A (ja) * | 1983-03-08 | 1984-09-14 | Toshiba Corp | ドライエツチング方法 |
US4613400A (en) | 1985-05-20 | 1986-09-23 | Applied Materials, Inc. | In-situ photoresist capping process for plasma etching |
US4786360A (en) | 1987-03-30 | 1988-11-22 | International Business Machines Corporation | Anisotropic etch process for tungsten metallurgy |
US5007983A (en) * | 1988-01-29 | 1991-04-16 | The United States Of America As Represented By The Administrator Of National Aeronautics And Space Administration | Etching method for photoresists or polymers |
US4832787A (en) | 1988-02-19 | 1989-05-23 | International Business Machines Corporation | Gas mixture and method for anisotropic selective etch of nitride |
JPH02186636A (ja) | 1989-01-12 | 1990-07-20 | Seiko Epson Corp | 集積回路装置の配線法 |
US4968552A (en) | 1989-10-13 | 1990-11-06 | International Business Machines Corp. | Versatile reactive ion etch barriers from polyamic acid salts |
US5070046A (en) | 1989-10-19 | 1991-12-03 | E. I. Du Pont De Nemours And Company | Dielectric compositions |
US5024975A (en) | 1989-10-19 | 1991-06-18 | E. I. Du Pont De Nemours And Co., Inc. | Crystallizable, low dielectric constant, low dielectric loss composition |
US5196381A (en) | 1990-01-16 | 1993-03-23 | E. I. Du Pont De Nemours And Company | Metaphosphate glass composition |
US5707486A (en) * | 1990-07-31 | 1998-01-13 | Applied Materials, Inc. | Plasma reactor using UHF/VHF and RF triode source, and process |
JP3116369B2 (ja) * | 1990-11-02 | 2000-12-11 | ソニー株式会社 | 多層レジストドライエッチング方法 |
JPH05121371A (ja) * | 1991-10-25 | 1993-05-18 | Nec Corp | 半導体装置の製造方法 |
US5525534A (en) | 1992-03-13 | 1996-06-11 | Fujitsu Limited | Method of producing a semiconductor device using a reticle having a polygonal shaped hole |
US5486493A (en) | 1994-02-25 | 1996-01-23 | Jeng; Shin-Puu | Planarized multi-level interconnect scheme with embedded low-dielectric constant insulators |
US5565384A (en) | 1994-04-28 | 1996-10-15 | Texas Instruments Inc | Self-aligned via using low permittivity dielectric |
DE4434891B4 (de) | 1994-09-29 | 2005-01-05 | Infineon Technologies Ag | Verfahren zum Freilegen einer oberen Stegfläche eines auf der Oberfläche eines Substrats ausgebildeten und mit einem Material umformten schmalen Steges im Mikrometerbereich und Anwendung eines solchen Verfahrens zur Kontaktierung schmaler Stege |
US5550405A (en) | 1994-12-21 | 1996-08-27 | Advanced Micro Devices, Incorporated | Processing techniques for achieving production-worthy, low dielectric, low interconnect resistance and high performance ICS |
DE19543540C1 (de) | 1995-11-22 | 1996-11-21 | Siemens Ag | Vertikal integriertes Halbleiterbauelement mit zwei miteinander verbundenen Substraten und Herstellungsverfahren dafür |
US5950106A (en) * | 1996-05-14 | 1999-09-07 | Advanced Micro Devices, Inc. | Method of patterning a metal substrate using spin-on glass as a hard mask |
US5880033A (en) * | 1996-06-17 | 1999-03-09 | Applied Materials, Inc. | Method for etching metal silicide with high selectivity to polysilicon |
TW363220B (en) * | 1996-07-15 | 1999-07-01 | Applied Materials Inc | Etching organic antireflective coating from a substrate |
US5880018A (en) | 1996-10-07 | 1999-03-09 | Motorola Inc. | Method for manufacturing a low dielectric constant inter-level integrated circuit structure |
US6340435B1 (en) * | 1998-02-11 | 2002-01-22 | Applied Materials, Inc. | Integrated low K dielectrics and etch stops |
US5968847A (en) * | 1998-03-13 | 1999-10-19 | Applied Materials, Inc. | Process for copper etch back |
US6387819B1 (en) * | 1998-04-29 | 2002-05-14 | Applied Materials, Inc. | Method for etching low K dielectric layers |
US6040248A (en) * | 1998-06-24 | 2000-03-21 | Taiwan Semiconductor Manufacturing Company | Chemistry for etching organic low-k materials |
US6440870B1 (en) * | 2000-07-12 | 2002-08-27 | Applied Materials, Inc. | Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures |
-
1999
- 1999-03-31 JP JP2000542791A patent/JP2002510878A/ja active Pending
- 1999-03-31 KR KR1020007010997A patent/KR20010042419A/ko not_active Application Discontinuation
- 1999-03-31 EP EP99916252A patent/EP1070346A1/en not_active Withdrawn
- 1999-03-31 WO PCT/US1999/007152 patent/WO1999052135A1/en not_active Application Discontinuation
- 1999-04-12 TW TW088105166A patent/TW593764B/zh not_active IP Right Cessation
-
2000
- 2000-07-05 US US09/610,915 patent/US6547977B1/en not_active Expired - Fee Related
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH02244625A (ja) * | 1989-03-16 | 1990-09-28 | Sony Corp | ドライエッチング方法 |
JPH04343425A (ja) * | 1991-05-21 | 1992-11-30 | Sony Corp | 半導体装置の製造方法 |
JPH05283375A (ja) * | 1992-03-31 | 1993-10-29 | Hitachi Ltd | ドライエッチング方法 |
JPH09115878A (ja) * | 1995-10-13 | 1997-05-02 | Sony Corp | プラズマエッチング方法 |
Cited By (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2007520080A (ja) * | 2004-01-30 | 2007-07-19 | ラム リサーチ コーポレーション | 銅表面に対する表面還元、不動態化、腐食防止、および活性化のためのシステムおよび方法 |
JP2006108484A (ja) * | 2004-10-07 | 2006-04-20 | Ulvac Japan Ltd | 層間絶縁膜のドライエッチング方法 |
US8232207B2 (en) | 2008-12-26 | 2012-07-31 | Tokyo Electron Limited | Substrate processing method |
JP2014192322A (ja) * | 2013-03-27 | 2014-10-06 | Nippon Zeon Co Ltd | エッチング方法 |
JP2016174013A (ja) * | 2015-03-16 | 2016-09-29 | 株式会社東芝 | 半導体装置の製造方法 |
Also Published As
Publication number | Publication date |
---|---|
US6547977B1 (en) | 2003-04-15 |
EP1070346A1 (en) | 2001-01-24 |
KR20010042419A (ko) | 2001-05-25 |
TW593764B (en) | 2004-06-21 |
WO1999052135A1 (en) | 1999-10-14 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP2002510878A (ja) | 低k誘電体をエッチングする方法 | |
US6670278B2 (en) | Method of plasma etching of silicon carbide | |
US6207583B1 (en) | Photoresist ashing process for organic and inorganic polymer dielectric materials | |
US6844266B2 (en) | Anisotropic etching of organic-containing insulating layers | |
US6331380B1 (en) | Method of pattern etching a low K dielectric layer | |
US7117064B2 (en) | Method of depositing dielectric films | |
US6537918B2 (en) | Method for etching silicon oxynitride and dielectric antireflection coatings | |
KR100849707B1 (ko) | 탄소-도우핑된 저유전체들의 선택적 식각 | |
KR100878170B1 (ko) | 실리콘카바이드층의 이중 주파수 플라즈마 화학기상증착 | |
US6080529A (en) | Method of etching patterned layers useful as masking during subsequent etching or for damascene structures | |
US6013582A (en) | Method for etching silicon oxynitride and inorganic antireflection coatings | |
TWI299190B (en) | Method of etching a trench in a silicon-containing dielectric material | |
WO2000003432A1 (en) | Plasma etch process of a dielectric multilayer structure particularly useful for dual damascene | |
WO2000030168A1 (en) | Process for etching oxide using hexafluorobutadiene or related hydroflourocarbons and manifesting a wide process window | |
JP2006165558A (ja) | 高エッチング速度を与える高供給低衝撃プラズマによる誘電エッチング法 | |
US6647994B1 (en) | Method of resist stripping over low-k dielectric material | |
US7192531B1 (en) | In-situ plug fill | |
US9384979B2 (en) | Apparatus for the deposition of a conformal film on a substrate and methods therefor | |
KR20040101008A (ko) | 반도체 장치의 제조 방법 | |
JP2005005697A (ja) | 半導体装置の製造方法 | |
JP2004071731A (ja) | エッチング方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20060127 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20090116 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20090127 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20090427 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20090508 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20090804 |