TW593764B - Method for selectively etching low k dielectrics - Google Patents
Method for selectively etching low k dielectrics Download PDFInfo
- Publication number
- TW593764B TW593764B TW088105166A TW88105166A TW593764B TW 593764 B TW593764 B TW 593764B TW 088105166 A TW088105166 A TW 088105166A TW 88105166 A TW88105166 A TW 88105166A TW 593764 B TW593764 B TW 593764B
- Authority
- TW
- Taiwan
- Prior art keywords
- patent application
- low
- scope
- item
- oxygen
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 64
- 238000005530 etching Methods 0.000 title claims description 105
- 239000003989 dielectric material Substances 0.000 title claims description 51
- 239000000463 material Substances 0.000 claims abstract description 79
- 239000007789 gas Substances 0.000 claims abstract description 63
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims abstract description 46
- 239000001301 oxygen Substances 0.000 claims abstract description 46
- 229910052760 oxygen Inorganic materials 0.000 claims abstract description 46
- 239000000460 chlorine Substances 0.000 claims abstract description 26
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 claims abstract description 25
- 229910052801 chlorine Inorganic materials 0.000 claims abstract description 25
- 239000000654 additive Substances 0.000 claims abstract description 16
- 229910052731 fluorine Inorganic materials 0.000 claims abstract description 16
- 239000011737 fluorine Substances 0.000 claims abstract description 16
- 229910052736 halogen Inorganic materials 0.000 claims abstract description 14
- 150000002367 halogens Chemical class 0.000 claims abstract description 14
- 230000000996 additive effect Effects 0.000 claims abstract description 11
- 238000001020 plasma etching Methods 0.000 claims abstract description 11
- 239000000126 substance Substances 0.000 claims description 18
- KZBUYRJDOAKODT-UHFFFAOYSA-N Chlorine Chemical compound ClCl KZBUYRJDOAKODT-UHFFFAOYSA-N 0.000 claims description 15
- 230000002079 cooperative effect Effects 0.000 claims description 15
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 12
- 229910052710 silicon Inorganic materials 0.000 claims description 12
- 239000010703 silicon Substances 0.000 claims description 12
- 239000001307 helium Substances 0.000 claims description 11
- 229910052734 helium Inorganic materials 0.000 claims description 11
- 239000004020 conductor Substances 0.000 claims description 10
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 10
- 150000004767 nitrides Chemical class 0.000 claims description 10
- 229920000642 polymer Polymers 0.000 claims description 10
- 239000000203 mixture Substances 0.000 claims description 7
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 6
- -1 Poly-bornyl borneol Chemical compound 0.000 claims description 6
- 230000005611 electricity Effects 0.000 claims description 5
- 229920000412 polyarylene Polymers 0.000 claims description 5
- QVHWOZCZUNPZPW-UHFFFAOYSA-N 1,2,3,3,4,4-hexafluorocyclobutene Chemical compound FC1=C(F)C(F)(F)C1(F)F QVHWOZCZUNPZPW-UHFFFAOYSA-N 0.000 claims description 4
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 4
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 4
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 4
- 229910052786 argon Inorganic materials 0.000 claims description 3
- 229910052743 krypton Inorganic materials 0.000 claims description 3
- DNNSSWSSYDEUBZ-UHFFFAOYSA-N krypton atom Chemical compound [Kr] DNNSSWSSYDEUBZ-UHFFFAOYSA-N 0.000 claims description 3
- 229910052754 neon Inorganic materials 0.000 claims description 3
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 claims description 3
- 229910052724 xenon Inorganic materials 0.000 claims description 3
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 claims description 3
- REPVLJRCJUVQFA-UHFFFAOYSA-N (-)-isopinocampheol Natural products C1C(O)C(C)C2C(C)(C)C1C2 REPVLJRCJUVQFA-UHFFFAOYSA-N 0.000 claims description 2
- 239000004642 Polyimide Substances 0.000 claims description 2
- 239000004743 Polypropylene Substances 0.000 claims description 2
- 229940116229 borneol Drugs 0.000 claims description 2
- CKDOCTFBFTVPSN-UHFFFAOYSA-N borneol Natural products C1CC2(C)C(C)CC1C2(C)C CKDOCTFBFTVPSN-UHFFFAOYSA-N 0.000 claims description 2
- GZUXJHMPEANEGY-UHFFFAOYSA-N bromomethane Chemical compound BrC GZUXJHMPEANEGY-UHFFFAOYSA-N 0.000 claims description 2
- DTGKSKDOIYIVQL-UHFFFAOYSA-N dl-isoborneol Natural products C1CC2(C)C(O)CC1C2(C)C DTGKSKDOIYIVQL-UHFFFAOYSA-N 0.000 claims description 2
- 229910052757 nitrogen Inorganic materials 0.000 claims description 2
- 229920000573 polyethylene Polymers 0.000 claims description 2
- 229920001721 polyimide Polymers 0.000 claims description 2
- 229920001155 polypropylene Polymers 0.000 claims description 2
- RTZKZFJDLAIYFH-UHFFFAOYSA-N Diethyl ether Chemical compound CCOCC RTZKZFJDLAIYFH-UHFFFAOYSA-N 0.000 claims 8
- 229910017843 NF3 Inorganic materials 0.000 claims 2
- 229910003910 SiCl4 Inorganic materials 0.000 claims 2
- GVGCUCJTUSOZKP-UHFFFAOYSA-N nitrogen trifluoride Chemical compound FN(F)F GVGCUCJTUSOZKP-UHFFFAOYSA-N 0.000 claims 2
- FDNAPBUWERUEDA-UHFFFAOYSA-N silicon tetrachloride Chemical compound Cl[Si](Cl)(Cl)Cl FDNAPBUWERUEDA-UHFFFAOYSA-N 0.000 claims 2
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 claims 2
- CPELXLSAUQHCOX-UHFFFAOYSA-N Hydrogen bromide Chemical compound Br CPELXLSAUQHCOX-UHFFFAOYSA-N 0.000 claims 1
- 239000004698 Polyethylene Substances 0.000 claims 1
- 230000000739 chaotic effect Effects 0.000 claims 1
- 239000000839 emulsion Substances 0.000 claims 1
- 125000001153 fluoro group Chemical group F* 0.000 claims 1
- 238000002955 isolation Methods 0.000 claims 1
- 238000012856 packing Methods 0.000 claims 1
- ISWSIDIOOBJBQZ-UHFFFAOYSA-M phenolate Chemical compound [O-]C1=CC=CC=C1 ISWSIDIOOBJBQZ-UHFFFAOYSA-M 0.000 claims 1
- 229920002635 polyurethane Polymers 0.000 claims 1
- 239000004814 polyurethane Substances 0.000 claims 1
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 abstract 2
- 239000000758 substrate Substances 0.000 description 70
- 239000010410 layer Substances 0.000 description 56
- UMIVXZPTRXBADB-UHFFFAOYSA-N benzocyclobutene Chemical compound C1=CC=C2CCC2=C1 UMIVXZPTRXBADB-UHFFFAOYSA-N 0.000 description 55
- 238000012545 processing Methods 0.000 description 46
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 31
- 235000012431 wafers Nutrition 0.000 description 19
- 230000008859 change Effects 0.000 description 16
- 239000000377 silicon dioxide Substances 0.000 description 15
- 235000012239 silicon dioxide Nutrition 0.000 description 14
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 12
- 230000000694 effects Effects 0.000 description 12
- 230000008569 process Effects 0.000 description 12
- 239000004065 semiconductor Substances 0.000 description 11
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 8
- 229910052802 copper Inorganic materials 0.000 description 8
- 239000010949 copper Substances 0.000 description 8
- 229920002120 photoresistant polymer Polymers 0.000 description 8
- 238000005516 engineering process Methods 0.000 description 7
- 239000006117 anti-reflective coating Substances 0.000 description 6
- 150000001875 compounds Chemical class 0.000 description 6
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 5
- 238000004519 manufacturing process Methods 0.000 description 5
- 230000002829 reductive effect Effects 0.000 description 5
- 230000007423 decrease Effects 0.000 description 4
- 230000001939 inductive effect Effects 0.000 description 4
- 238000000059 patterning Methods 0.000 description 4
- 238000012546 transfer Methods 0.000 description 4
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 description 3
- 230000004888 barrier function Effects 0.000 description 3
- 230000000875 corresponding effect Effects 0.000 description 3
- 230000008878 coupling Effects 0.000 description 3
- 238000010168 coupling process Methods 0.000 description 3
- 238000005859 coupling reaction Methods 0.000 description 3
- 238000000151 deposition Methods 0.000 description 3
- 150000002500 ions Chemical class 0.000 description 3
- 230000000873 masking effect Effects 0.000 description 3
- 229910052751 metal Inorganic materials 0.000 description 3
- 239000002184 metal Substances 0.000 description 3
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 3
- 230000008093 supporting effect Effects 0.000 description 3
- 238000012360 testing method Methods 0.000 description 3
- 239000002023 wood Substances 0.000 description 3
- 206010007559 Cardiac failure congestive Diseases 0.000 description 2
- MYMOFIZGZYHOMD-UHFFFAOYSA-N Dioxygen Chemical compound O=O MYMOFIZGZYHOMD-UHFFFAOYSA-N 0.000 description 2
- 241000238631 Hexapoda Species 0.000 description 2
- 241001674048 Phthiraptera Species 0.000 description 2
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 2
- 239000002253 acid Substances 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 125000005605 benzo group Chemical group 0.000 description 2
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Chemical compound BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 2
- 238000009563 continuous hemofiltration Methods 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 229910001882 dioxygen Inorganic materials 0.000 description 2
- 150000002170 ethers Chemical class 0.000 description 2
- 238000013467 fragmentation Methods 0.000 description 2
- 238000006062 fragmentation reaction Methods 0.000 description 2
- 239000011521 glass Substances 0.000 description 2
- 230000001976 improved effect Effects 0.000 description 2
- 235000012054 meals Nutrition 0.000 description 2
- 229920000620 organic polymer Polymers 0.000 description 2
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 2
- 238000005498 polishing Methods 0.000 description 2
- 229910052709 silver Inorganic materials 0.000 description 2
- 239000004332 silver Substances 0.000 description 2
- 239000002356 single layer Substances 0.000 description 2
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 2
- VXNZUUAINFGPBY-UHFFFAOYSA-N 1-Butene Chemical compound CCC=C VXNZUUAINFGPBY-UHFFFAOYSA-N 0.000 description 1
- MGWGWNFMUOTEHG-UHFFFAOYSA-N 4-(3,5-dimethylphenyl)-1,3-thiazol-2-amine Chemical compound CC1=CC(C)=CC(C=2N=C(N)SC=2)=C1 MGWGWNFMUOTEHG-UHFFFAOYSA-N 0.000 description 1
- ZCYVEMRRCGMTRW-UHFFFAOYSA-N 7553-56-2 Chemical compound [I] ZCYVEMRRCGMTRW-UHFFFAOYSA-N 0.000 description 1
- 206010067484 Adverse reaction Diseases 0.000 description 1
- 229910001020 Au alloy Inorganic materials 0.000 description 1
- 229910014265 BrCl Inorganic materials 0.000 description 1
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 1
- 241000196324 Embryophyta Species 0.000 description 1
- PEDCQBHIVMGVHV-UHFFFAOYSA-N Glycerine Chemical compound OCC(O)CO PEDCQBHIVMGVHV-UHFFFAOYSA-N 0.000 description 1
- 241000219823 Medicago Species 0.000 description 1
- 235000017587 Medicago sativa ssp. sativa Nutrition 0.000 description 1
- 239000004721 Polyphenylene oxide Substances 0.000 description 1
- 241001466030 Psylloidea Species 0.000 description 1
- 239000004809 Teflon Substances 0.000 description 1
- 229920006362 Teflon® Polymers 0.000 description 1
- 241000219793 Trifolium Species 0.000 description 1
- WGKMWBIFNQLOKM-UHFFFAOYSA-N [O].[Cl] Chemical compound [O].[Cl] WGKMWBIFNQLOKM-UHFFFAOYSA-N 0.000 description 1
- 238000009825 accumulation Methods 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- 230000006838 adverse reaction Effects 0.000 description 1
- 125000004429 atom Chemical group 0.000 description 1
- CODNYICXDISAEA-UHFFFAOYSA-N bromine monochloride Chemical compound BrCl CODNYICXDISAEA-UHFFFAOYSA-N 0.000 description 1
- 229910052794 bromium Inorganic materials 0.000 description 1
- IAQRGUVFOMOMEM-UHFFFAOYSA-N butene Natural products CC=CC IAQRGUVFOMOMEM-UHFFFAOYSA-N 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 239000013522 chelant Substances 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 239000003795 chemical substances by application Substances 0.000 description 1
- 230000000052 comparative effect Effects 0.000 description 1
- 239000002131 composite material Substances 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 230000005684 electric field Effects 0.000 description 1
- 210000000981 epithelium Anatomy 0.000 description 1
- 238000002474 experimental method Methods 0.000 description 1
- 230000002349 favourable effect Effects 0.000 description 1
- 150000002221 fluorine Chemical class 0.000 description 1
- 229920002313 fluoropolymer Polymers 0.000 description 1
- 150000002371 helium Chemical class 0.000 description 1
- 150000002466 imines Chemical class 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 238000007689 inspection Methods 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 230000002452 interceptive effect Effects 0.000 description 1
- 239000011630 iodine Substances 0.000 description 1
- 229910052740 iodine Inorganic materials 0.000 description 1
- 238000010849 ion bombardment Methods 0.000 description 1
- 210000003127 knee Anatomy 0.000 description 1
- 230000000670 limiting effect Effects 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 239000011159 matrix material Substances 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- SYHGEUNFJIGTRX-UHFFFAOYSA-N methylenedioxypyrovalerone Chemical compound C=1C=C2OCOC2=CC=1C(=O)C(CCC)N1CCCC1 SYHGEUNFJIGTRX-UHFFFAOYSA-N 0.000 description 1
- 238000004377 microelectronic Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000007935 neutral effect Effects 0.000 description 1
- JCXJVPUVTGWSNB-UHFFFAOYSA-N nitrogen dioxide Inorganic materials O=[N]=O JCXJVPUVTGWSNB-UHFFFAOYSA-N 0.000 description 1
- 238000005457 optimization Methods 0.000 description 1
- 239000011368 organic material Substances 0.000 description 1
- 230000001590 oxidative effect Effects 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 239000003016 pheromone Substances 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 229920000052 poly(p-xylylene) Polymers 0.000 description 1
- 229920002098 polyfluorene Polymers 0.000 description 1
- 239000002861 polymer material Substances 0.000 description 1
- 229920006380 polyphenylene oxide Polymers 0.000 description 1
- 229920001343 polytetrafluoroethylene Polymers 0.000 description 1
- 239000004810 polytetrafluoroethylene Substances 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 238000012827 research and development Methods 0.000 description 1
- 238000005096 rolling process Methods 0.000 description 1
- 150000003839 salts Chemical class 0.000 description 1
- 230000001568 sexual effect Effects 0.000 description 1
- 241000894007 species Species 0.000 description 1
- 230000000087 stabilizing effect Effects 0.000 description 1
- 210000004243 sweat Anatomy 0.000 description 1
- 229940098465 tincture Drugs 0.000 description 1
- 239000002699 waste material Substances 0.000 description 1
- 125000005023 xylyl group Chemical group 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
- H01L21/31133—Etching organic layers by chemical means
- H01L21/31138—Etching organic layers by chemical means by dry-etching
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Drying Of Semiconductors (AREA)
Description
A7 五、 發明説明( B7 經濟部智慧財產局員工消費合作社印製 本發明係關於一種低,,k”介電材料的蝕刻方法。該方_ 法 、 ▲。被用於構圖(Patterned)蝕刻或用於回蝕刻的應用上。該 、去對於蝕刻内含至少8 0 %重量百分比之聚合材料的低 、材料特別有用。典型地,該聚合材料為一以有機物為底 的材料。此外,該方法可用於蝕刻具有—無機組成物之 低k材料,該無機組成物很容易受到包括氯及氧在内之 電漿的影響^ : 在半導體裝置製造領域中,眾所週知的是,當裝置 特徵(feature)的尺吋降至〇·ι8微米或更小時,互連線之Rc 延遲會成為一個限制裝置速度的主要原因。可藉由使用 2或其它電阻(R)比鋁還低的導體來降低互連線導體之 包阻,目前鋁是互連線材料業界的標準。第二個眾所矚 目的領域^是使用具有一介電常數低於二氧化矽之低介 電常數(10¾介電材料,二氧化碎乃是業界的標準介電^ 料。低k介電材料可減低電容(c)之互連線程度。 有兩種其他技術可用來產生半導體之互連線結構。 第種技術被稱為鑲嵌(damascene)技術。在此技術中, 使用銅來作為特徵呎吋在〇·25微米以下之導電材料以製 造一多層結構的典型製程將包括:坦覆沉積一介電材 料,對逐介電材料構圖以形成開口;沉積一擴散阻障層 及’典型地係一圍繞開口之濕層;在該基材上沉積充: 本纸張尺度適用中國國家標準(CNS ) Α4規格 第5頁 (210 Χ 297公釐) (請先閱讀背面之注意事項再填寫本頁)
經濟部43慧財產¾¾工消費合作社印製 5卩3764 A7 B7 五、發明説明() 厚度的銅層以填充該等開口;及使用化學機械研磨 (CMP)技術從該基材表面去除過多的導電材料。此方法需 要該介電材料的圖案蝕刻。該鑲嵌方法被詳細地介紹於 由 C· Steinbmchel 所著,發表於 Applied Surface Science 91 (95) 139-146 期刊中之 ’’Patterning of copper for multilevel metallization : reactive ion etching and chemical-mechanical polishing” 的文章中。 另一為涉及該導電銅層圖案蝕刻之技術。在此技術 中,一典型岛製程包括:在一所需要的基材上(典型地為 一具有一阻障層於其表面上之介電材料)沉積一銅層; 施加一硬質的遮罩材料及一光阻於該銅層上;使用濕式 或乾式技術圖案蝕刻該光阻、硬質遮罩材料及銅層:及沉 積一介電材料於該經過構圖的銅層上用以提供絕緣給包 含了許多積體電路之導電線路及接點。在施用介電材料 之後,其典型地需要回蝕刻該介電材料用以露出在該等 線路及接點上之接觸點。 於1997年一月授予Shin-Pun Jeng之美國第5,591,677 號專利揭杀一種具有包埋的低介電常數絕緣體之多層互 連線結構,及製造該結構的方法。詳言之,該結構之介 電部分包括可與二氧化矽層一起使用之低介電常數的有 機聚合物層。該專利描述了因使用該有機聚合物作為介 電質所可能衍生的問題,及熱安定性問題及蝕刻上的困 難。然而,發明人發展出一種回I虫刻該有機低k介電材 料的方法用以露出多條互連線的上表面。詳言之,該蝕 刻係藉帶有小量CF4之一氧氣電漿來進行蝕刻。被建議 _mm_ 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公慶) (請先閱讀背面之注意事項再填寫本頁)
593764 A7 B7- 五、發明説明(/ ) ' 使用於該方法中之低k介電質為,,鐵氟龍,,(杜邦公司的商 fe),苯并環丁婦(BCB),聚對二甲苯基(;聚酸亞 (polyimide),或其它介電常數低於3.9的材料。較佳實轉 例中係使用聚對二甲苯基。 1995年十月15日授予Havemann之美國第5,565,384 號專利描述了一種使用低電容率(介電質之自動 對齊的介層孔(via)。該低電容率之介電質係用來降低在一 連接層上相知導體之間的電容。製造該介層孔的方法包 括用一包含有機體之介電材料(聯合訊號5〇〇系列)充填 介於經過構圖之導體間的水平間隙,在該包含有機物的 介電材料上形成一無機介電層,並使用一包含碳氟化合 物之高密度電漿在不會姓刻到該含有機物介電材料的情 況下來姓刻該二氧化梦層。該包含有機物之介電材料在 作為一停止蝕刻物的同時,也可降低該裝置之電容,防 止因介層孔沒有與經過構圖的導體對齊或半導體裝置上 不平均表面所造成的過度钱刻。大部分之中間介電層是由 氧化物或i有良好熱傳遞及結構特徵之其它常見的介電 質所構成。 1 997年十月21日授予cheun〇^ ,m # un§寺人之吴國呆5,679,608 號專利揭示了使用一諸如苯并 +汗彡衣丁婦或其衍生物之低介 電常數材料作為在一金屬互 廣互連線上之介電質旋施物 (spin)。詳言之,一低介電當盤 %吊數材料係被界定為一種且有 比二氧化矽介電常數更低(即 、 必V丨小於4.0)之物質。
Lauterbach等人之PCT申嗜奋々 卞,次弟PCT/DE96/02 1 08號揭 本紙張尺度適用中國國家標準(CNS ) (請先閱讀背面之注意事項再填寫本頁) -口 經濟部智慧財產局S工消費合作社印製 五、 發明説明( A7 B7 經濟部智慧財產局員工消費合作社印製 :了-種垂直集積之半導體構件,於不同基材上形成該 ::平面並由-苯并環丁晞連接層加以連接。許多含有 ^膜層均宣稱是由包含了 CF4/〇2之電漿所姓刻的。 於洲年九月29日公告之德國專利申請案 h489l A1號中揭帝了使用笨 皙μJ冬并% 丁烯作為介電 進,二施物的例子,其係使用-〜F4為底的電衆 進仃回蝕刻以露出一導電元件。 :密度丰導體應用中之多層電路所用的無機… =二是習知的。通常’此等材料會包含無錯之無定 开;^爛碎酸鹽坡璃。 炊上述之電侧包含了含氣成分之該電渡源氣體。 …、而’使用含氟㈣劑會對環境造成傷害m 用广刻劑時’典型地會有大量聚合物沉積於電子裝 置表面上。在某些應用中’在低k介電材料底下會有一 :乳切層(或其它可輕易用氟加以⑽q的層)。如果以氣 “〗邊低k介电材料的話’常無法在不蝕刻到相鄰接 厂…嶋下’只選擇…刻該低k介電質。例如, 在低k介電質被蝕刻的同時,—底層之硬質遮罩(如二氧 化1^氮切)亦會被"#。再者,該經過㈣之低k ’丨电貝表面上殘存南於膜層表面組成5 %原子百分比之 I虫刻用氟會造成後續處理上的—些問題。 若是能有一種以一不具上述含氟電漿蝕刻物缺點之 M W㈣以㈣Μ之(及在某些射為無機體 基的)低k介電質材料的方法乃是非常有利的。最好是, 第8頁 本纸張尺度適用中國國家標準(CNS ) Λ4規格(210x1^7^· (請先閱讀背面之注意事項再填寫本頁)
A7 五、 B7 發明説明( 該餘刻化學物適於用來触刻數種不同種類之低k材料; :蝕J率(大於8000埃/每分鐘);相對於鄰接的氧化物 η氮化物而",可對低k材料表現出高度的蝕刻選擇性; 扶供一良好的蝕刻外形及關鍵尺吋控 射氣體;及較低的成本。 制;產生最小的發 經濟部智慧財產局員工消費合作社印製 爱二9月目的及: •本發明係關於半導體製程,及關於一種用來蝕刻低 介電常數(低k)材料,特別是一種以聚合物為底之低k材 料的方法。泫聚合物為底的材料包含矽或氟或其混合 物。最好是’該低k介電聚合材料包含至少約1 〇%原子 百刀比的矽及少於5%原子百分比的氟。該方法使用電漿 進行姓刻,主要的電漿蝕刻物包含了除了氟之外的函素 氧取好疋,違鹵素為氣。該姓刻電漿中之鹵素來源 可以是C12、Br2、l2、Icl、Ibr、BrCl或一包含函素的化 合物,如 HC1、BC13、CHC13、cch2ci2、ch2ci2、cci4、 C2H3CI3 > t2H4Cl2 > S1CI4 > HBr > CH3Br > C2H2Br2Cl2 > HI、CCl2〇、CCl3N〇2或其組合,且其不會以一種有害或 不合貫際的方式(在此,不實際意謂一會影響該處理之安 全及有效性之不利反應的發生)起反應。在該蝕刻電漿中 足氧的來源可以是上述包含氧的化合物中的一種,或是 〇2、c〇、c〇2、ch40、C2H6〇、N2〇、N〇2、〇3、 其組合,只要包含鹵素的材料與包含氧的材料之混合物 不會以一種有害或不合實際的方式起反應即可 ϋ H20或 (請先閱讀背面之注意事項再填寫本頁)
訂卜VI 第9苜— 衣紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ 297公慶 經濟部智慧財產局員工消費合作社印製 593764 A7 B7 五、發明説明() 當蝕刻電漿的來源氣體亦包含可與氧氣混合之氯或 溴或碘氣時,則該iS素氣體:氧氣之體積(流率)比例範圍 約在1 : 2 0至2 0 : 1之間。當鹵素的來源為一包含鹵素 的化合物時,函素:氧之原子比例的範圍約在1 : 20至 20 : 1之間。當該鹵素為氯時,氯對氧之原子比例的範圍 最好是在1 : 1 0至5 : 1之間,如此相對於相鄰之含氧或 含氮之膜層而言,會選擇性較利於低k材料的姓刻。 該電漿來源氣體可包含用來改善低k材料相對於相 鄰材料之蝕刻選擇性或用來提供較佳蝕刻外形之添加 物。當有添加氣體時,一般該等混合氣體體積百分比係 小於總供應電漿來源氣體之1 5 %。可與主要電漿來源氣 體合用之添加物為N2、H2、CxHy(其中X典型地小於3 及y典型地小於8)、CF4及NF3,選擇可與上述含函素及 氧之電漿來源氣體相容之添加物。諸如氦、氖、氬、氪 及氙之鈍氣亦可被用作為添加物。 典型地,使用包含氯/氧的電漿來蝕刻該低k介電材 料之蝕刻4至少約為 8000埃/每分鐘。一鹵素:氧的原 子比例在1 : 1 0至5 : 1範圍内之蝕刻速率視其它電漿處 理參數而異。最好是,相對於相鄰諸如如SiN4之含氮材 料層或一諸如Si02之相鄰含氧材料層而言,該低k材料 之蝕刻選擇性至少是1 0 : 1。此蝕刻選擇性係在電漿氣體 中之鹵素:氧氣的比例隨著其它電漿處理參數而於1 : 1 0 至5 : 1的範圍内變化時所獲得的。 通常,該低k介電材料係使用一有圖案覆蓋在上面 _第10苜_ 本纸張尺度適用中國國家標準((:>^)八4規格(21〇/< 297公漦) (請先閲讀背面之注意事項再填寫本頁)
oy3764 、 發明説明( 之,,硬質,,遮罩來進行構圖,該遮罩包含一像疋,化夕二 二氧化矽的材料。在該硬質遮華上的圖案通系田 , 誇柄V八齋材料是值 光&劑來產生。在某些例子中’以- , 勺各 -硬質遮罩及一光阻劑來進行構圖。當構圖的遮罩匕 - 、#漿爽湄资體對該硬質遮 二氧化矽時,可使用一含氟的私水不源轧膝 ^ ^ 罩進行構圖,接下來是使用包含氯/氧的電漿來源孔=由 將該圖案傳送通過該低k介電廣°當該構_ @ ^ _ 包含氯/氧氣體:以至少1 000埃/每分鐘的速率進灯均勻1 刻(在整個晶圓表面的表面高度上的變化小^ 1Q /°或更7 ) 的材料所組成時,最好避免使用一含氟的氣體源來形成 該蝕刻電漿。 經濟部智慧財產局員工消費合作社印製 Μ式簡單說明: 第1圖為可用來實施本文所述社刻處理之電漿處理設備 的一較佳實施例的示意圖。 第2Α圖顯示苯并環丁晞(BCB)的蝕刻速率,其係為氯: 氧電漿來源氣體之體積(流率)比函數。 弟2 Β圖顯示以B C Β進行基材表面钱刻時之非均勻性, 其係為氯··氧電漿來源氣體流率比例之函數。 第3Α圖係以射頻(RF)偏壓能量的函數來顯示該BCB的 名虫刻速率。 第SB ®係、以射頻(RF)偏壓能量的函數來顯示@ BCB的 基材表面蝕刻非均勾性。 第4A圖係以射頻(RF)來;^姅旦AA 7 ^ 、;木,原月匕里的函數來顯示該BCB的 _1ϋΜ 本紙張尺度適用中國國家標準(CNS ) Λ4規格(21〇、χ 297公慶) (請先閱讀背面之注意事項再填寫本頁)、
593764 五、發明説明( 触刻速率 第4B圖係以射頻(RF)來源能量的、 、 教木顯7F該B C B的 基材表面触刻非均句性。 第5圖顯示一經過氯對氧的比例太低之 氯/氧電漿源氣體 經濟部智慧財產局員工消費合作社印製 蚀刻的BCB表面的三卷其u 准基材表面。(雖然BCB的 表現並非很恰當’但此電靖决 包水;^源氧體對於某些不 含矽的低k介電材料亦作用的很好) 第6A及6B圖分別顯示一經過翕斟备^ - 幻虱對虱的比例較佳(雖然在 该處理反應i的幫浦側的蝕刻率稍慢一些)之氯/ 氧電漿源氣體姓刻的BCB表面的三維基材表面。 第7A至7C圖以SILK低k介電材料為不同蝕刻處理變 數的函數的方式來顯示蝕刻率及一晶圓表面之姓 刻率非均勻性。 第7D至7F圖以不同蝕刻處理變數的函數的方式來顯示 在二氧化碎上之SIL K低k介電材料的姓刻率選擇 性。 第8A至8C圖以FLARE 2.0低k介電材料為不同蝕刻處 理變數的函數的方式來顯示蝕刻率及一晶圓表面 之蝕刻率非均勻性。 第8 D至8 F圖以不同蝕刻處理變數的函數的方式來顯示 在二氧化矽上之FLARE 2.0低k介電材料的蝕刻 率選擇性。 第9A至9C圖顯示一 BCB基材及經歷該BCB基材的圖 案蝕刻中之一系列的處理步驟之蝕刻疊層(etch 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 訂 593764 A7 B7 五、發明説明Γ stack)進行的情形。 第10A圖顯示在該晶圓中心之BCB 0.25微米密集的渠道 之圖案蝕刻的顯微照像。 第10B圖顯示在該晶圓中心之BCB 0.25微米孤立的渠道 之圖案蝕刻的顯微照像。
頁 第1 1圖顯示在該晶圓中心之FPI 0.25微米密集的渠道之 圖案蝕刻的顯微照像。 圖號對照說明: 10 處理室 12 電感線圈天線段 14 基材 16 基材支撐盤(陰極) 18,22 射頻能量產生器 24 阻抗配接網路 30 導電室壁 34 接地極 26 入口埠 27 節流閥 發明詳細說明: 經濟部智慧財/$局P'工消費合作社印製 所揭示的是一種用來蝕刻低k材料的方法,特別是 指聚合物為底的低k材料。該方法使用電漿蝕刻,其中 該電漿的蝕刻物質包含氯及氧。該電漿來源氣體可包含 用來改善該低k介電質相對於一相鄰材料的蝕刻選擇性 或用來提供較佳的蝕刻外形。最好是,使用包含氯/氧的 電漿來蝕刻該低k介電材料之蝕刻率至少約為8000埃/ 每分鐘。最好是,該低k材料相對於相鄰含氮材料層之 蝕刻選擇性至少是1 〇 : 1,且該低k材料相對於一相鄰含 第13頁 本纸張尺度適用中國國家標準(CNS ) Λ4規格(210X 297公慶) 593764 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明説明(> ) 氧材料層之蝕刻選擇性至少是1 0 : 1。 雖然用來蝕刻本文所述較佳實施例材料之設備為一 非輕合的電漿源 Centura® Integrated Processing System,但亦可使 用其它種類的蝕刻處理設備,例如藉由電感耦合或電容 耦合或諧襯鶴合,或其的組合之電漿蚀刻處理設備。某 些較佳實施例的例子包括:具有一位在該基材表面上之 整合裝置之處理室,該裝置有助於經由電感韓合來產生 電漿;具有由τ遠距生成之電漿來源的處理室;一處理 室,其具有一位在該室外、有助於經由電感耦合來產生 電漿之裝置;及一使用平行板裝置之處理室,該裝置有 助於經由電容耦合來產生電漿。 I.定義 在進行詳細說明之前,需知在此說明書及申請專 範圍中單數形式的’’一”及,,該,,包含了複數的事物,除 其明確地表示只有一個。因此’,,一半導體,,的稱呼係 括了多種6知具有半導體行為特性之不同材料,,,—導 材料”的稱呼包括1呂、自、白金、銀ϋ及其,且人 合金之金1,及料上述應用而言為適當的其 料。 ^ 對於本發明說明特別重要的特殊術語定義 在本文中所用之“非轉合的(dec〇upied)電裝 或’’DPS”係指對於主要用來. ^ 受用來控制電漿密度(來源 該電感耦合的射頻能量及主I 十t b ^ j 及王要用來控制該基材表面離 本纸張尺度適用中國國家標準(CNS ) (請先閱讀背面之注意事項再填寫本頁)
經濟部智慧財產局員工消費合作社印製 593764 A7 B7 _ 五、發明説明(’) 轟擊能量之偏壓能量而言具有獨立的控制之電漿蝕刻設 備。 “特徵”一詞係指在一基材上的金屬線及開孔,及構 成基材表面的拓僕之其它結構。特徵尺吋通常是指在該 晶圓上最小特徵之尺17寸。 ‘‘離子轟擊”一詞係指用離子與一表面撞擊。該等離 子係藉由曝露在電漿下之該表面附近的電場作用而朝向 該表面加速: “低k介電質”一詞係指具有低於二氧化矽的k值 (k = 4.0)之任何材料。 “聚合物為底之低k介電質”一詞係指具有至少80% 重量百分比之聚合物成分之低k介電質。 “電漿”一詞係指一被部分離子化的氣體,其包含了 數目相等之正電荷及負電荷,及某些數量之非離子化的 氣體粒子。 “選擇性”一詞係指 a)兩種材料之間的蝕刻比例;及 b)當一種衧料的蝕刻率與另一種材料相比較被提高時, 在蝕刻期間所達成的一個狀況。 “來源能量”一詞係指藉由提供該能源的一主要部分 來將該室中之中性物質離子化以保持該電漿能量。 4‘疊層”或” li刻疊層”一詞係指一層一層地被沉積之 不同材料層的集合,其至少一部分是在一#刻處理期間 被触刻。 “基材” 一詞係指包括半導體材料,玻璃,陶瓷,聚 ___第15頁_ 本紙張尺度適用中國國家標準(CNS ) Λ4規格(:210X 297公f ) (請先閱讀背面之注意事項再填寫本頁)
593764 經濟部智慧財產局員工消費合作社印製 Α7 Β7 五、發明説明(# ) 合材料’及其它被使用於半導體工業中之材料。 ‘‘垂直外形”一詞係指一特徵外形,其中該特徵的一 剖面具有與該特徵所在之表面垂直的側壁。或者,一,,正 外形”是該特徵的剖面之在該特徵所在的表面上之寬产 大於與該表面離一段距離處之寬度。 II·實施本發明的設備 本文中所述該蝕刻方法的較佳實施例是用設在美國 加州 Santa Claim 市的 Applied Materials 公司所出售之 Cemura@ Integrated Processing System來實施。該系統被顯示及說明於美 國專利第5,1 8 6,7 1 8號中,該案的揭示内容藉由此參照而 被併於本文中。雖然用於本文例子中之钱刻處理室被示 意地示於第1圖中,但任何此工業之蝕刻處理室,經調 整某些處理參數後,都可使用本文所述之化學物質。第i 圖所示設備包括屬於Yan Ye等人於1 996年五月7日在第 十一屆 International Symposium of Plasma Processing 會議中所描述的 及在 Electrochemical Society Proceedings, Volume 96-12, pp.222-233 (1996) 所發表之#耦合的電漿源(DPS)。該電漿處理室能夠處理 一直徑8英吋(200mm)的矽基材表面。 如第1A圖中所示,該處理室10被建構成包括一電 感線圈天線段1 2,其位在該蝕刻處理室1 0的外面且連接 至一射頻(RF)能量產生器18(具有一可在2MHZ附近作調 整之頻率用以在不同的電漿條件之下作阻抗地配接之來 源能量產生器)。一基材1 4支撐盤1 6(陰極)設在該處理 室内部其經由一阻抗配接網路24連接至一 RF能量產生 - -_____第16 頁______— 本纸張尺度適用中國國家標準(CNS ) Α4規格(210/ 297公釐) (請先閱讀背面之注意事項再填寫本頁)
593764 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明説明() 器22(頻率固定在1356Mhz之偏壓能量產生器)’及一 導電的室壁3 0亦在該室的内部,其作為該偏移偏壓的電 子地極3 4,該偏壓為供應至該基材支撐盤1 6上之RF能 量累積於該基材1 4上的結果。 半導體基材14被置於該支撐盤16上且氣體的成分 經由入口埠26被供應至該處理室中。一電漿是藉由施加 RF能量1 8及22而於該處理室1 〇中被激勵。在該蝕刻 處理室10中」之:壓力是使用一真空幫浦(未示出)及一位在 該處理室1 0與該幫浦之間的節流閥27來控制。在蝕刻 1:壁的表面上之溫度是用内含液體的導管(未示出)來控 制,該導管是位在蝕刻室1 〇的壁内。半導體基材的溫度 是藉由穩足薇支撐托盤的溫度及讓氦氣體流經由基材背 側與在該托盤1 6的表面上之溝槽(未示出)所形成的通道 來加以控制的。該氦氣體是被用來促進基材與托盤之間 的熱傳遞。在蝕刻處理期間,該基材表面被電漿逐漸地 加熱至一穩態溫度,其是高於基材支撐盤之溫度的25_4〇 C ’孩溫度是視處理條件而定。在吾人的實驗期間,基 材表面溫度典型地約在75t:附近。蝕刻室壁的表面係使 用先前所述之冷卻導管而被維持在約8 〇 t。 III·低κ介電材料之姓刻的例子 一特定的電漿蚀刻化學物已被展示用以提供在數個 低k材料蝕刻上的優點。特徵尺寸在〇 25微米的一般蝕 刻(回餘刻技術的展示)及圖案蝕刻已被展示。該蝕刻化學 物是根據使用氯及氧電漿的組合。有希望的蝕刻率,相 ___第17頁 本紙張尺度適用中ii家標準(CNS ) Λ4規格(210X 297公t ~ --- (請先閱讀背面之注意事項再填寫本頁)
593764 A7 ____ _B7__ 五、發明説明(^ ) (請先閱讀背面之注意事項再填寫本頁) 對於相鄰的材料之蝕刻選擇性,及絕佳的蝕刻外形被獲 得。如先前所述的,該蝕刻處理是在一 2〇〇mmDps Centura® Metal Etch系統中實施的。陰極的溫度被設定在3 〇。匸,這是 在一蝕刻基材表面溫度為75ΐ的結果。基材溫度是藉由 在基材的背側使用氦氣作為熱傳遞媒介而被維持,介於 陰極與該基材背側之間之該氦氣的壓力約為7托耳 (Torr) 〇 四種低:k、介質材料被詳細的評估:苯并環丁烯 (BCB)’其可從位在美國密西根州Midland市之Dow Chemical 公司的CYCLOTENETM產品中獲得’且包含約5 %原子百分比 的石夕’ SILKMTM ’其可從Dow Chemical公司獲得,且其與BCB 非常相似,但不包含矽;FLARE 2.0TM,其可從位在美國加 州 Sunnyvale 市的 Allied Signal Advanced Microelectronic Materials 公司 .4. 取得,其為一專利材料且不論其名稱為何,其並不包含 氟;及氟化的聚合物,FPI-13 6M,其可由位在美國德拉 瓦州威明頓市的杜邦公司獲得。後兩種材料不包含矽。 經濟部智慧財產局員工消費合作社印製 雖然 feCB,SILKMTM,FLARE 2·0ΤΜ,及 FPI 在本文中被 詳細討論,但仍有許多其它的低k介電材料其在依據本 發明的方法使用本文中所述的蝕刻化學物被蝕刻時會以 相似的方式表現。這些其它低k介電材料包括聚(亞芳香 基)醚;聚(亞芳香基)醚呤唑;氟化聚(亞芳香基)醚 (FLARE);聚對二甲苯基-N ;聚對二甲苯基-F ;聚對二 甲苯基-AF ;聚對二甲苯基-AF4 ;聚醯亞胺;聚蓁-N ; 聚蓁-F ;全氟環丁烯(PFCB);聚四氣乙烯(PTFE);聚苯 _____第順___ 本纸張尺度適用中國國家標準(CNS ) Λ4規格(210X 297公漦) "~ 經濟部智慧財產局員工消費合作社印製 第19頁 W3764
、發明説明( 基-對氮雜蓁(PPQ);聚苯幷呤嗅;聚氫化茚;聚原冰片 缔’永表乙稀',聚亞苯氧化物;聚乙晞;聚丙婦;及其 類似物質。 该四個被詳細的評估之低^介電材料係如在一熱氧 化基材上的單一層般地被蝕刻。該介電材料的厚度約為 10,000 埃。 實施例1 j : 在適¥姓刻化學物(亦即,内容適當、可用來姓刻 BCB之電瘦來源氣體)之研發過程中,將已知最會影響蝕 刻速千之其它處理參數保持恆定。電漿來源氣體的總流 率被保持在約l〇〇sccm。該射頻電漿能量源約為1200 瓦。偏壓能量約為200瓦。處理室壓力約為12mT及基材 支撐盤(陰極)溫度被保持在約3〇。〇。蝕刻時間約為3〇 秒。 下面表1顯示姓刻速率的結果,及在肖BCB基材表 面上之㈣率的均勾性’其為該電漿來源氣體成分的函 數0 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公犛 (請先閱讀背面之注意事項再填寫本頁)
經濟部智慧財產局員工消費合作社印製 593764 A7 B7 五、發明説明(’) 表1 C12 (seem) 〇2 (seem) n2 (seem) chf3 (seem) 餘刻率 (埃/每分鐘) 1 a (%) 60 40 6700 4.57 60 25 15 6000 3.92 60 25 15 6000 4.16 60 40 700 4.52 :8 0: 20 400 7.68 表1之資料清楚地顯示BCB之最佳蝕刻率性能結果 是在只有氯或只有氧被作為電漿來源氣體時所得到的。 添加N2及CHF3會稍微降低蝕刻率,但可明顯改善基材 表面上之蝕刻率均勻性。將少量N2或<:11?3添加至(:12/02 來源氣體中將有助於在蝕刻圖案基材期間對於外形及關 鍵尺忖的控制。 由N2/〇2化學物所獲得的蝕刻速率相當低。這是一 令人相當驚訝的結果,因為使用此化學物之介電質蝕刻 的結果相當好。進一步研究後,認為使用n2/ 02化學物 所獲得之良好結果實際上是因為處理室中含氟的緣故。 該氟是從先前用於硬質遮罩開孔處理步驟中所留下來的 殘餘氟。 實施例2
根據表1中之資料,設計出一 L4正交矩陣以便由關 鍵處理參數的變化結果來尋找趨勢。詳言之,第2A、3 A ___第20頁_ 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X 297公釐) (請先閱讀背面之注意事項再填寫本頁)
593764 A7 B7 五、發明説明(/ ) 及4A圖分別顯示電漿來源氣體中之Ci2 :〇2體積比例的 改變對於BCB飯刻率的影響;施加至該基材支撐盤之射 頻偏壓能量的改變對於蝕刻率的影響;及施加至該電漿 之射頻來源能量的改變對於蝕刻率的影響。相對應的第 2B、3B及4B圖分別顯示bcb蝕刻率的非均勻性,其為 相同處理變數的函數。 參照第2A及2B圖:第2A圖的曲線202顯示BCB 的#刻率’其為在電漿來源氣體中之Cl2 : 〇2的體積比 例的函數。蝕刻率是以埃/每分鐘被示刻度2〇4上,及 C12 · Ο2的體積比例被示於刻度2 〇 8上。很明顯地,當該 比例提A時,蝕刻率即會下降。此外,如將於稍後被詳 細討論的,Ch : ο]的體積比例必需加以小心的平衡用以 讓B C B相對於相鄰的材料,如基材蝕刻停止層及阻障 層,的蝕刻率最佳化。 經濟部智慧財產局員工消費合作社印製 ----- (請先閱讀背面之注意事項再填寫本頁)、 在該基材表面上之蝕刻率的均勻性上之變化被顯示 於第2B圖中。刻度2 1 4顯示經過預蝕刻的及經過後蝕刻 的晶圓之簡在厚度差異上之1 σ差(根據49點測量的標準 差)的%。將該姓刻率均勻性的差異保持在最小是所想要 的。工業標準典型地要求此差異在約5 %以下。 參照第3 Α及3 Β圖:第3 Α圖的曲線2 3 2顯示B C Β 的姓刻率’其為施加至該基材支撐盤之射頻偏壓能量的 的函數。蝕刻率是以埃/每分鐘被示刻度2 3 4上,及該偏 壓能量被示於刻度236上。很明顯地,在所顯示之範圍 内之偏壓能量的增加對於BCB蝕刻率具有非常顯著的影 _______ 第21 百 本紙張尺度適用中國國家標準(CNS )八4規格(加:/—297公— -- 593764 經濟部智慧財產苟員工消費合作社印製 Α7 Β7 五、發明説明() 響。在所評估的酸項變數中,偏壓能量對於蝕刻率的影 響最為顯著。在B CB基材上之蝕刻率均勻性的變化以第 3B圖的曲線來表不’其為偏壓能賓的函數。在經過預姓 刻的及經過後蝕刻的晶圓之間之在厚度差異上之% 1 σ差 異被示於刻度244上,而偏壓能量則被示於刻度246上。 偏壓能量從150瓦增加至250瓦之變化獲得了從4%提高 至約5.6 %之基材表面之触刻均勻性。 參照第夂Α ’及4 Β圖··第4 Α圖的曲線2 5 2顯示B C Β 的蝕刻率’其為施加至該電漿之射頻來源能量的的函 數。蝕刻率是以埃/每分鐘被示刻度2 5 4上,及該來源能 量被示於刻度2 5 6上。很明顯地,在所顯示的範圍内之 來源能量的增加對於BCB蝕刻率具有顯著的影響。蝕刻 率均勻性的變化是以射頻來源能量的含函數的方式被示 於第4B圖中。在經過預蝕刻的及經過後蚀刻的晶圓之間 之在厚度差異上之% icr差異被示於刻度264上,而來源 能量則被示於刻度2 5 6上。很明顯地,在所顯示的範圍 内之來源邊量的增加可顯著地提高BCB蝕刻率。在經過 預蝕刻的及經過後蝕刻的晶圓之間之在厚度差異上之% 1 σ差異被示於刻度2 6 4上,而來源能量則被示於刻度2 6 6 上。在所顯示的範圍内之來源能量的增加,從8 0 0瓦至 1 6 0 0瓦,獲得了從4.2 %提高至約5 · 4 °/。之基材表面之蝕 刻均勻性。 實施例3 ______ 第22 頁 木纸張尺度適用中國國家標準(CNS ) Λ4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁)
593764 A7 B7 i、發明説明() 有鑑於從C 12 : 0 2的體積比例增加超過1 : 1 (5 〇 s c c m 的Ch及5 0sccm的〇2)會造成BCB蝕刻率的降低之觀察 結果’吾人決定對一低的Cl2 : 的體積比例作研究。 吾人坪估C 12 .〇2的比例為〇 7 : 1.0 (7 0 s c c m的C12及 lOOsccm的〇2)。然而,吾人發現,當一充分富含氧的電 漿來源氣體被使用時’該經過蝕刻的表面之拓樸學會變 得無法被接受。第5圖顯示三維空間之經過蝕刻的bcB 表面。該% 1-σ差異為3 3.6%。該經過蝕刻之表面的一詳 細的檢驗顯示姓刻並沒有在該BCB表面上的相同位置發 生。其被認為氧氣與在該BCB膜層中之矽起反應而形成 一會讓該蝕刻處理題停止的氧化物硬質遮罩。 實施例4 根據上面富含氧之電漿會造成BCB蝕刻的問題之檢 驗結果’因此決定對於使用c 12 : 〇 2的比例為丨:1之B C Β 相對於其它材料的選擇性加以評估。第及6B圖顯示 二維$間£經過蝕刻的BCB表面,其是使用Cl2 : 02的 比例為1 : 1 (60sccm的Cl2及60sccm的02)來加以蝕刻。 其Έ:的處理參數係如下所列:來源能量為1 2〇〇瓦;偏壓 能量為3 5 0瓦;處理容器壓力為丨2rnT ;在該基材的背側 上(氛氣熱傳遞壓力為7t;支撐該BCB基材之陰極的溫 度約為30°C。飯刻時間約4〇秒。該1 σ差異的%被示於 第6 Α及6 Β圖中之蝕刻表面外形的左側的刻度上。在處 理1:氣體況模式上的調整導致在蝕刻均勾性上之改善被 本纸張尺度適财關家標準(CNS ) Λ«Γ^210 χ 2975^ (請先閱讀背面之注意事項再填寫本頁)
經濟部智慧財產局員工消費合作社印製 經濟舞智。«財產局揚工^1費合作社印». 593764 A7 B7 五、發明説明() 示於第6A及6B圖之間。 此蝕刻化學物不僅就其對於蝕刻表面均勾性的影響 家以評估,更就其對於蝕刻率及B C B與其它熱沉積的二 氧化矽,氮化矽,及I線光阻之間的蝕刻選擇性的影響 加以評估。此資料被示於表2中。 表2 基材: 名虫刻率 (埃/每分鐘) 1 σ (%) BCB 9200 4.49 氧化物 220 6.22 氮化物 680 11.12 I-線光阻 7900 2.67 使用此蝕刻化學物,吾人同時達成一高B C B蝕刻率 及一相對於二氧化矽及氮化矽之高BCB選擇性。應被注 意的是,該蝕刻速率圖案被彎曲,其在該處理室的幫浦 埠處較低。一硬體的修改被進行以解決此缺點。 實施例5 為了要改善BCB對於氮的選擇性,及蝕刻均勻性, 所以處理室壓力被提高至1 4mT。因為在室壓力的升高被 觀察到對於B C B蝕刻率有負面的衝擊,所以射頻偏壓能 量從 3 5 0瓦被提高至 4 5 0瓦用以將離子更強力地朝向 BCB基材吸引。其它的處理變數被保持在上文中給定的 ______第 24 頁_ 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁)
593764 A7 B7 五 、發明説明( 數值’參照表3。此在處理參數上之改m 於表3中。 、以又的性能資料被示
BCB 8700 3.75 改善 氧化物 氮化杨 220 160 5.12 13.84 I-線光阻 7900 ^~~' ~ ---L-— ----- 〇 · 2 6 BCB相對於氮化矽(氮化物)的選擇性如所預期地 被 經濟部智慧財產局員工浈費合作社印製 根據在独刻BCB中的經驗’兩種額外之低k介電材 料被蝕刻。這兩個材料為SILK及FLARE 2 0。 實施例6 第7A$至7F圖顯示SILK低k介電材料的蝕刻資料。 詳言又,第7A,7B及7C圖顯示分別顯示電漿來源氣體 中之Ch :〇2的體積比例的改變對於sILK的蝕刻率的影 響,施加至該基材支撐盤之射頻偏壓能量的改變對於蝕 刻率的影響;及施加至該電漿之射頻來源能量的改變對 於触刻率的影響。相對應的第,7E及7F圖分別顯示 SIL K |虫刻率的非均勻性,其為相同處理變數的函數。 參照第7A及7D圖:第7A圖的曲線702顯示SILK 的蚀刻率’其為在電漿來源氣體中之Cl2 : ο:的體積比 第25苜 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210 X.297公趁 (請先閱讀背面之注意事項再填寫本頁)、
經濟部智慧財產局員工消費合作社印製 593764 A7 __B7_ 五、發明説明(-) 例的函數。姓刻率是以埃/每分鐘被示刻度7 0 6上,及 C12 : 〇2的體積比例被示於刻度708上。第7A圖中之曲 線704顯示1 (J差異的%,其為Cl2 : 02的體積比例的函 數’且1 σ差異的%被示於刻度7 1 0上。很明顯地,當該 比例於所顯示的範圍内被提高時,蝕刻率及1 σ差異這兩 者都會下降。第7D圖的曲線712顯示SILK的選擇性比 例在有存在二氧化矽的情形下為較佳,其為C12 : 〇2的 比例的函數^且選擇性被顯示於刻度7 1 4上及Cl2 : 02 的比例被顯示於刻度7 1 6上。在Cl2 : 〇2之被顯示的比 例範圍之内,該選擇性從95 : 1(SILK:二氧化矽)降至 3 0: 1 〇
參照第7B及第7E圖:第7B圖的曲線722顯示SILK 的餘刻率,其為施加至基材支撐盤(陰極)的射頻偏壓能量 的函數。蝕刻率是以埃/每分鐘被示刻度726上,及射頻 偏壓能量被示於刻度728上。第7B圖中之曲線724顯示 1 σ差異的%,其為射頻偏壓能量的函數,且1 σ差異的% 被示於刻產7 3 0上。很明顯地,當射頻偏壓能量於所顯 示的範圍内被提高時,蝕刻率及1 σ差異這兩者都會上 升。第7Ε圖的曲線732顯示SILK的選擇性比例在有存 在二氧化碎的情形下為較佳,其為射頻偏壓能量的函 數’且選擇性被顯示於刻度734上及射頻偏壓能量被顯 不於刻度73 6上。在射頻偏壓能量之被顯示的比例範園 之内’該選擇性從75 : 1(SILK:二氧化矽)降至55 : i。 參照第7C及第7F圖:第7C圖的曲線742顯示SILK 本纸張尺度適用中國國家標準(CNS ) 21〔):^7讀) (請先閱讀背面之注意事項再填寫本頁)
593764 A7 B7 五、發明説明( 的蝕刻率’其為射頻電漿源能量的函數。蝕刻 卞疋以埃/ 每分鐘被示刻度746上,及射頻電漿源能量被示於刻户 748上。第7C圖中之曲線744顯示! σ差異的%,其為 射頻電漿源能量的函數,且i σ差異的%被示於刻度 上。很明顯地,當射頻電漿源能量於所顯示的範園内被 提南時’蚀刻率及1(7差異這兩者都會上升。第 不/ h圖的 曲線752顯示SILK的選擇性比例在有存在二备 一虱化矽的情 形下為較佳j,其為射頻電漿源能量的函數,且 選擇性被 顯示於刻度754上及射頻電漿源能量被顯示於刻度 上。在射頻電漿源能量之被顯示的比例範圍之、 門,遠選 擇性被大致保持固定。 (請先閱讀背面之注意事項再填寫本頁}
經濟部智慧財產局Μ工消費合作社印製 實施例7 第圖顯示FLARROU介電材料的Μ 資料。詳言之,第8Α,8…C圖顯示分別顯示電聚來 源氣體…丨2 : 〇2的體積比例的改變對於flare2 〇的 蚀刻率“響;施加至該基材支撑盤之射頻偏壓能量的 改變對Μ刻率的影響;及施加至該電漿之射頻來源能 量的改變對於触刻率的影響。相對應的第8D,8Ε及8F 圖分別顯# FLARE2.G㈣率的非均勾性,其為相同處理 變數的函數。 參照第8A及8D圖:第8a圖的曲線8〇2顯示 FLARE2.0的蝕刻_,其為在電漿來源氣體中之ch: 〇2 的體積比例的函數。蝕刻率是以埃/每分鐘被示刻度8〇6 _第27苜 本紙張尺度適用中國國家標隼(CNS ) Λ4規格(210X 297公螯
|^nn ^ϋ· I
經濟部智慧財4局員工消費合作社印製 593764 A7 B7 五、發明説明(’) 上,及Cl2 : 02的體積比例被示於刻度808上。第8A圖 中之曲線8 0 4顯示1 (J差異的%,其為C12 : 0 2的體積比 例的函數,且1 σ差異的%被示於刻度8 1 0上。很明顯地, 當該比例於所顯示的範圍内被提高時,蝕刻率及1 σ差異 這兩者都會下降。第8D圖的曲線812顯示FLARE2.0的 選擇性比例在有存在二氧化矽的情形下為較佳,其為 Cl2 : 〇2的比例的函數,且選擇性被顯示於刻度8 1 4上及 Cl2 : 02的比j’例被顯示於刻度816上。在Cl2 : 02之被顯 示的比例範圍之内,該選擇性從125 : 1(FLARE2.0 :二 氧化矽)降至3 0 : 1。 參照第8B及第8E圖:第8B圖的曲線722顯示 FLARE2.0的蝕刻率,其為施加至基材支撐盤(陰極)的射 頻偏壓能量的函數。蝕刻率是以埃/每分鐘被示刻度826 上’及射頻偏壓能量被示於刻度828上。第8B圖中之曲 線824顯示1 σ差異的%,其為射頻偏壓能量的函數,且 1 σ差異的%被示於刻度83 0上。很明顯地,當射頻偏壓 能量於所_示的範圍内被提高時,姓刻率及1 σ差異這兩 者都會上升。第8Ε圖的曲線832顯示FLARE2.0的選擇 性比例在有存在二氧化矽的情形下為較佳,其為射頻偏 塾能量的函數,且選擇性被顯示於刻度734上及射頻偏 壓能量被顯示於刻度8 3 6上。在射頻偏壓能量之被顯示 的比例範圍之内,該選擇性只稍微地下降。 參照第8C及第8F圖:第8C圖的曲線842顯示 FLARE2.0的蝕刻率,其為射頻電漿源能量的函數。蝕刻 -----.,_ ___第 28 頁— 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X 297公H --_ (請先閱讀背面之注意事項再填寫本頁)、 ,ιτ °^764 °^764 經濟部智慧財產局員工消費合作社印製 A7 ^^-__________ B7 _ 五、發明説明(’) 午是以埃/每分鐘被示刻度846上,及射頻電漿源能量被 示於刻度848上。第8C圖中之曲線844顯示1σ差異的 /〇 ’其為射頻電漿源能量的函數,且1 σ差異的%被示於 到度8 5 0上。很明顯地,當射頻電漿源能量於所顯示的 範圍内被提高時,触刻率及1 σ差異這雨者都會上升。第 7F圖的曲線852顯不FLARE2.0的選擇性比例在有存在 —氧化碎的情形下為較佳’其為射頻電漿源能量的函 數’且選擇检被顯tf於刻度8 5 4上及射頻電漿源能量被 顯不於刻度8 5 6上。在射頻電漿源能量之被顯示的比例 fe圍之内’該選擇性從65 : l(FLARE2.0 :二氧化矽)上升 至 90 : 1。 貫施例8 以上所呈現的資料為在一熱氧化物基材表面上之單 一層的BCB,或SILK ’或FLARE2.0。接下來的步騾是 評估一低k介電層表面之圖案蝕刻。 , 第9A*至9C圖一 BCB基材及經歷該BCB基材的圖 案蝕刻中之一系列的處理步驟之蝕刻疊層(etch stack)進行 的情形。參照第9 A圖,在一基材上之蝕刻疊層被以結構 900 0來表示。底下的基材9〇2為一矽晶圓。該蝕刻疊層 包括一 0.8微米後之有圖案的光阻層912。該圖案為一系 列的渠道,一渠道的特徵尺吋約為〇. 2 5微米。在該光阻 層9 1 2底下的是一 〇. 〇 7微米厚的以有機物為底的抗反射 塗層(ARC)910。在ARC 910底下的是〇·1微米厚的二氧 _____ 箪 29W ____ 本紙張尺度適用中國國家標準(CNS ) A4規格(210 ,< 297公釐) (請先閱讀背面之注意事項再填寫本頁)
593764 經濟部智慧財產局員工消費合作社印製 A7 B7 1、發明説明厂) 化碎硬質遮罩材料 90S。在該氧化物硬質遮罩材料908 底下的是0.1微米厚的BCB層906。在BCB層906底下 的是0.5微米厚的TEOS層904。在TEOS層904底下的 是矽晶圓基材902。因為ARC層710及氧化物硬質遮罩 層708還未被開洞,所以一 CHFs/Ch基的電漿源氣體化 學物被用來蝕刻穿透該二層,產生第9B圖所示的結構。 該電漿蝕刻條件如下所列:50sccm的CHF3及30sccm的 Ch ;來源能-量:1 400瓦;偏壓能量100瓦;處理室壓力 1 OmT ;對於基材背側之氦氣背側壓力為7Torr ;陰極溫 度3 0 °C ;及蝕刻時間約為6 5秒。 第9b圖顯示在CHF^Ch電漿蝕刻後之BCB基材 906,其中層疊於氧化物硬質遮罩層908層及ARC層910 上之諸層被形成圖案。 接下來,該BCB層使用本發明之蝕刻化學物加以蝕 刻。該特定的蝕刻條件如下所列:60sccm 的 Cl2及 60sccm的〇2;來源能量1200瓦;偏壓能量350瓦;處 理室壓力1 1 OmT ;對於基材背側之氦氣背側壓力為 7Torr ;陰極溫度3 0°C ;及蝕刻時間約為60秒。所得到 的結構被示於第9C圖中,其中有圖案的BCB層疊在由 該碎晶圓所支撐著的TEOS層904上。 第1 0A圖為經過蝕刻之BCB層的一顯微照像圖,其 詳細地顯示被刻以圖案之BCB層之位在晶圓中心附近之 密集的渠道的蝕刻外形。在第1 〇 A圖中,經過蝕刻的b c B 被標以1 006及底下的基材TEOS被標以1 004。垂直的外 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X2Q7公廢) (請先閱讀背面之注意事項再填寫本頁)
經濟部智慧財產局Μ工消費合作社印製 593764 A7 B7 五、發明説明(/ ) 形是在一高蝕刻速率下達成的,即使是對於〇. 2 5微米特 徵尺吋而言亦然。對於一空白的B C B基材而言在該晶圓 上之蝕刻非均勻性是相同的。 第1 0B圖為經過蝕刻之BCB層的一顯微照像圖,其 詳細地顯示被刻以圖案之BCB層之一位在晶圓中心附近 之被獨立的渠道的蝕刻外形。再次地,在第1 0B圖中, 經過蝕刻的BCB被標以1 006及底下的基材TEOS被標以 1 0 0 4。一絕佳的垂直外形被達成。 密集的渠道圖案及獨立的渠道之不同的蝕刻速率及 微負載(V -負載)被示於下面的表4中。 表4 位置 密集的渠道姓刻速率 獨立的渠道蝕刻率(埃 V -負載 (埃/每分鐘) /每分鐘) (%) 上 73 00 8200 1 1.6 中心 75 00 8 3 00 10.1 底 ^ 8200 8800 7.1 左 7800 8400 7.4 右 7700 8400 8.7 Max- 12 7.2 平均9.0 Min(%) -負載表示在一獨立的渠道與一密集的渠道之間 的银刻速率差異。 1^&\-^1丨11(%) = 2\[(最大姓刻速率-最小蚀刻速率)/ _______第 31 苜_ 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公漦) (請先閱讀背面之注意事項再填寫本頁)、
^93764 A7 B7
經濟部智慧財產局員工消費合作社印製 (最大蝕刻速率+最小蝕刻速率)]X 1 Ο Ο 其中該等蝕刻速率是跨越該晶圓被加以測量的。 實施例9 · 在例8中被刻以圖案的B C Β含有約5 %重量的石夕 為了要在一不具有矽成分之以有機物為底的低k介如# 上測試本發明之蝕刻化學物,吾人蝕刻一 #仆 4 鼠化的齟合物 低k介電質。:該蝕刻疊層基本上與例8中所使用的相同, 且姓刻步驟亦相同。該ARC層及氧化物硬質遮罩層使用 下列的蝕刻化學物及處理條件加以蝕刻:50sccm的 CHF3及30sccm的C12 ;來源能量1400瓦;偏塾能量1〇0 瓦,處理1:壓力1 〇mT ;對於基材背側之氦氣背側壓力 為7Tonr;陰極溫度30°C ;及蝕刻時間約為67秒。 接下來該FPI層使用本發明之蝕刻化學物加以蝕 刻。該特定的蝕刻條件如下所列:6〇sccm的Cl2及 6〇SCCm的〇2;來源能量1 200瓦;偏壓能量35〇瓦;處 理室壓力t 1 OmT ;對於基材背側之氦氣背側壓力為 7丁〇rr ;陰極溫度3(TC ;及蝕刻時間約為50秒。 第1 1圖為經過蝕刻之F PI層的一顯微照像圖,其詳 細地顯示被刻以圖案之FPI層之位在晶圓中心附近之密 集的渠道的蝕刻外形。該經過蝕刻的FPI被標以1 〇 1 6及 底下的基材Τ Ε Ο S被標以1 〇 1 4。垂直的外形是在一高蚀 刻速率下達成的’即使是對於〇.25微米特徵尺吋而言亦 然。FPI的蚀刻速率比BCB快,很顯然是因為FPI較不 ________第 32 百 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X 297^^7 --- (請先閲讀背面之注意事項再填寫本頁)、 訂---- 593764
7 B 五 明説 明發 明 發 本 制 限 來 用 要 是 不 並 例 施 實 佳 較 之 述 。 描 係所 關面 的上 密 緻 佳.的 較明 等發 該本 將之 可定 明界 說所 的圍 述 範 上利 據專 根請 者申 藝案 技本 此至 悉充 。 熟擴圍 一 以範 , 加的 圍例物 範施的 的實標 (請先閲讀背面之注意事項再填寫本頁) j1訂 經濟部智慧財產局員工消費合作社印製 頁 3 3 第 本纸張尺度適用中國國家標準(CNS ) Λ4規格(210X2W公釐)
Claims (1)
- W764 公告本 g营第顯,(^从號術(1案?0年〜月修正 六 經濟部智慧財產局員工消費合作社印製 、申請專利範圍 ι—種選擇性電漿蝕刻"rjTir低k介電材料中之方法, 以產生一結構其實質以一導電材料填充形成一導電特 c請先閱讀背面之注意事項再填寫本頁} 徵’其中該低k介電材料相對於相鄰之氧化物或氮化 物或其組合物被選擇性蝕刻,藉由與一電漿源氣體產 生之電槳接觸,該電漿源氣體包含氧及一含鹵素氣體, 其中該_素不是氟,且其中該低k介電材料相斜於相 鄰之氧化物或氮化物或其組合物的蚀刻選擇性至少 10 : 1 〇 2 ·如申請專利範圍第1項所述之方法,其中該源氣體包 含含函素氣體,其係選自由下列組成之組群中:C1 , Br2,I2,ICM,IBr,BrCM,HCn,BC13,CHC13,CH2C1, CC14, C2H3C13, C2H4C12, SiCl4, HBr,CH3Br,C2H2Br2Cl2, HI,CCl2〇,CC13N02,及其組合。 Φ 3.如申請專利範圍第1或2項所述之方法,其中該自素 為亂。 4·如申請專利範圍第2項所述之方法,其中氣體源包今 選自下列組群之含氧氣體:〇2, CO, C02, CH4〇, c2H6〇, N20,N02,03,H20 及其組合。 5 ·如申請專利範圍第1、2或4項所述之方法,其中〜添 加物與該氧及該含_素氣體一起使用,及其中該添加 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 593764 A8 B8 C8 D8 六 申請專利範圍 物少於總電漿源氣體供應的1 5 %的體積百分比。 6.如申請專利範圍第5項所述之方法,其中該添加物是 選自由下列組成之組合中:N2、H2、CxHy、CF4、NF3、 及其組合,其中x小於3及y小於8。 經濟部智慧財產局員工消費合作社印製 7.如申請專利範圍第5項所述之方法,其中該添加物是 選自由下列組成之組合中:N2、H2、CxHy、CF4、NF3、 氦、氖、氬、氪、及氙及其組合,其中x小於3及y 小於8 〇 8·如申請專利範圍第1項所述之方法,其中該低k材料 為^一聚合物為底的材料。 9 ·如申請專利範圍第8項所述之方法,其中該聚合物為 底的材料為一有機物為底的材料。 I 0 ·如申請專利範圍第1項所述之方法,其中該_素與氧 的原子比例是從1 : 2 0至2 0 ·· 1。 II ·如申請專利範圍第1 〇項所述之方法,其中該齒素與 氧的原子比例是從1 : 1 0至5 : 1。 1 2 ·如申請專利範圍第1項所述之方法,其中該電漿源氣 第35頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) n n n n ϋ n n n I I · n n n n n n ft 一^In 1 ϋ ϋ I (請先閱讀背面之注意事項再填寫本頁) 妒3764 經濟部智慧財產局員工消費合作社印剩衣 A8 B8 C8 D8 六、申請專利範圍 體包含一 1 5 °/。體積百分比或更少量之添加物,其是用 來改善低k材料相對於相鄰的材料之触刻選擇性,或 用來提供較佳的蝕刻外形,或改善關鍵尺对的控制。 1 3 ·如申請專利範圍第1 2項所述之方法,其中該添加物 改善相對於一氧化物為低k介電材料之独刻的選擇 性,該氧化物包含至少3 0%之原子百分比的氧。 14·如申請專利範圍第13項h这之方法,其中該氧化物 為一矽的氧化物。 1 5 ·如申请專利範圍第1 2項所述之方法,其中該添加物 改善相對於一氮化物為低k介電材料之蝕刻的選擇 性,該氮化物包含至少30%之原子百分比的氮。 16·如申請專利範圍第15項所述之方法,其中該氮化物 為一矽的氮化物。 I7·如申請專利範圍第!項所述之方法,其中該氧化物與 氮化物的組合物為矽的氮氧化物。 1 8.如申請專利範圍第丨或第12項所述之方法,其中該 低k介電材料不包含碎。 第36貫 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐〉 ------I I ^ ^---- I----- (請先閱讀背面之注意事項再填寫本頁) 593764 六、申請專利範圍 1 9 ·如申凊專利範圍第3項所述之方法,其中該低k介電 材料之使用包含氯及氧之電漿源氣體的蝕刻速率至少 是8000埃/每分鐘。 20·如申請專利範圍第1或第12項所述之方法,其中該 低k介電材料是從包含聚(芳烯)醚;聚(芳晞)醚膦唑 氟化聚(芳婦)醚(FLARE);聚芳烯基-N ;聚芳婦基 聚芳婦基-AF ;聚芳婦基-AF4 ;聚醯亞胺;聚唑_N 聚唾-F;全氟環丁婦(PFCB);聚四氟乙婦(pTFE);聚 苯基-對氮雜唑(PPQ);聚苯並膦唑;聚氫化噻;聚原 冰片婦;聚苯乙婦;聚亞苯氧化物;聚乙浠;聚丙 埽;α-碳化物,SILKTM及它們的組合的組群中選取的。 2 1 ·如申請專利範圍第丨或第1 2項所述之方法,其中該 低k介電材料是從包含BCB,FPI,SILK™,FLARE™ 2·0,及它們的組合的組群中選取的。 22.如申請專利範圍第1項所述之方法,其中該低k介電 材料在一晶圓表面上是以1 σ之1 〇%或更少的厚度被 均勾地姓刻。 23 · —種選擇性電漿回蝕刻低k介電材料之方法,該低k 介電材料係沉積於一圖案化導電材料之表面,以提供 導線與接觸窗之隔離,其中該低k介電材料相對於相 第37肓 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297 裝----II (請先閱讀背面之注意事項再填寫本頁) 訂Γ· ♦ 593764 ABC 經濟部智慧財產局員工消費合作社印製 -------- D8六、申請專利範圍 鄰之氧化物吱氣几。 々乳化物或其組合物被選擇性蝕刻,藉由 ;、鼠之遠漿源氣體產生之電漿接觸,該電滎:源 氣心包含氯及氧’其中該低k介電材料相對於相鄰之 氧化物或氮化物或其等之組合的蝕刻選擇性為至少 10: 1 〇 24. 如申w專利範圍第23項所述之方法,其中該源氣體 包含含氯氣體’其係選自由下列組成之組群中:Cl2, IC1’ BrC卜 HC1,BC13, CHC13, CH2C12, CC14, C2H3C13, C2H4C12,SiCl4,(:2Ι12:ΒΓ2(:12,CC120,CC13N02,及其 等之組合。 25. 如申請專利範園第23項所述之方法,其中氣體源包 含選自下列組群之含氧氣體:〇2,CO,C02,CH40, C2H60,N20,no2,03,h20 及其等之組合。 26. 如申請專利範圍第23、24或25項所述之方法,其中 一添加物與該含氧及氯氣體之不含氟氣體一起使用, 及其中該添加物少於總電漿源氣體供應的1 5 %的體積 百分比。 27. 如申請專利範圍第26項所述之方法,其中該添加物 是選自由下列組成之組合中:N2、H2、CxHy、CF4、NF3、 及其等之組合,其中x小於3及y小於8。 第38頁 (請先閱讀背面之注意事項再填寫本頁) · n n §ΜΜβ n n i —ft— · in i-ϋ I Ψ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 593764 A8 B8 C8 D8 六、申請專利範圍 (請先閱讀背面之注意事項再填寫本頁) 28. 如申請專利範圍第 26項所述之方法,其中該添加物 是選自由下列組成之組合中:N2、H2、CxHy、CF4、NF3、 氦、氖、氬、氪、及氙及其等之組合,其中x小於3 及y小於8。 29. 如申請專利範圍第23項所述之方法,其中該低k材 料為一聚合物為底的材料。 30. 如申請專利範圍第 23項所述之方法,其中該聚合物 為底的材料為一有機物為底的材料。 3 1.如申請專利範圍第23項所述之方法,其中該氯與氧 的原子比例是從1 : 20至20 : 1。 32.如申請專利範圍第31項所述之方法,其中該氯與氧 的原子比例是從1 : 1 0至5 : 1。 經濟部智慧財產局員工消費合作社印製 第39貫 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US5428598A | 1998-04-02 | 1998-04-02 |
Publications (1)
Publication Number | Publication Date |
---|---|
TW593764B true TW593764B (en) | 2004-06-21 |
Family
ID=21990005
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW088105166A TW593764B (en) | 1998-04-02 | 1999-04-12 | Method for selectively etching low k dielectrics |
Country Status (6)
Country | Link |
---|---|
US (1) | US6547977B1 (zh) |
EP (1) | EP1070346A1 (zh) |
JP (1) | JP2002510878A (zh) |
KR (1) | KR20010042419A (zh) |
TW (1) | TW593764B (zh) |
WO (1) | WO1999052135A1 (zh) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI550134B (zh) * | 2016-04-22 | 2016-09-21 | 台灣美日先進光罩股份有限公司 | 用於電漿處理的製程方法以及光罩板材 |
Families Citing this family (222)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6593247B1 (en) * | 1998-02-11 | 2003-07-15 | Applied Materials, Inc. | Method of depositing low k films using an oxidizing plasma |
JP2000208488A (ja) * | 1999-01-12 | 2000-07-28 | Kawasaki Steel Corp | エッチング方法 |
CN1367935A (zh) * | 1999-06-28 | 2002-09-04 | 拉姆研究公司 | 用于蚀刻碳掺杂有机硅酸盐玻璃的方法和装置 |
JP2002270586A (ja) * | 2001-03-08 | 2002-09-20 | Tokyo Electron Ltd | 有機系絶縁膜のエッチング方法およびデュアルダマシンプロセス |
US20020177321A1 (en) * | 2001-03-30 | 2002-11-28 | Li Si Yi | Plasma etching of silicon carbide |
US7084070B1 (en) | 2001-03-30 | 2006-08-01 | Lam Research Corporation | Treatment for corrosion in substrate processing |
US7776314B2 (en) | 2002-06-17 | 2010-08-17 | Grunenthal Gmbh | Abuse-proofed dosage system |
JP4014456B2 (ja) * | 2002-06-19 | 2007-11-28 | 株式会社日立ハイテクノロジーズ | エッチング処理方法 |
US7232766B2 (en) * | 2003-03-14 | 2007-06-19 | Lam Research Corporation | System and method for surface reduction, passivation, corrosion prevention and activation of copper surface |
DE102005005446A1 (de) * | 2005-02-04 | 2006-08-10 | Grünenthal GmbH | Bruchfeste Darreichungsformen mit retardierter Freisetzung |
DE102004020220A1 (de) * | 2004-04-22 | 2005-11-10 | Grünenthal GmbH | Verfahren zur Herstellung einer gegen Missbrauch gesicherten, festen Darreichungsform |
US20070048228A1 (en) | 2003-08-06 | 2007-03-01 | Elisabeth Arkenau-Maric | Abuse-proofed dosage form |
DE10361596A1 (de) * | 2003-12-24 | 2005-09-29 | Grünenthal GmbH | Verfahren zur Herstellung einer gegen Missbrauch gesicherten Darreichungsform |
DE10336400A1 (de) | 2003-08-06 | 2005-03-24 | Grünenthal GmbH | Gegen Missbrauch gesicherte Darreichungsform |
PL1842533T3 (pl) * | 2003-08-06 | 2013-08-30 | Gruenenthal Gmbh | Postać aplikacyjna zabezpieczona przed nadużyciem |
US20060051966A1 (en) * | 2004-02-26 | 2006-03-09 | Applied Materials, Inc. | In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber |
US20050230350A1 (en) | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
DE102004032049A1 (de) | 2004-07-01 | 2006-01-19 | Grünenthal GmbH | Gegen Missbrauch gesicherte, orale Darreichungsform |
JP4761502B2 (ja) * | 2004-10-07 | 2011-08-31 | 株式会社アルバック | 層間絶縁膜のドライエッチング方法 |
US20060118519A1 (en) * | 2004-12-03 | 2006-06-08 | Applied Materials Inc. | Dielectric etch method with high source and low bombardment plasma providing high etch rates |
US7253123B2 (en) * | 2005-01-10 | 2007-08-07 | Applied Materials, Inc. | Method for producing gate stack sidewall spacers |
US20060168794A1 (en) * | 2005-01-28 | 2006-08-03 | Hitachi Global Storage Technologies | Method to control mask profile for read sensor definition |
DE102005005449A1 (de) | 2005-02-04 | 2006-08-10 | Grünenthal GmbH | Verfahren zur Herstellung einer gegen Missbrauch gesicherten Darreichungsform |
US20070045230A1 (en) * | 2005-08-30 | 2007-03-01 | Micron Technology, Inc. | Methods for independently controlling one or more etching parameters in the manufacture of microfeature devices |
KR100780944B1 (ko) | 2005-10-12 | 2007-12-03 | 삼성전자주식회사 | 탄소함유막 식각 방법 및 이를 이용한 반도체 소자의 제조방법 |
US20070238254A1 (en) * | 2006-03-28 | 2007-10-11 | Applied Materials, Inc. | Method of etching low dielectric constant films |
US7601651B2 (en) * | 2006-03-31 | 2009-10-13 | Applied Materials, Inc. | Method to improve the step coverage and pattern loading for dielectric films |
US20070287301A1 (en) * | 2006-03-31 | 2007-12-13 | Huiwen Xu | Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics |
US7780865B2 (en) * | 2006-03-31 | 2010-08-24 | Applied Materials, Inc. | Method to improve the step coverage and pattern loading for dielectric films |
DE102007011485A1 (de) | 2007-03-07 | 2008-09-11 | Grünenthal GmbH | Darreichungsform mit erschwertem Missbrauch |
BRPI0906467C1 (pt) | 2008-01-25 | 2021-05-25 | Gruenenthal Gmbh | forma de dosagem farmacêutica com formato exterior modificado resistente à ruptura e com liberação controlada |
KR101690094B1 (ko) | 2008-05-09 | 2016-12-27 | 그뤼넨탈 게엠베하 | 분무 응결 단계의 사용하에 중간 분말 제형 및 최종 고체 제형을 제조하는 방법 |
JP5607881B2 (ja) | 2008-12-26 | 2014-10-15 | 東京エレクトロン株式会社 | 基板処理方法 |
PE20121067A1 (es) | 2009-07-22 | 2012-09-05 | Gruenenthal Chemie | Forma de dosificacion de liberacion controlada extruida por fusion en caliente |
WO2011009604A1 (en) * | 2009-07-22 | 2011-01-27 | Grünenthal GmbH | Oxidation-stabilized tamper-resistant dosage form |
ES2606227T3 (es) * | 2010-02-03 | 2017-03-23 | Grünenthal GmbH | Preparación de una composición farmacéutica en polvo mediante una extrusora |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US8828883B2 (en) | 2010-08-24 | 2014-09-09 | Micron Technology, Inc. | Methods and apparatuses for energetic neutral flux generation for processing a substrate |
TWI402012B (zh) | 2010-09-01 | 2013-07-11 | Ind Tech Res Inst | 圖案化可撓式基板的方法 |
CA2808541C (en) | 2010-09-02 | 2019-01-08 | Gruenenthal Gmbh | Tamper resistant dosage form comprising an anionic polymer |
WO2012028319A1 (en) | 2010-09-02 | 2012-03-08 | Grünenthal GmbH | Tamper resistant dosage form comprising inorganic salt |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
KR20140053158A (ko) | 2011-07-29 | 2014-05-07 | 그뤼넨탈 게엠베하 | 즉시 약물 방출을 제공하는 탬퍼-저항성 정제 |
AR087360A1 (es) | 2011-07-29 | 2014-03-19 | Gruenenthal Gmbh | Tableta a prueba de manipulacion que proporciona liberacion de farmaco inmediato |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
CA2864949A1 (en) | 2012-02-28 | 2013-09-06 | Grunenthal Gmbh | Tamper-resistant dosage form comprising pharmacologically active compound and anionic polymer |
US8551877B2 (en) * | 2012-03-07 | 2013-10-08 | Tokyo Electron Limited | Sidewall and chamfer protection during hard mask removal for interconnect patterning |
JP6282261B2 (ja) | 2012-04-18 | 2018-02-21 | グリュネンタール・ゲゼルシャフト・ミト・ベシュレンクテル・ハフツング | 不正使用防止および過量放出防止医薬剤形 |
US10064945B2 (en) | 2012-05-11 | 2018-09-04 | Gruenenthal Gmbh | Thermoformed, tamper-resistant pharmaceutical dosage form containing zinc |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
JP6163820B2 (ja) * | 2013-03-27 | 2017-07-19 | 日本ゼオン株式会社 | エッチング方法 |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
CA2913209A1 (en) | 2013-05-29 | 2014-12-04 | Grunenthal Gmbh | Tamper resistant dosage form with bimodal release profile |
EP3003279A1 (en) | 2013-05-29 | 2016-04-13 | Grünenthal GmbH | Tamper-resistant dosage form containing one or more particles |
AU2014289187B2 (en) | 2013-07-12 | 2019-07-11 | Grunenthal Gmbh | Tamper-resistant dosage form containing ethylene-vinyl acetate polymer |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US10297459B2 (en) | 2013-09-20 | 2019-05-21 | Lam Research Corporation | Technique to deposit sidewall passivation for high aspect ratio cylinder etch |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
MX371372B (es) | 2013-11-26 | 2020-01-28 | Gruenenthal Gmbh | Preparacion de una composicion farmaceutica en polvo por medio de criomolienda. |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
CA2947786A1 (en) | 2014-05-12 | 2015-11-19 | Grunenthal Gmbh | Tamper resistant immediate release capsule formulation comprising tapentadol |
WO2015181059A1 (en) | 2014-05-26 | 2015-12-03 | Grünenthal GmbH | Multiparticles safeguarded against ethanolic dose-dumping |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9837254B2 (en) | 2014-08-12 | 2017-12-05 | Lam Research Corporation | Differentially pumped reactive gas injector |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US10825652B2 (en) | 2014-08-29 | 2020-11-03 | Lam Research Corporation | Ion beam etch without need for wafer tilt or rotation |
US9406535B2 (en) | 2014-08-29 | 2016-08-02 | Lam Research Corporation | Ion injector and lens system for ion beam milling |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9355862B2 (en) | 2014-09-24 | 2016-05-31 | Applied Materials, Inc. | Fluorine-based hardmask removal |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9536748B2 (en) | 2014-10-21 | 2017-01-03 | Lam Research Corporation | Use of ion beam etching to generate gate-all-around structure |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9384998B2 (en) | 2014-12-04 | 2016-07-05 | Lam Research Corporation | Technique to deposit sidewall passivation for high aspect ratio cylinder etch |
US9887097B2 (en) | 2014-12-04 | 2018-02-06 | Lam Research Corporation | Technique to deposit sidewall passivation for high aspect ratio cylinder etch |
US9620377B2 (en) | 2014-12-04 | 2017-04-11 | Lab Research Corporation | Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
JP6364368B2 (ja) * | 2015-03-16 | 2018-07-25 | 東芝メモリ株式会社 | 半導体装置の製造方法 |
JP2018517676A (ja) | 2015-04-24 | 2018-07-05 | グリュネンタール・ゲゼルシャフト・ミト・ベシュレンクテル・ハフツング | 即時放出および溶媒抽出に対する耐性を有する改変防止製剤 |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US9543148B1 (en) | 2015-09-01 | 2017-01-10 | Lam Research Corporation | Mask shrink layer for high aspect ratio dielectric etch |
WO2017042325A1 (en) | 2015-09-10 | 2017-03-16 | Grünenthal GmbH | Protecting oral overdose with abuse deterrent immediate release formulations |
US9779955B2 (en) | 2016-02-25 | 2017-10-03 | Lam Research Corporation | Ion beam etching utilizing cryogenic wafer temperatures |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US20180286707A1 (en) * | 2017-03-30 | 2018-10-04 | Lam Research Corporation | Gas additives for sidewall passivation during high aspect ratio cryogenic etch |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10276398B2 (en) | 2017-08-02 | 2019-04-30 | Lam Research Corporation | High aspect ratio selective lateral etch using cyclic passivation and etching |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10847374B2 (en) | 2017-10-31 | 2020-11-24 | Lam Research Corporation | Method for etching features in a stack |
US10658174B2 (en) | 2017-11-21 | 2020-05-19 | Lam Research Corporation | Atomic layer deposition and etch for reducing roughness |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10361092B1 (en) | 2018-02-23 | 2019-07-23 | Lam Research Corporation | Etching features using metal passivation |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
KR102560240B1 (ko) * | 2018-05-01 | 2023-07-28 | 어플라이드 머티어리얼스, 인코포레이티드 | 선택적 식각 프로세스들을 위해 선택성을 증가시키는 방법들 |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
KR20210123409A (ko) | 2019-02-28 | 2021-10-13 | 램 리써치 코포레이션 | 측벽 세정을 사용한 이온 빔 에칭 |
Family Cites Families (33)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
IE52971B1 (en) * | 1979-07-23 | 1988-04-27 | Fujitsu Ltd | Method of manufacturing a semiconductor device wherein first and second layers are formed |
JPS59163826A (ja) * | 1983-03-08 | 1984-09-14 | Toshiba Corp | ドライエツチング方法 |
US4613400A (en) | 1985-05-20 | 1986-09-23 | Applied Materials, Inc. | In-situ photoresist capping process for plasma etching |
US4786360A (en) | 1987-03-30 | 1988-11-22 | International Business Machines Corporation | Anisotropic etch process for tungsten metallurgy |
US5007983A (en) * | 1988-01-29 | 1991-04-16 | The United States Of America As Represented By The Administrator Of National Aeronautics And Space Administration | Etching method for photoresists or polymers |
US4832787A (en) | 1988-02-19 | 1989-05-23 | International Business Machines Corporation | Gas mixture and method for anisotropic selective etch of nitride |
JPH02186636A (ja) | 1989-01-12 | 1990-07-20 | Seiko Epson Corp | 集積回路装置の配線法 |
JP2932488B2 (ja) * | 1989-03-16 | 1999-08-09 | ソニー株式会社 | ドライエッチング方法 |
US4968552A (en) | 1989-10-13 | 1990-11-06 | International Business Machines Corp. | Versatile reactive ion etch barriers from polyamic acid salts |
US5070046A (en) | 1989-10-19 | 1991-12-03 | E. I. Du Pont De Nemours And Company | Dielectric compositions |
US5024975A (en) | 1989-10-19 | 1991-06-18 | E. I. Du Pont De Nemours And Co., Inc. | Crystallizable, low dielectric constant, low dielectric loss composition |
US5196381A (en) | 1990-01-16 | 1993-03-23 | E. I. Du Pont De Nemours And Company | Metaphosphate glass composition |
US5707486A (en) * | 1990-07-31 | 1998-01-13 | Applied Materials, Inc. | Plasma reactor using UHF/VHF and RF triode source, and process |
JP3116369B2 (ja) * | 1990-11-02 | 2000-12-11 | ソニー株式会社 | 多層レジストドライエッチング方法 |
JPH04343425A (ja) * | 1991-05-21 | 1992-11-30 | Sony Corp | 半導体装置の製造方法 |
JPH05121371A (ja) * | 1991-10-25 | 1993-05-18 | Nec Corp | 半導体装置の製造方法 |
US5525534A (en) | 1992-03-13 | 1996-06-11 | Fujitsu Limited | Method of producing a semiconductor device using a reticle having a polygonal shaped hole |
JPH05283375A (ja) * | 1992-03-31 | 1993-10-29 | Hitachi Ltd | ドライエッチング方法 |
US5486493A (en) | 1994-02-25 | 1996-01-23 | Jeng; Shin-Puu | Planarized multi-level interconnect scheme with embedded low-dielectric constant insulators |
US5565384A (en) | 1994-04-28 | 1996-10-15 | Texas Instruments Inc | Self-aligned via using low permittivity dielectric |
DE4434891B4 (de) | 1994-09-29 | 2005-01-05 | Infineon Technologies Ag | Verfahren zum Freilegen einer oberen Stegfläche eines auf der Oberfläche eines Substrats ausgebildeten und mit einem Material umformten schmalen Steges im Mikrometerbereich und Anwendung eines solchen Verfahrens zur Kontaktierung schmaler Stege |
US5550405A (en) | 1994-12-21 | 1996-08-27 | Advanced Micro Devices, Incorporated | Processing techniques for achieving production-worthy, low dielectric, low interconnect resistance and high performance ICS |
JP3465444B2 (ja) * | 1995-10-13 | 2003-11-10 | ソニー株式会社 | プラズマエッチング方法 |
DE19543540C1 (de) | 1995-11-22 | 1996-11-21 | Siemens Ag | Vertikal integriertes Halbleiterbauelement mit zwei miteinander verbundenen Substraten und Herstellungsverfahren dafür |
US5950106A (en) * | 1996-05-14 | 1999-09-07 | Advanced Micro Devices, Inc. | Method of patterning a metal substrate using spin-on glass as a hard mask |
US5880033A (en) * | 1996-06-17 | 1999-03-09 | Applied Materials, Inc. | Method for etching metal silicide with high selectivity to polysilicon |
TW363220B (en) * | 1996-07-15 | 1999-07-01 | Applied Materials Inc | Etching organic antireflective coating from a substrate |
US5880018A (en) | 1996-10-07 | 1999-03-09 | Motorola Inc. | Method for manufacturing a low dielectric constant inter-level integrated circuit structure |
US6340435B1 (en) * | 1998-02-11 | 2002-01-22 | Applied Materials, Inc. | Integrated low K dielectrics and etch stops |
US5968847A (en) * | 1998-03-13 | 1999-10-19 | Applied Materials, Inc. | Process for copper etch back |
US6387819B1 (en) * | 1998-04-29 | 2002-05-14 | Applied Materials, Inc. | Method for etching low K dielectric layers |
US6040248A (en) * | 1998-06-24 | 2000-03-21 | Taiwan Semiconductor Manufacturing Company | Chemistry for etching organic low-k materials |
US6440870B1 (en) * | 2000-07-12 | 2002-08-27 | Applied Materials, Inc. | Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures |
-
1999
- 1999-03-31 JP JP2000542791A patent/JP2002510878A/ja active Pending
- 1999-03-31 WO PCT/US1999/007152 patent/WO1999052135A1/en not_active Application Discontinuation
- 1999-03-31 EP EP99916252A patent/EP1070346A1/en not_active Withdrawn
- 1999-03-31 KR KR1020007010997A patent/KR20010042419A/ko not_active Application Discontinuation
- 1999-04-12 TW TW088105166A patent/TW593764B/zh not_active IP Right Cessation
-
2000
- 2000-07-05 US US09/610,915 patent/US6547977B1/en not_active Expired - Fee Related
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI550134B (zh) * | 2016-04-22 | 2016-09-21 | 台灣美日先進光罩股份有限公司 | 用於電漿處理的製程方法以及光罩板材 |
Also Published As
Publication number | Publication date |
---|---|
JP2002510878A (ja) | 2002-04-09 |
US6547977B1 (en) | 2003-04-15 |
KR20010042419A (ko) | 2001-05-25 |
WO1999052135A1 (en) | 1999-10-14 |
EP1070346A1 (en) | 2001-01-24 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TW593764B (en) | Method for selectively etching low k dielectrics | |
TW505984B (en) | Method of etching patterned layers useful as masking during subsequent etching or for damascene structures | |
KR100756200B1 (ko) | 반도체 장치의 제조방법 | |
US7265060B2 (en) | Bi-level resist structure and fabrication method for contact holes on semiconductor substrates | |
TW464952B (en) | Process for producing semiconductor device | |
TWI299190B (en) | Method of etching a trench in a silicon-containing dielectric material | |
KR100414506B1 (ko) | 드라이 에칭 방법 및 반도체 장치의 제조 방법 | |
KR20030087041A (ko) | 실리콘 카바이드 플라즈마 식각 방법 | |
US20030054656A1 (en) | Method for manufacturing semiconductor device including two-step ashing process of N2 plasma gas and N2/H2 plasma gas | |
US6605855B1 (en) | CVD plasma process to fill contact hole in damascene process | |
TW486733B (en) | Dry etching method and manufacturing method of semiconductor device for realizing high selective etching | |
TW413866B (en) | Method of etching doped silicon dioxide with selectivity to undoped silicon dioxide with a high density plasma etcher | |
TW384512B (en) | Manufacturing method for semiconductor devices and manufacturing apparatuses for semiconductors | |
US6647994B1 (en) | Method of resist stripping over low-k dielectric material | |
US6524963B1 (en) | Method to improve etching of organic-based, low dielectric constant materials | |
US5425843A (en) | Process for semiconductor device etch damage reduction using hydrogen-containing plasma | |
CN1661799B (zh) | 半导体器件 | |
JP2000340549A (ja) | エッチング方法及びそれを用いた半導体装置の製造方法 | |
CN100426469C (zh) | 用于有机硅化物玻璃的一氧化二氮去除光刻胶的方法 | |
JP2000091308A (ja) | 半導体装置の製造方法 | |
JPH06232098A (ja) | 酸化防止方法およびドライエッチング方法 | |
US7192531B1 (en) | In-situ plug fill | |
KR20020017764A (ko) | 캐패시터의 제조 방법 | |
KR100756742B1 (ko) | 고밀도 ram 커패시터의 전극을 패턴화하기 위한 개선된마스킹 방법 및 에칭 공정 | |
KR100816719B1 (ko) | 패턴의 임계치수가 넓어지는 현상을 방지할 수 있는반도체소자 제조방법 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
MM4A | Annulment or lapse of patent due to non-payment of fees |