JPH10223608A - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法

Info

Publication number
JPH10223608A
JPH10223608A JP9021632A JP2163297A JPH10223608A JP H10223608 A JPH10223608 A JP H10223608A JP 9021632 A JP9021632 A JP 9021632A JP 2163297 A JP2163297 A JP 2163297A JP H10223608 A JPH10223608 A JP H10223608A
Authority
JP
Japan
Prior art keywords
metal layer
etching
semiconductor device
insulating film
manufacturing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP9021632A
Other languages
English (en)
Inventor
Toshiharu Yanagida
敏治 柳田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP9021632A priority Critical patent/JPH10223608A/ja
Priority to US09/016,938 priority patent/US6020271A/en
Priority to KR1019980002968A priority patent/KR19980071031A/ko
Publication of JPH10223608A publication Critical patent/JPH10223608A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

(57)【要約】 【課題】 ローディング効果を抑制する半導体装置の製
造方法を示し、微細ルールで設計され、高集積度、高性
能、高信頼性を満足する半導体装置の提供。 【解決手段】 接続孔6を有する層間絶縁膜3上全面に
形成されたタングステンなどの高融点金属層5をエッチ
バックし、コンタクトプラグの形成工程を有する半導体
装置の製造方法において、高融点金属層5のエッチバッ
クに用いられるエッチングガスが、原子量が大の不活性
ガスであるKr、Xe、Rnのうちの少なくとも一種を
添加したものであることを特徴とする。 【効果】 オーバーエッチング時におけるローディング
効果を抑制しつつブランケットCVD法により形成され
た高融点金属層のエッチングを行うことができ、層間絶
縁膜に開口した接続孔に異常な侵食部を生じさせること
なく平坦な埋め込み表面を有するコンタクトプラグを形
成することができる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は半導体装置の製造方
法に関し、さらに詳しくは、接続孔を有する層間絶縁膜
上全面に形成された高融点金属層をエッチバックし、コ
ンタクトプラグを形成する工程を有する半導体装置の製
造方法に関する。
【0002】
【従来の技術】近年のVLSI(Very Large
Scale Integratedcircuit)
やULSI(Ultra Large Scale I
ntegrated circuit)等に見られるよ
うに、半導体装置の高集積化および高性能化の進展に伴
い、デバイスチップ上では配線部分の占める割合が増大
する傾向にあり、チップ面積の大幅な増大を抑止しつつ
実現するために今や多層配線技術は必須なものとなって
いる。従来、配線形成方法としてはアルミニウム等で構
成された金属薄膜をスパッタリング法により形成するこ
とが広く行われてきたが、多層配線化が進展して基板の
表面段差や接続孔のアスペクト比が増大している状況下
では、スパッタリング法におけるステップカバレージの
不足により、上層配線と基板との間の接続不良や配線間
における接続不良が大きな課題の一つとなっている。
【0003】そこで近年、タングステン、モリブデン、
タンタル等の高融点金属、あるいはアルミニウム、銅等
の金属を接続孔内に選択的に成長させることによりアス
ペクト比の高い接続孔を埋め込む技術が提案されてい
る。かかる選択成長の手段としては、金属フッ化物や有
機金属化合物などのガスを下層配線材料により還元して
金属を析出させる選択CVD(Chemical Va
por Deposition)法がその代表的なもの
である。しかしながら、選択CVD法は研究レベルでは
良好な結果を得ているものの、連続処理時に次第に選択
性が劣化すること、あるいはネイルヘッドと通称される
過剰成長部のエッチバック除去の際の制御性が悪いこと
等の難点があり、量産への導入を困難なものとしてい
る。この選択CVD法に代わるものとして、基板の全面
に金属あるいは合金を堆積させるブランケットCVD法
が注目を集めている。その代表的なプロセスの一例とし
ては、接続孔が開口された絶縁膜の全面を被覆し、この
接続孔を埋める如くタングステン等の高融点金属層を形
成するものである。
【0004】ところで、高融点金属層を接続孔内部に埋
め込んで、いわゆるコンタクトプラグとして使用する場
合には、高融点金属層のエッチバックが必要となる。こ
のエッチバック工程では、ウェハ面内およびウェハ間の
処理速度の不均一性を考慮して数10%のオーバーエッ
チングが行われるのが一般的である。しかしながら、同
じウェハであっても、エッチング装置内のプラズマ密度
の疎密やウェハ面内の温度分布の関係などでエッチング
速度が大である領域では、他の部分と比較して層間絶縁
膜の露出に伴う被エッチング面積の急激な減少が早い時
期に生じることとなる。エッチング速度が大である領域
における被エッチング面積の急激な減少は、結合相手で
ある高融点金属を失って相対的に過剰となったエッチン
グ種が接続孔内に集中し、接続孔に埋め込まれた高融点
金属層やバリアメタル層を大きく侵食するという問題が
生じる。
【0005】図2(a)〜(c)は、従来のコンタクト
プラグを形成するプロセスを工程順に示したウェハの概
略断面図であり、ブランケットCVD法により高融点金
属層5やバリアメタル層4が大きく侵食される一例を示
すものである。同図(a)に示したように、予め不純物
拡散領域2の形成された基板1上には、不純物拡散領域
2に臨んで開口する接続孔6を有する層間絶縁膜3が形
成され、さらにスパッタリング法により基板1全体を被
覆するようにTiN/Ti層を有するバリアメタル層4
が接続孔6を埋め込まないように形成され、さらにブラ
ンケットCVD法により高融点金属層5が形成されてい
る。そして、同図(b)に示したように、フッ素系ガス
を用いて高融点金属層5をエッチバックすると、エッチ
ング速度が大である領域ではバリアメタル層4の表面が
露出した段階の早い時期にF* ラジカルが過剰となる。
この過剰となったF* ラジカルが接続孔6内に埋め込ま
れた高融点金属層5の表面に集中し、オーバーエッチン
グを行っている間に高融点金属侵食部7が形成されるこ
ととなる。さらに条件を変えてバリアメタル層4をエッ
チバックすると、今度は層間絶縁膜3の表面が露出した
時点でラジカルが過剰となり、この過剰となったラジカ
ルが接続孔6内に埋め込まれたバリアメタル層4の僅か
な断面に集中する。この結果、同図(c)に示したよう
に、バリアメタル層侵食部8が形成されることとなる。
【0006】このように、急激にエッチング速度が大と
なる現象はローディング効果と一般的に呼称されてお
り、被エッチング材料層の面積の減少に伴ってラジカル
が相対的に過剰となることは、ブランケットCVD法と
エッチングを用いて高融点金属層5のコンタクトプラグ
を形成するプロセスではしばしば発生する問題となって
いる。今後の半導体装置の製造分野ではデバイスチップ
の大型化に伴ってウェハが大口径化され、しかもスルー
プットの低下を招かない高密度プラズマを用いて高速エ
ッチングを行う枚様式プラズマエッチング装置が主流に
なると予想されており、ローディング効果は一層顕著に
なる虞がある。従って、ローディング効果に対する効果
的な解決策の早急な確立が切望されている。
【0007】
【発明が解決しようとする課題】本発明の課題は、ロー
ディング効果に対する効果的な半導体装置の製造方法を
示し、微細なデザインルールに基づいて設計され、高集
積度、高性能、高信頼性の何れも満足する半導体装置を
提供することである。
【0008】
【課題を解決するための手段】上記課題を解決するため
に、請求項1の発明の半導体装置の製造方法では、接続
孔を有する層間絶縁膜上全面に形成されたタングステン
などの高融点金属層をエッチバックし、コンタクトプラ
グを形成する工程を有する半導体装置の製造方法におい
て、高融点金属のエッチバックに用いられるエッチング
ガスが、原子量が大の不活性ガスであるKr、Xe、R
nのうちの少なくとも一種を添加したものであることを
特徴とする。
【0009】請求項2の発明の半導体装置の製造方法で
は、接続孔を有する層間絶縁膜上全面に形成されたタン
グステンなどの高融点金属層をエッチバックし、コンタ
クトプラグを形成する工程を有する半導体装置の製造方
法において、高融点金属層をエッチバックする工程が、
SF6 などのフッ素系化合物を有するエッチングガス
で、層間絶縁膜上に高融点金属層の残余部を残して除去
する第一のエッチング工程と、SF6 などのフッ素系化
合物に、原子量が大の不活性ガスであるKr、Xe、R
nのうちの少なくとも一種を添加したエッチングガスで
層間絶縁膜上にある高融点金属層の残余部を除去すると
ともに、高融点金属層と層間絶縁膜との界面近傍をオー
バーエッチングする第二のエッチング工程とを有するも
のであることを特徴とする。
【0010】請求項3の発明の半導体装置の製造方法で
は、接続孔を有する層間絶縁膜上全面に形成されたタン
グステンなどの高融点金属層をエッチバックし、コンタ
クトプラグを形成する工程を有する半導体装置の製造方
法において、高融点金属層をエッチバックする工程が、
室温以下に制御するとともに、放電解離条件下でプラズ
マ中に遊離のSを生成するS2 2 などの硫黄系化合物
と、原子量が大の不活性ガスであるKr、Xe、Rnの
うちの少なくとも一種を添加したエッチングガスを用い
るものであることを特徴とする。
【0011】上記した請求項1〜3の発明におけるエッ
チング工程では、プラズマ密度が1×1011cm-3以上
1×1014cm-3以下であることが望ましい。
【0012】上述した手段による作用を以下に記す。請
求項1のブランケットCVD法などにより形成された高
融点金属層をエッチバックする際にKr、Xe、Rnの
うちの少なくとも一種を有するエッチングガスを用いれ
ば、従来のようにフッ素系化合物のみを用いていた場合
や、フッ素系化合物にHe、Arなどの不活性ガスを混
合した混合ガスを用いた場合に比べ、放電プラズマ中に
解離生成する荷電粒子のうち大きな質量のイオンの絶対
量が増加し、高融点金属のエッチバックがイオンアシス
トを主体とする反応となり、より高い異方性で進行する
こととなる。また、不活性ガスによるフッ素ラジカルの
希釈効果によってもラジカル反応性が小となり、従来殆
どラジカル反応で占められていたエッチバックの形態が
イオンアシスト反応の要素を多く有する形態となり、オ
ーバーエッチング時におけるプラグ表面への過剰ラジカ
ルの集中に起因したローディング効果を大きく低減する
ことができる。従って、異常な侵食部のない平坦な埋め
込み表面を有する良好なコンタクトプラグを形成するこ
とが可能であり、また、オーバーエッチング時の層間絶
縁膜の膜減りも従来のフッ素系ガスのみを用いたプロセ
スに比べて大きく軽減することができる。
【0013】請求項2のブランケットCVD法などによ
り形成された高融点金属層を二段階の工程でエッチング
するものでは、高融点金属層のエッチバックを層間絶縁
膜が露出する直前までのバルクエッチング工程と、それ
以降のオーバーエッチング工程の二工程に分け、バルク
エッチング工程ではエッチングガスにSF6 などのフッ
素系化合物を単独で用い、オーバーエッチング工程では
SF6 などのフッ素系化合物にKr、Xe、Rnなどの
原子量の大きい不活性ガスのうちの少なくとも一種を添
加したエッチングガスを用いるものである。この方法に
よれば、バルクタングステンのエッチバックはF* のラ
ジカル反応を主体にして高速でエッチングが進行し、高
融点金属層と層間絶縁膜との界面近傍のエッチング時に
は過剰となるF* ラジカルが減少するとともにイオンア
シスト反応が主体となるエッチングが行われる。従っ
て、異常な侵食部のない平坦な埋め込み表面を有する良
好なコンタクトプラグを形成することが可能であり、プ
ロセスのスループットの短縮が図られ、より実用的なも
のとすることができる。
【0014】請求項3の層間絶縁膜上に高融点金属層が
形成された基板を室温以下に制御するとともに、放電解
離条件下でプラズマ中に遊離のSを生成するS2 2
どの硫黄系化合物と、Kr、Xe、Rnのうちの少なく
とも一種を添加したエッチングガスを用いるものでは、
基板の室温以下の制御によるラジカル反応の抑制とエッ
チングと競合して生じるSの堆積とにより、オーバーエ
ッチング時におけるローディング効果が一層軽減し、コ
ンタクトプラグの侵食は殆ど見られない。また、高融点
金属層表面の微細な凹凸がSの堆積により平滑化されな
がらエッチングが進行するため、エッチング後に高融点
金属層の下地層である層間絶縁膜に表面粗れを生じさせ
る虞がない。これらにより、後工程で形成する配線層の
密着性や信頼性も従来と比較して大きく向上する。な
お、高融点金属層表面の微細な凹凸に堆積したSは、エ
ッチング後にウェハ温度を90℃以上に昇温すれば昇華
除去されるので、残渣として残ることはなく、パーティ
クル汚染源となる虞はない。
【0015】以上、請求項1〜3におけるエッチング工
程では、プラズマ密度を1×1011cm-3以上1×10
14cm-3以下とすることにより良好なプロセス条件の設
定が可能となる。
【0016】
【発明の実施の形態】以下、本発明を適用した好ましい
具体的な実施例について、コンタクトプラグを形成する
プロセスを工程順に示したウェハの概略断面図である図
1を参照して説明する。なお、図中の構成要素で従来の
技術と同様の構造を成しているものについては、同一の
参照符号を付すものとする。
【0017】実施例1 本実施例は、ブランケットCVD法により形成された高
融点金属層5をSF6とKrとの混合ガスを用いてエッ
チバックするものである。このプロセスの一例を図1
(a)、(c)および(d)を参照して説明する。
【0018】図1(a)に示したように、予め不純物拡
散領域2が形成されたシリコンなどの基板1上には、不
純物拡散領域2に臨んで開口する接続孔6を有する層間
絶縁膜3が形成され、さらにスパッタリング法により基
板1全体を被覆するようにTiN/Ti層を有するバリ
アメタル層4が接続孔6を埋め込まないように形成さ
れ、さらにブランケットCVD法により高融点金属層5
が形成されているウェハを用意した。本実施例のブラン
ケットCVD法による高融点金属層5の形成条件は、例
えばWF6 流量25SCCM、SiH4 流量10SCC
M、ガス圧10665.8Pa、ウェハ温度475℃で
20秒間の核成長を行った後、ガス供給条件をWF6
量60SCCM、H2 流量360SCCMと変化させて
高融点金属層5を堆積させたものである。
【0019】次に、有磁場マイクロ波プラズマエッチン
グ装置のウェハ載置電極にウェハをセットし、高融点金
属層5を一例として下記条件でエッチバックし、図1
(c)に示したように、下地のバリアメタル層4の表面
が露出した時点で停止する。
【0020】 ガス流量 SF6 /Kr=40/60SCCM 圧力 1.3Pa マイクロ波電力 850W(2.45GHz) RFバイアス 200W(2MHz) ウェハ温度 室温
【0021】このエッチバック工程では、SF6 の解離
によりプラズマ中に生成するF* によるラジカル反応
が、Kr+、SFx +などのイオンにアシストされること
によりエッチングが進行する。そして、不活性ガスによ
るF* ラジカルの希釈効果や原子量が大であるKr+
オンが生じるエッチング形態は、従来のようにラジカル
反応ではなくイオンアシスト反応が主体となるため、高
融点金属層5のエッチング速度は低下する。従って、バ
リアメタル層4の表面が露出した時点においても、接続
孔6の内部へエッチャントが過剰に集中して高融点金属
層5を侵食することがなく、いわゆるローディング効果
を防止することができ、図1(c)に示したように、接
続孔6内に埋め込まれた高融点金属層5を平坦な状態で
形成することができた。
【0022】次に、一例としてCl2 流量40SCC
M、O2 流量10SCCM、ガス圧1.3Pa、マイク
ロ波電力850W(2.45GHz)、RFバイアス2
00W((2MHz)、の条件でバリアメタル層4をエ
ッチバックし、層間絶縁膜3が露出した時点で停止すれ
ば、図1(d)に示したように、接続孔6内にバリアメ
タル層4と高融点金属層5とを層間絶縁膜3と平坦に埋
め込むことが可能となり、侵食部が発生しない良好なコ
ンタクトプラグを形成することができた。
【0023】実施例2 本実施例は、ブランケットCVD法により形成された高
融点金属層5を二段階の工程でエッチバックするもので
あり、高融点金属層5をSF6 ガスで下地のバリアメタ
ル層4が露出する手前で停止するバルクエッチング工程
と、バルクエッチング工程後にXeを添加した混合ガス
で高融点金属層5の残余部を除去するオーバーエッチン
グ工程とを有するものである。このプロセスの一例を再
び図1((a)〜(d)を参照して説明する。なお、用
意したウェハは実施例1において図1(a)を参照した
事例のものと同様であり、重複する説明を省略する。
【0024】有磁場マイクロ波プラズマエッチング装置
のウェハ載置電極にウェハをセットし、高融点金属層5
を一例として下記条件でエッチバックし、図1(b)に
示したように、下地のバリアメタル層4の表面が露出す
る手前で停止する。この停止させる時間は予め下記条件
によるエッチング速度を測定しておき、その経過時間に
基づいて決定した。
【0025】 ガス流量 SF6 =50SCCM 圧力 1.3Pa マイクロ波電力 850W(2.45GHz) RFバイアス 200W(2MHz) ウェハ温度 室温
【0026】このエッチング工程では、SF6 の解離に
よりプラズマ中に大量に生成するF* によるラジカル反
応がSFx +イオンにアシストされることによりエッチン
グが高速に進行する。そして、図1(b)に示したよう
に、バリアメタル層4上に僅かな高融点金属層5の残余
部を残した状態でエッチングを停止する。
【0027】次に、高融点金属層5の残余部を一例とし
て下記条件によりエッチバックし、図1(c)に示した
ように、下地のバリアメタル層4の表面が露出した時点
で停止した。
【0028】 ガス流量 SF6 /Xe=30/70SCCM 圧力 1.3Pa マイクロ波電力 850W(2.45GHz) RFバイアス 200W(2MHz) ウェハ温度 室温
【0029】このエッチバック工程では、Xeの添加に
よって原子量が大であるイオンの生成とF* ラジカルの
希釈効果とでエッチング反応系のラジカル性が一層低減
されているため、いわゆるローディング効果をより効果
的に防止することができ、図1(c)に示したように、
接続孔6内に埋め込まれた高融点金属層5を平坦な状態
で形成することができた。
【0030】次に、必要に応じてCl2 流量40SCC
M、O2 流量10SCCM、ガス圧1.3Pa、マイク
ロ波電力850W(2.45GHz)、RFバイアス2
00W(2MHz)、の条件でバリアメタル層4をエッ
チバックし、層間絶縁膜3が露出した時点で停止すれ
ば、図1(d)に示したように、接続孔6内にバリアメ
タル層4と高融点金属層5とを層間絶縁膜3と平坦に埋
め込むことが可能となり、侵食部が発生しない良好なコ
ンタクトプラグを形成することができた。
【0031】実施例3 本実施例は、ブランケットCVD法により形成された高
融点金属層5をS2 2 とKrとの混合ガスを用いてウ
ェハを冷却しながらエッチバックするものである。この
プロセスの一例を再度図1(a)、(c)および(d)
を参照して説明する。なお、用意したウェハは実施例1
において図1(a)を参照した事例のものと同様であ
り、重複する説明を省略する。
【0032】有磁場マイクロ波プラズマエッチング装置
のウェハ載置電極にウェハをセットして高融点金属層5
を下記条件でエッチバックし、図1(c)に示したよう
に、下地のバリアメタル層4の表面が露出する手前で停
止する。
【0033】 ガス流量 S2 2 /Kr=40/60SCCM 圧力 1.3Pa マイクロ波電力 850W(2.45GHz) RFバイアス 200W(2MHz) ウェハ温度 0℃
【0034】このエッチバック工程では、ウェハの低温
冷却によりラジカルの反応性が抑制されること、原子量
の大きいKr+ イオンの生成でエッチング反応系のラジ
カル性が低減されていること、S2 2 ガスの放電解離
により生成するSが高融点金属層5表面に堆積する過程
とスパッタ除去される過程とが競合するなどの理由によ
り、極めて効果的にローディング効果を防止することが
できた。従って、図1(c)に示したように、接続孔6
内は高融点金属層5で平坦に埋め込まれた状態となっ
た。また、ここではSの堆積が高融点金属層5の表面平
滑化に有効に作用し、エッチバック後において下地であ
る層間絶縁膜3に高融点金属層5の表面粗れが転写され
ることもなかった。
【0035】次に、必要に応じてCl2 流量40SCC
M、O2 流量10SCCM、ガス圧1.3Pa、マイク
ロ波電力850W(2.45GHz)、RFバイアス2
00W(2MHz)、の条件でバリアメタル層4をエッ
チバックし、層間絶縁膜3が露出した時点で停止すれ
ば、図1(d)に示したように、接続孔6内にバリアメ
タル層4と高融点金属層5とを層間絶縁膜3と平坦に埋
め込むことが可能となり、侵食部が発生しない良好なコ
ンタクトプラグを形成することができた。
【0036】本実施例は、ローディング効果を防止する
とともにエッチバックされた表面の平滑性にも優れてお
り、プラグ形成後の層間絶縁膜3や後工程で形成する上
層配線の表面モホロジーの向上にも寄与し、これによ
り、上層配線材料層との密着性や信頼性が従来の技術と
比べて大きく改善することができた。
【0037】以上、実施例1〜3を挙げて説明したがこ
れらに限定されるものでなく、被エッチング膜種や構
造、エッチング装置、エッチング条件など本発明の趣旨
を逸脱しない範囲で適宜選択可能である。例えば、実施
例1〜3では不純物拡散領域2に臨む接続孔6内への高
融点金属層5の埋め込みによるコンタクトプラグの形成
プロセスを一例として説明したが、多層配線基板におけ
る下層配線上の層間絶縁膜3に形成したヴィアホール内
への埋め込みプロセスに応用することができる。また、
接続孔6内に埋め込まれる高融点金属層5の構成材とし
てはタングステンに限らず、モリブデン、タンタル、チ
タンなどの高融点金属やアルミニウム、銅などの金属を
使用することができる。さらに、フッ素系化合物として
はSF6 以外にNF3 、CF4 、ClF3 、XeF2
2 などを用いることができる。放電解離条件下でプラ
ズマ中にSを放出し得る硫黄系化合物としてはS2 2
の他にSF2 、SF4 、S2 10などのフッ化硫黄、S
2 Cl2 、S3 Cl2 、SCl2 などの塩化硫黄、H
2 Sなども使用可能である。さらにまた、実施例1〜3
におけるエッチング装置ではECRプラズマエッチング
装置を一例として挙げたが、これ以外にも平行平板型R
IE(Reactive Ion Etching)装
置、ICP(Inductively Coupled
Plasma)、TCP(Transformer
Coupled Plasma)、ヘリコン波プラズマ
エッチング装置など、各種エッチング装置にも適用する
ことができる。
【0038】
【発明の効果】本発明の半導体装置の製造方法によれ
ば、オーバーエッチング時におけるローディング効果を
抑制しつつブランケットCVD法により形成された高融
点金属層のエッチングを行うことができ、層間絶縁膜に
開口した接続孔に異常な侵食部を生じさせることなく平
坦な埋め込み表面を有するコンタクトプラグを形成する
ことができる。従って、微細なデザインルールに基づい
て設計され、高集積度、高性能、高信頼性の何れも満足
する半導体装置を提供することができる。
【図面の簡単な説明】
【図1】 本発明のプロセスを工程順に示したものであ
り、(a)〜(d)はウェハの概略断面図である。
【図2】 従来のプロセスを工程順に示したものであ
り、(a)〜(c)はウェハの概略断面図である。
【符号の説明】
1…基板、2…不純物拡散領域、3…層間絶縁膜、4…
バリアメタル層、5…高融点金属層、6…接続孔、7…
高融点金属層侵食部、8…バリアメタル層侵食部

Claims (4)

    【特許請求の範囲】
  1. 【請求項1】 接続孔を有する層間絶縁膜上全面に形成
    された高融点金属層をエッチバックし、コンタクトプラ
    グを形成する工程を有する半導体装置の製造方法におい
    て、 前記工程が、Kr、Xe、Rnのうちの少なくとも一種
    を添加したエッチングガスを用いるものであることを特
    徴とする半導体装置の製造方法。
  2. 【請求項2】 接続孔を有する層間絶縁膜上全面に形成
    された高融点金属層をエッチバックし、コンタクトプラ
    グを形成する工程を有する半導体装置の製造方法におい
    て、 前記工程が、 フッ素系化合物を有するエッチングガスで、前記層間絶
    縁膜上に前記高融点金属層の残余部を残して除去する第
    一のエッチング工程と、 前記フッ素系化合物にKr、Xe、Rnのうちの少なく
    とも一種を添加したエッチングガスで前記残余部を除去
    するとともに、前記高融点金属層と前記層間絶縁膜との
    界面近傍をオーバーエッチングする第二のエッチング工
    程を有するものであることを特徴とする半導体装置の製
    造方法。
  3. 【請求項3】 接続孔を有する層間絶縁膜上全面に形成
    された高融点金属層をエッチバックし、コンタクトプラ
    グを形成する工程を有する半導体装置の製造方法におい
    て、 前記工程が、 室温以下に制御するとともに、放電解離条件下でプラズ
    マ中に遊離のSを生成する硫黄系化合物と、Kr、X
    e、Rnのうちの少なくとも一種を添加したエッチング
    ガスを用いるものであることを特徴とする半導体装置の
    製造方法。
  4. 【請求項4】 前記工程におけるプラズマ密度が、1×
    1011cm-3以上1×1014cm-3以下であることを特
    徴とする請求項1ないし3の何れかに記載の半導体装置
    の製造方法。
JP9021632A 1997-02-04 1997-02-04 半導体装置の製造方法 Pending JPH10223608A (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP9021632A JPH10223608A (ja) 1997-02-04 1997-02-04 半導体装置の製造方法
US09/016,938 US6020271A (en) 1997-02-04 1998-02-03 Manufacturing method of semiconductor device
KR1019980002968A KR19980071031A (ko) 1997-02-04 1998-02-03 반도체 장치의 제조 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP9021632A JPH10223608A (ja) 1997-02-04 1997-02-04 半導体装置の製造方法

Publications (1)

Publication Number Publication Date
JPH10223608A true JPH10223608A (ja) 1998-08-21

Family

ID=12060453

Family Applications (1)

Application Number Title Priority Date Filing Date
JP9021632A Pending JPH10223608A (ja) 1997-02-04 1997-02-04 半導体装置の製造方法

Country Status (3)

Country Link
US (1) US6020271A (ja)
JP (1) JPH10223608A (ja)
KR (1) KR19980071031A (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2007116523A1 (ja) * 2006-04-10 2009-08-20 オーエスジー株式会社 硬質被膜の脱膜方法
JP2012500480A (ja) * 2008-08-20 2012-01-05 エーシーエム リサーチ (シャンハイ) インコーポレーテッド バリア層除去方法及び装置
JP2012109407A (ja) * 2010-11-17 2012-06-07 Lapis Semiconductor Co Ltd 半導体素子の製造方法

Families Citing this family (164)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5057619B2 (ja) * 2001-08-01 2012-10-24 株式会社半導体エネルギー研究所 半導体装置の作製方法
TWI264121B (en) 2001-11-30 2006-10-11 Semiconductor Energy Lab A display device, a method of manufacturing a semiconductor device, and a method of manufacturing a display device
US6953735B2 (en) 2001-12-28 2005-10-11 Semiconductor Energy Laboratory Co., Ltd. Method for fabricating a semiconductor device by transferring a layer to a support with curvature
US7422983B2 (en) * 2005-02-24 2008-09-09 International Business Machines Corporation Ta-TaN selective removal process for integrated device fabrication
KR100649352B1 (ko) * 2005-04-30 2006-11-27 주식회사 하이닉스반도체 반도체소자의 제조 방법
JP5259125B2 (ja) * 2006-08-24 2013-08-07 富士通セミコンダクター株式会社 基板処理方法、半導体装置の製造方法、基板処理装置および記録媒体
US20100253902A1 (en) * 2009-04-07 2010-10-07 Semiconductor Energy Laboratory Co., Ltd. Liquid crystal display device and manufacturing method thereof
US8475674B2 (en) * 2010-04-30 2013-07-02 Applied Materials, Inc. High-temperature selective dry etch having reduced post-etch solid residue
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US20130224948A1 (en) * 2012-02-28 2013-08-29 Globalfoundries Inc. Methods for deposition of tungsten in the fabrication of an integrated circuit
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
KR102309244B1 (ko) 2013-02-20 2021-10-05 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
CN105793957B (zh) 2013-12-12 2019-05-03 株式会社半导体能源研究所 剥离方法及剥离装置
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
SG11201706167QA (en) 2015-02-15 2017-09-28 Acm Res (Shanghai) Inc Method for removing barrier layer for minimizing sidewall recess
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9960049B2 (en) * 2016-05-23 2018-05-01 Applied Materials, Inc. Two-step fluorine radical etch of hafnium oxide
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN109830460A (zh) * 2019-02-22 2019-05-31 德淮半导体有限公司 制造半导体器件的方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3092185B2 (ja) * 1990-07-30 2000-09-25 セイコーエプソン株式会社 半導体装置の製造方法
JP3210359B2 (ja) * 1991-05-29 2001-09-17 株式会社東芝 ドライエッチング方法
JPH05234959A (ja) * 1991-08-16 1993-09-10 Hitachi Ltd ドライエッチング方法及びドライエッチング装置
JP3198586B2 (ja) * 1992-02-14 2001-08-13 ソニー株式会社 ドライエッチング方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2007116523A1 (ja) * 2006-04-10 2009-08-20 オーエスジー株式会社 硬質被膜の脱膜方法
JP4652446B2 (ja) * 2006-04-10 2011-03-16 オーエスジー株式会社 硬質被膜の脱膜方法
JP2012500480A (ja) * 2008-08-20 2012-01-05 エーシーエム リサーチ (シャンハイ) インコーポレーテッド バリア層除去方法及び装置
US8598039B2 (en) 2008-08-20 2013-12-03 Acm Research (Shanghai) Inc. Barrier layer removal method and apparatus
JP2012109407A (ja) * 2010-11-17 2012-06-07 Lapis Semiconductor Co Ltd 半導体素子の製造方法

Also Published As

Publication number Publication date
KR19980071031A (ko) 1998-10-26
US6020271A (en) 2000-02-01

Similar Documents

Publication Publication Date Title
JPH10223608A (ja) 半導体装置の製造方法
US6245654B1 (en) Method for preventing tungsten contact/via plug loss after a backside pressure fault
EP1041614B1 (en) Plasma cleaning process for openings formed in one or more low dielectric constant insulation layers over copper metallization integrated circuit structures
JP3291889B2 (ja) ドライエッチング方法
US5227337A (en) Interconnection forming method
US6573181B1 (en) Method of forming contact structures using nitrogen trifluoride preclean etch process and a titanium chemical vapor deposition step
US8377821B2 (en) Method for forming contact hole structure
JPH07147271A (ja) 半導体装置の製造方法
JPH08186111A (ja) 接続孔の形成方法
EP1207550B1 (en) Method of etching and method of plasma treatment
JPH09321026A (ja) 半導体装置の製造方法
US6174795B1 (en) Method for preventing tungsten contact plug loss after a backside pressure fault
JP3339255B2 (ja) コンタクトプラグの形成方法
JP3475666B2 (ja) ドライエッチング方法
JP2000164569A (ja) 半導体装置の製造方法
JPH0714836A (ja) 多層配線構造の半導体装置
JPH05206081A (ja) ドライエッチング方法
JP3291885B2 (ja) ドライエッチング方法
JP3780204B2 (ja) バリアメタル膜又は密着層形成方法及び配線形成方法
JP3339269B2 (ja) コンタクトプラグの形成方法
JP3678791B2 (ja) 半導体装置の製造方法
JP3120513B2 (ja) ドライエッチング方法
JP3018602B2 (ja) ドライエッチング方法
JP2001015494A (ja) 半導体装置の製造方法およびエッチング方法
JP2003179133A5 (ja)