JP3210359B2 - ドライエッチング方法 - Google Patents

ドライエッチング方法

Info

Publication number
JP3210359B2
JP3210359B2 JP12429791A JP12429791A JP3210359B2 JP 3210359 B2 JP3210359 B2 JP 3210359B2 JP 12429791 A JP12429791 A JP 12429791A JP 12429791 A JP12429791 A JP 12429791A JP 3210359 B2 JP3210359 B2 JP 3210359B2
Authority
JP
Japan
Prior art keywords
etching
gas
film
polycrystalline silicon
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP12429791A
Other languages
English (en)
Other versions
JPH04350932A (ja
Inventor
勝 堀
啓治 堀岡
晴雄 岡野
雅男 伊藤
正人 平塚
吉夫 石川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Tokyo Electron Ltd
Original Assignee
Toshiba Corp
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp, Tokyo Electron Ltd filed Critical Toshiba Corp
Priority to JP12429791A priority Critical patent/JP3210359B2/ja
Priority to EP92108844A priority patent/EP0516043B1/en
Priority to DE69229814T priority patent/DE69229814T2/de
Priority to US07/889,627 priority patent/US5259923A/en
Priority to KR1019920009345A priority patent/KR0170412B1/ko
Priority to TW081105118A priority patent/TW282561B/zh
Publication of JPH04350932A publication Critical patent/JPH04350932A/ja
Application granted granted Critical
Publication of JP3210359B2 publication Critical patent/JP3210359B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、積層構造膜のドライエ
ッチング方法に係り、特にタングステン又はモリブデン
からなる膜と多結晶シリコン膜とからなる積層構造膜の
ドライエッチング方法に関する。
【0002】
【従来の技術】近年、半導体装置の高集積化に伴い、回
路の微細化は進む一方であり、微細化に付随して種々の
問題が生じてきている。以下、ゲート電極や配線に (1) アルミニウム(Al)等の低抵抗、低融点金属を利
用する、(2) 多結晶シリコン等の半導体材料を利用す
る、(3) タングステン(W),モリブデン(Mo)等の
高融点金属を利用する、場合について、それぞれの問題
点を説明する。
【0003】(1) のAlをゲート電極として利用する場
合においては、Alの融点が660℃近くにあるため、
素子作成工程においてAlを被着した以後の工程で高温
処理が不可能となる。このため、多層配線構造の実現が
困難となり、集積度が低下する。また、Al電極形成
後、拡散工程のような1000℃前後の高温処理は不可
能であり、MOSFETを形成する場合には、ソース・
ドレイン領域の拡散後ゲート電極を形成する必要があ
る。このため、ゲート電極とソース及びドレイン領域の
マスク合せ余裕が必要となり集積度が低下し、またゲー
トとソース及びドレインの結合容量が増加するという欠
点を持つ。
【0004】(2) の多結晶シリコンをゲート電極として
使用する場合には、ソース及びドレイン領域をゲート領
域をマスクとして自己整合で形成でき、また多結晶シリ
コンが高温処理に比較的安定であるので、多層配線が可
能で高集積化できるという特長を持つ。しかし、多結晶
シリコン膜は比抵抗が高いため、多結晶シリコン膜に
は、適切な不純物を添加して抵抗を下げる必要がある。
【0005】ところが、この場合においてもその抵抗は
1×10-3Ωcm前後であり、Alの比抵抗1×10-6
Ωcm及びW,Moの比抵抗1×10-5Ωcmに比べて
非常に大きい。このため、多結晶シリコン膜を用いて半
導体上の回路素子を有機的に結合する場合、信号の伝播
時間が遅く、高速動作ができないという欠点を持つ。同
様に、多結晶シリコンをMOSFETのゲート電極とし
て用いた場合にも、抵抗が大きいので抵抗容量積が大き
く、ゲートを所定の動作電位に上げるまでの時間が長
く、高速動作が難しいという欠点を持つ。
【0006】(3) のMo,Wなどの高融点金属をゲート
として利用する場合、WはAlに比べて比抵抗は高いが
高融点金属であるため、半導体装置作製上必要とされる
1000℃前後の熱処理に比較的安定である。しかし、
高融点ではあるが金属であるため、通常の金属と同様
に、高温酸化性雰囲気中でWが露出されている場合の処
理に耐えないという欠点を持つ。
【0007】このように、いずれの場合もゲート電極と
して満足できるものではなかった。また、ゲート電極に
要求される条件として、結晶粒が小さいこと、表面安定
化が容易であること、耐薬品性が高いこと、AlやSi
基板との接触性が良いこと、エレクトロマイグレーショ
ンに強いことなどが挙げられる。
【0008】そこで、多結晶シリコンゲート電極MOS
FETの高集積性と、その製造工程上の高温処理での安
定性及び高信頼性を具備し、且つ比抵抗が多結晶シリコ
ンに比べて低く、高速動作可能な半導体装置実現のため
に、ゲート材料として、タングステンシリサイド(WS
2 )等の金属珪化膜と多結晶シリコン膜との積層構造
(ポリサイド)を使用することが提案されている。ま
た、タングステン等の高融点金属と多結晶シリコン膜と
の積層構造も使用されている。
【0009】しかしながら、この種の方法にあっては次
のような問題があった。即ち、回路の微細化に伴い、ゲ
ート電極も微細化する一方であり、またゲート電極の下
層に形成されているゲート絶縁膜も次第に薄くなる傾向
にあり、10nm程度の厚さになってきている。このた
め、ゲート電極の加工性については、ゲート電極はシリ
サイド膜で決まるのではなくて多結晶シリコン膜で決ま
り、多結晶シリコン膜端がシリサイド膜端より後退して
はならない等、加工形状の悪さがチャネル長のばらつき
の原因となる。また、ゲート絶縁膜を構成する酸化シリ
コン膜とのエッチング選択比が歩留りのを決める要因と
なるため、酸化シリコン膜と多結晶シリコン膜との高選
択比エッチングが必須となる等、加工については極めて
困難な課題を有している。
【0010】ところで、タングステン等の高融点金属の
エッチングに関しては多くの報告がある。例えば、D.W.
Hess. (Tungsten Etching and CF4 and SF6 Discharges
Journal of the Electrochemical Society : January 1
984. PP115-120)、Picard et al. (Plasma Etching of
Refractory Metals (N.Mo.Ta) and Siliconin SF6 and
SF6 -O2 : Plasma Chemistry and Plasma Processing V
ol5. No.41985 PP333-351.)、E.Degenkolb et al. (Sel
ective Dry Etching of W forVLSI Metalization (Jour
nal of the Electro-chemical Society 167. SocietyMe
eting Vo85-1,1985 P353))などであり、いずれの場合も
フッ素を含有したエッチングガス(SF6 ,CF4 或い
はCF3 Brなど)を用いてエッチングを行っている。
しかし、タングステン単層ではなく、タングステン珪化
物と多結晶シリコンさらに熱酸化膜との積層構造(ポリ
サイド)などでは、さまざまな加工上の問題が引き起こ
される。
【0011】高融点金属(例えばW)とシリコン或いは
絶縁膜との積層構造を方向性エッチングする方法につい
ては、以下のような報告がある。例えば、Chi -Hwa et
al(Anisotropic Plasma Etching of Tungsten: United
States Patent No.4,713,141 Dec.15. 1987)がある。
ここでは、エッチングガスとしてSF6 とCl2 の混合
ガスを用い、タングステンをエッチングする。エッチン
グパターン側面にClが吸着し、タングステンとSF6
との反応が抑制されることにより方向性のエッチングを
実現している。
【0012】しかしながら、実際のところ、従来から用
いられている金属珪化膜のエッチングガスである六弗化
イオウ(SF6 )等の弗素系ガスや弗素系ガスと四塩化
炭素(CCl4 )或いはCl2 との混合ガス等によるエ
ッチングでは、酸化シリコンに対する選択比が10以下
と十分でない。
【0013】また、多結晶シリコン膜のエッチングに際
しては、従来から反応性イオンエッチングが用いられて
いるが、そのパターニングにおいて、陽極結合方式を用
いた場合は選択比は大きいが加工形状が悪く、陰極結合
方式を用いた場合には加工形状は良いけれども選択比が
小さいという問題があった。
【0014】さらに、エッチング速度のウェハ面内均一
性が重要な課題となる。例えば、ウェハ面内において周
辺部と中央部においてエッチング速度が不均一となって
おり、ウェハ周辺部でのエッチング速度が中央部よりも
大きい場合、中央部にて多結晶シリコンのエッチングが
終了するまでエッチングを行うと、周辺部では下地酸化
シリコン膜のエッチングが進行してしまう。
【0015】酸化シリコンに対する選択比が低い場合に
は、周辺部では酸化シリコン膜がエッチングされ、ゲー
ト絶縁膜が破壊されるに至る。また、周辺部と中央部で
のエッチング生成物が異なってくるため、プラズマ自体
の特性が変化し、良好な加工形状は得られないという問
題があった。
【0016】
【発明が解決しようとする課題】このように従来、酸化
シリコン膜上に形成された高融点金属又はその金属珪化
物と多結晶シリコンとの積層構造膜の加工に際しては、
加工形状,選択比,均一性共に十分なエッチングは困難
であった。
【0017】本発明は、上記事情を考慮してなされたも
ので、その目的とするところは、絶縁性薄膜上に形成さ
れた高融点金属と多結晶シリコンとの積層構造膜の加工
に際して、良好な加工形状及び十分な選択性を得ること
のできるドライエッチング方法を提供することにある。
【0018】
【課題を解決するための手段】本発明の骨子は、酸化シ
リコン等の絶縁性薄膜上に形成された高融点金属又はそ
の金属珪化物と多結晶シリコンとの積層構造膜の加工に
際して、ドライエッチング加工に用いるガス種の最適化
及びエッチング条件の最適化をはかることにある。
【0019】即ち本発明は、絶縁性薄膜上に、最上部の
第1の層をタングステン又はモリブデン、最下部の第2
の層を多結晶シリコンとする積層構造膜を形成し、この
積層構造膜上にマスクパターンを形成した被処理基体を
真空容器内に配置し、真空容器内にエッチングガスを導
入すると共に電界を印加して放電を生起し、積層構造膜
をマスクパターンに沿って異方的に加工するドライエッ
チング方法において、第1の層をエッチングするための
エッチングガスとして、弗素,六弗化イオウ,三弗化窒
素のいずれらより選ばれる第1のガスと塩化水素,臭化
水素,塩素,臭素のいずれかより選ばれる第2のガスと
を含む混合ガスを用い、第2の層をエッチングするため
のエッチングガスとして、第2のガス、又は第2のガス
と不活性ガス,窒素ガス,酸素ガス,四塩化珪素ガスの
いずれかとの混合ガスを用いるようにした方法である。
【0020】また、本発明の望ましい実施態様として
は、第1の層をエッチングするためのエッチングガスと
して、塩素と六弗化イオウを含む混合ガスを用い、且つ
塩素と六弗化イオウの混合比を4:6〜7:3、ガス流
量を20〜150sccm、高周波電力密度を0.4〜0.
9W/cm2 の範囲に設定する。さらに、上記エッチン
グにおいて、被処理基体の温度を−120〜−10℃に
設定し、エッチングガスの圧力を50〜150mTorrに
設定する。
【0021】また、第1の層をエッチングする工程で
は、タングステン,モリブデン又はタングステン若しく
はモリブデンの弗化物の発光をモニターして、エッチン
グ終点を検出する。さらに、第2の層をエッチングする
工程では、塩素,臭素,シリコンの塩素化物又はシリコ
ンの臭素化物の発光をモニターして、エッチング終点を
検出する。
【0022】
【作用】本発明者らは、酸化シリコン膜上に形成した上
層がタングステン珪化物膜、下層がリンを添加した多結
晶シリコン膜から構成される積層構造膜のエッチングに
ついて種々の実験を重ねた結果、次に示すような事実を
発見した。
【0023】タングステン珪化物をSF6 ガスを用いて
反応性ドライエッチングすると、エッチング速度は基板
温度25℃の下で300〜400nm/minと非常に
大きな値が得られ、高速でエッチングすることが可能で
ある。しかし、タングステン珪化物及び下層の多結晶シ
リコンに必ずサイドエッチングが生じる。このサイドエ
ッチングは、素子が微細化してくると問題となる場合が
ある。
【0024】一方、Cl2 ガスを用いて反応性ドライエ
ッチングした場合、タングステン珪化物のエッチング速
度は基板温度25℃の下で50〜100nm/minと
非常に小さな値しか得られない。
【0025】そこで、タングステン珪化物をSF6 ガス
を用いてエッチングする場合、エッチング速度の基板温
度依存性について調べたところ、基板温度の逆数に対し
てエッチング速度は減少するが、基板温度−30℃にお
いては、230〜370nm/minと依然として大き
なエッチング速度が得られた。この場合、タングステン
珪化物のパターンにはサイドエッチングが生じなく垂直
形状が得られるが、下層の多結晶シリコンにはサイドエ
ッチングが若干生じる。
【0026】そこで、基板温度−30℃の下で、SF6
ガスにCl2 ガスを40〜70%添加した結果、タング
ステン珪化物及び多結晶シリコン共にサイドエッチング
がなく、垂直形状のパターン形成が可能になることが判
明した。これは、次のような現象に基づくと考えられ
る。
【0027】即ち、上記のような基板冷却の下において
も、タングステン珪化物中のタングステン及びシリコン
原子と弗素とから生成されるWF6 及びSiF4 等は蒸
気圧が高くサイドエッチングが進行する。一方、タング
ステンと塩素から生成されるWCl5 或いはWCl6
どの蒸気圧は非常に低く、容易に除去されない。従っ
て、Cl2 を用いたエッチングではエッチング速度が小
さく、堆積物などが生じ易い。これらの点から、SF6
等の弗素を含有するガス中に適量のCl2 を混入させる
ことにより、ある程度のエッチング速度を保持しつつ、
パターン側壁にWClx (x=5,6)の堆積膜が選択
的に形成されるために、弗素とタングステン或いはシリ
コン原子との反応が抑制され、垂直形状のパターンが形
成されると考えられる。
【0028】ところで、上述のCl2 とSF6 の混合ガ
スを用いて、タングステン珪化物と多結晶シリコン及び
酸化シリコン膜の積層構造をエッチングした場合、少量
のSF6 の添加により、酸化シリコン膜のエッチング速
度が急激に大きくなる。このため、多結晶シリコンと酸
化シリコン膜とのエッチング選択比が小さく、ウェハ面
内で十分なエッチング速度の均一性が得られない場合
は、ウェハ面内の一部で酸化シリコン膜がエッチングさ
れ消失する。
【0029】しかし、Cl2 ガスを用いた多結晶シリコ
ンのエッチングでは、基板温度の低温化の下ではエッチ
ング速度はそれ程低減しないで、下地酸化シリコン膜と
の選択比が十分得られ、且つ垂直形状、エッチング速度
のウェハ面内均一性良好なエッチングが可能であること
が判明した。
【0030】また、各エッチング工程を分光器を用いて
発光分析し、第1工程ではタングステン或いはタングス
テン弗化物、第2の工程ではCl2 に関するピークを検
出することにより、エッチングの終点検出を行った。こ
の終点検出法により、極めて高い精度にて各エッチング
工程を制御することが可能であることが判明した。
【0031】そこで、被処理基体の温度を−60℃に冷
却させ、第1の工程において、タングステン珪化物膜の
エッチングを行ったところ、SF6 のガス単体にてタン
グステン珪化物を垂直形状にてエッチングすることが可
能であった。引き続き、ウェハ温度−60℃にて第2の
工程である多結晶シリコン膜のエッチングをCl2 ガス
単体にてエッチングしたところ、多結晶シリコン膜も垂
直にエッチングされ、多結晶のシリコンと酸化シリコン
との選択比も十分に得られ、ウェハの周辺,中央部とも
に垂直形状のエッチングが可能であることが判明した。
【0032】また、ウェハ温度の低下により多結晶シリ
コンと酸化シリコン膜とのエッチング速度の選択性が大
幅に向上した。さらに、残渣,堆積物の発生も観察され
なかった。
【0033】本発明は、このような点に着目してなされ
たもので、まず第1にタングステン膜をSF6 ガス単体
又はCl2 とSF6 の混合ガスにてエッチングし、エッ
チング残しがないように十分にエッチングを行う。第2
に多結晶シリコン膜をCl2を主体としたガスによって
エッチングすることにより、酸化シリコン膜に対して選
択性の高いエッチングが可能となると共に、上層のタン
グステン及び下層の多結晶シリコンは、サイドエッチン
グあるいは堆積物などの残渣もない良好なエッチングが
可能となる。また、基板温度は上層、下層とも同一条件
の下でエッチングが実現されるために、大幅に作業性が
向上する。
【0034】
【実施例】以下、本発明の詳細を図示の実施例によって
説明する。
【0035】図1は、本発明の一実施例方法に使用した
ドライエッチング装置を示す概略構成図である。この装
置は、真空容器からなるエッチング室10,搬入用予備
室20及び搬出用予備室30を連設して構成され、エッ
チング室10と搬入用予備室20及び搬出用予備室30
との間はそれぞれゲートバルブ21,31により仕切ら
れている。
【0036】搬入用予備室20内には基板載置台23が
設置され、同様に搬出用予備室30内には基板載置台3
3が設置されている。そして、エッチング室10を真空
に保持したまま、搬入用予備室20及び搬出用予備室3
0に配設されたゲートバルブ22,32から被処理基体
11を搬入及び搬出することができ、大気中の水分や酸
素等の悪影響を避けることが可能となっている。
【0037】エッチング室10内には、被処理基板11
を載置するための第1の電極12が設置されている。こ
の電極12にはブロッキングダイオード13を介して高
周波電源14が接続され、電極12に13.56MHz
の高周波電圧が印加される。また、電極12には、被処
理基体11を固定保持するための静電チャック(図示せ
ず)が設けられ、冷却管15により所望の温度となるよ
うに制御されている。電極12の周辺部は、グラシーカ
ーボンを材料とするリング50によりプラズマからエッ
チングされないよう保護されている。
【0038】エッチング室10の上壁は、第1の電極1
2に対する対向電極(第2の電極)10aとして作用す
る。この第2の電極10aの上方には、永久磁石18が
設置され、磁石18はモータ19により回転駆動され
る。被処理基板11表面上での磁束密度は、永久磁石1
8の磁気力を変化させることにより60〜120[G]
まで可変することができる。また、エッチング室10の
壁面は図示しないヒータにより加熱され、所望の温度と
なるように制御されている。
【0039】エッチング室10には、塩素ガス供給ライ
ンa,酸素供給ラインb,SF6 供給ラインc,NF3
供給ラインd及びF2供給ラインeが接続されている。
これらのガス供給ラインa〜eには、それぞれバルブ1
6a〜16eと流量調整器17a〜17eが挿入され、
流量及びガス圧を所望の値に調整できるようになってい
る。そして、エッチング室10内に所定のガスを導入し
つつ、第1の電極12と第2の電極10aとの間に高周
波電圧を印加して、放電を生起するようになっている。
次に、この装置を用いたエッチング方法について説明す
る。
【0040】まず、図2(a)に示すように、シリコン
基板40上に熱酸化により膜厚10nmの酸化シリコン
(SiO2 )膜41を形成した後、CVD法により膜厚
150nmの多結晶シリコン膜42を堆積する。この多
結晶シリコン膜42中にリンを拡散し、n型の多結晶シ
リコン膜42を形成した後、さらにスパッタ蒸着法によ
り膜厚200nmのタングステン珪化物膜(WSi2
43を形成する。そして、この上層にレジストパターン
44を形成する。このレジストパターン44は、ノボラ
ック樹脂を基とした光感応レジストを基板表面に塗布
し、フォトリソグラフィ法によって選択的に除去するこ
とにより形成される。
【0041】このようにして形成された被処理基体を、
図1に示したドライエッチング装置の電極12上に載置
したのち、後述する方法により、図2(b)に示すよう
にWSi2 膜43を選択エッチングし、さらに図2
(c)に示すように多結晶シリコン膜42を選択エッチ
ングする。ここで、図1の装置を用いたWSi2 膜43
のエッチングについて、詳細に述べる。
【0042】図3は、SF6 とCl2 ガスをエッチング
室10内に導入し、SF6 とCl2の混合ガスによるW
Si2 膜43,多結晶シリコン膜42,SiO2 膜41
及びレジスト44のエッチング速度を、Cl2 ガスとS
6 ガスの混合比を変えて調べたものである。
【0043】ここで、エッチング室10内の圧力は一定
(75mTorr)に保ち、総流量 100sccm一定とし、Cl
2 ガスとSF6 ガスの分圧を変えた。また、電極12の
温度は−30℃であり、高周波電力は100W(電力密
度0.57W/cm2 )である。エッチング室10の側
壁及び上部電極10aは60℃に加熱されている。エッ
チング時間は1分である。
【0044】図3から、Cl2 の混合比が小さくなる
程、WSi2 ,多結晶Si,SiO2及びレジストのエ
ッチング速度は大きくなる。特に、多結晶Siは、Cl
2 の混合比40%以下より大幅にエッチング速度が上昇
するが、40%以上添加するとエッチング速度は混合比
に対して飽和する。
【0045】また、SiO2 のエッチング速度はCl2
(100%)のもとでは12nm/minであるのに対
し、Cl2 が80%のもとでは36nm/minであ
り、僅かなSF6 の添加でエッチング速度が増大する。
さらに、WSi2 のエッチングにおいては、Cl2 (1
00%)では堆積物が発生し、エッチング速度が急激に
低下した。
【0046】次に、エッチングガスとしてSF6 を用
い、高周波電力100W、圧力75mTorr、流量100
sccmのもとで、WSi2 膜,フォトレジスト及びSiO
2 膜のエッチング速度の下部電極温度依存性を調べた。
その結果を、図4に示す。
【0047】図4より下部電極温度の低下と共にWSi
2 、レジスト及びSiO2 共にエッチング速度は減少す
ることが分かる。しかし、エッチング速度は、WSi2
の場合、下部電極温度25℃にて約350nm/mi
n、−30℃のもとでは約 300nm/minであり、大
幅な減少はみられない。同様にSiO2 の場合も、下部
電極25℃にて70nm/min、−30℃では60n
m/min であり、大幅に減少はしない。
【0048】図5に各下部電極温度に対するWSi2
パターン形状を示す。これにより、下部電極温度が低く
なるにつれ、パターンのサイドエッチング量は減少す
る。下部電極温度−30℃のもとにおいては、垂直形状
のWSi2 のエッチングがSF6 ガスのみを用いても可
能であった。ここで、−10℃以下ではサイドエッチン
グ量は10%以内であり、これはパターニングにおいて
一般に許容できる値である。また、−120℃よりも低
い温度では、側壁堆積物45が生成されパターンにすそ
引きが生じる。従って、基板温度の望ましい範囲は、−
10℃ 〜−120℃である。
【0049】図6は、図3と同様の条件の下でCl2
SF6 ガスの混合比を変えて、WSi2 /多結晶Siの
積層構造のエッチングを行ったときの形状をSEMによ
り観察した結果である。
【0050】この図から、SF6 (100%)のもとで
は、図6(a)に示すように多結晶シリコン膜42にア
ンダーカットが発生する。アンダーカットは、Cl2
添加と共に減少し、Cl2 (40%)以上の添加でアン
ダーカットはなくなり、図6(b)に示すようにCl2
の添加量40〜70%ではほぼ垂直な形状の加工が可能
となる。
【0051】さらにCl2 の添加量を増加させた場合、
多結晶Si膜42及びWSi2 膜43のパターンにすそ
ひきが生じる。そして、Cl2 (100%)のもとで
は、図6(c)に示すようにパターン側壁に堆積物45
が生成する。これは、WSi2 中のタングステン塩化
物、例えば五塩化タングステン(WCl5 ),六塩化タ
ングステン(WCl6 )の蒸気圧が低いためにこれらが
堆積すると推測される。次に、ウェハ面内でのエッチン
グ速度の均一性について調べた。
【0052】第7〜10図は、WSi2 のウェハ面内で
のエッチング速度の均一性を高周波電力,圧力,総流量
及びCl2 /SF6 の混合比を変化させて調べた結果を
示している。○はエッチング速度の均一性、●はエッチ
ング速度を表わす。下部電極の温度は−30℃である。
エッチング速度は上記エッチング条件のもとでは全てウ
ェハの中央部に比較して、ウェハ周辺部の方が大きいこ
とが分かった。
【0053】図7は、Cl2 とSF6 の混合比(Cl2
/Cl2 +SF6 =60%)のもとで、総流量100sc
cm、圧力75mTorrと一定にして高周波電力を変化させ
て、WSi2 のエッチング速度及び均一性を調べたもの
である。この図から、高周波電力の増加に伴いエッチン
グ速度は大きくなるものの均一性は逆に低下することが
分かる。また、この図から、ゲート電極等のパターニン
グに許容される20%以下の均一性を得るためには高周
波電力を160W以下、100nm/min以上のエッ
チング速度を得るためには高周波電力を70W以上とす
ればよいことが分かる。なお、上記70〜160Wは、
実験したウェハが6インチであることから、0.4〜
0.9W/cm2 の電力密度に相当する。
【0054】図8は、Cl2 とSF6 の混合比(Cl2
/Cl2 +SF6 =60%)の下で総流量100sccm、
高周波電力75W一定として、圧力を変化させてWSi
2 のエッチング速度及び均一性を調べたものである。こ
の図から、圧力の変化に対して、エッチング速度は変化
しないが、均一性は圧力が高くなるほど向上することが
わかる。しかし、圧力100mTorr以上のもとではWS
2 膜パターンにアンダーカットが生じ、良好な加工形
状は得られない。
【0055】図9は、Cl2 とSF6 の混合比(Cl2
/Cl2 +SF6 =60%)のもとで、高周波電力75
W、圧力75mTorr一定にして、総流量を変化させたと
きのエッチング速度及び均一性を調べたものである。こ
の図から、流量の変化に対してエッチング速度は変化し
ないが、ウェハ内の均一性は、流量が小さくなるにつれ
て向上することが分かる。また、流量が20sccmよりも
少なくなるとレジストパターン側壁に堆積物の形成が観
察され、流量が150sccmを越えると均一性が20%を
越した。従って、エッチング室内に導入する混合ガスの
流量は、20〜150sccmの範囲が望ましい。
【0056】図10は、高周波電力75W、圧力75m
Torr、流量30sccmの下で、Cl2とSF6 の混合比を
変化させたときのエッチング速度及び均一性を調べたも
のである。これより、Cl2 の混合比が大きくなるにつ
れて、図3に示したようにエッチング速度は低下する
が、均一性は逆に向上することが判明した。
【0057】このような実験結果より、十分なWSi2
のエッチング速度を有し、且つ均一性及びパターン形状
を満足したWSi2 のエッチングを実現するためには、
Cl2 の混合割合(Cl2 /Cl2 +SF6 )を40〜
70%に保ちながら、圧力を100mTorr以下のもと
で、高周波電力と総流量を適宜に制御しつつエッチング
することが必要である。しかし、図3に示したように、
少量のSF6の添加により、多結晶Si及びSiO2
エッチング速度は急激に増加する。従って、ウェハ面内
におけるエッチング速度の均一性が僅かでも低下した場
合、ウェハ周辺部でのエッチング速度が中央部に比較し
て大きいために、周辺部では図12(b)に示すように
SiO2 膜41がエッチングされてしまうことになる。
【0058】以上より、上記積層構造をエッチングする
工程において、高精度のエッチングを実現するために
は、基板を冷却し、WSi2 膜43はSF6 とCl2
混合ガスによりエッチングし、WSi2 膜43のエッチ
ング終了後、多結晶シリコン膜42とSiO2 膜41に
対して十分なエッチング選択比を有するCl2 ガスを主
体とするエッチングガスにより、多結晶シリコン膜42
をエッチングすることが必要であることが判明した。
【0059】そこで、次に多結晶シリコン膜42のエッ
チング特性を調べるために、リンを添加した多結晶Si
のエッチング速度及び均一性を、高周波電力を変化させ
て調べた。下部電極の温度は−30℃である、エッチン
グガスはCl2 100%流量は100sccmである。
【0060】図11は、高周波電力を変化させたときの
リン添加多結晶Siのエッチング速度及びウェハ面内の
均一性の関係を示したものである。この図において、○
はエッチング速度の均一性、●はエッチング速度を表わ
す。この図から、高周波電力の増加とともにエッチング
速度も増加する一方、ウェハ面内の均一性は、90W近
傍にて急激に向上することが分かる。また、高周波電力
50Wのもとでは多結晶シリコンにアンダーカットが生
じるが、75W以上では垂直形状のエッチングが可能で
あった。
【0061】次に、多結晶Siのエッチング速度及び均
一性を圧力を変化させて調べた。図13に示すのは、高
周波電力150Wの下で、基板温度−30℃、エッチン
グガスとしてCl2 を用いて流量100sccmとしたとし
たときの多結晶シリコン及び熱酸化膜のエッチング速
度、均一性及び選択比である。
【0062】これより、多結晶Siのエッチング速度
は、圧力が高くなるにつれて大きくなる。また、多結晶
シリコンとSiO2 との選択比も圧力とともに比例して
大きくなることが分かる。一方、多結晶Siのエッチン
グ速度の均一性は、圧力75mTorr近傍にて最も良好な
値となり、それよりも低圧力及び高圧力領域では逆に悪
化することが判明した。このときのエッチング形状をS
EMにより観察したところ、圧力75mTorrよりも高圧
力ではパターンにサイドエッチングが生じた。
【0063】また、多結晶Siのエッチング速度の均一
性及びSiO2 膜との選択性を向上させるために、Cl
2 ガス中に種々の反応性ガスを少量添加し、そのエッチ
ング特性を調べた。エッチング条件は、圧力を75mTo
rr、高周波電力を100W、ガス流量を100sccmと一
定として、Cl2 ガス中に酸素(O2 )、四塩化珪素
(SiCl4 )、窒素(N2 )及び一酸化炭素(CO)
を0〜10%程度添加して、エッチング速度、均一性、
対SiO2 選択比、対レジスト選択比及び形状について
評価した。
【0064】下記(表1)は、その結果を示したもので
ある。各エッチング特性は圧力,高周波電力,流量,ガ
ス添加量により種々変化する。(表1)より、Cl2
ス中にO2 を少量添加することにより均一性、異方性形
状を保ちつつ、選択比を向上することが可能であること
が判明した。
【0065】
【表1】
【0066】本実施例では、以上のエッチング特性を基
にして、WSi2 膜43のエッチング工程と多結晶シリ
コン膜42のエッチング工程と2工程に分け、各々最適
なエッチングガス及びエッチング条件の下で、WSi2
/多結晶Si/SiO2 の積層構造からなる被処理基体
を、図1に示した装置を用いてエッチングした。
【0067】まず、図2(b)に示すようにWSi2
43をエッチングする。このときのエッチング条件は、
下部電極の温度を−30℃に保ち、エッチングガスとし
てCl2 とSF6 ガスを用い、これらの混合比(Cl2
/Cl2 +SF6 )を40%とした。ガス流量は30sc
cm、圧力は75mTorr、高周波電力は75W(電力密度
で約0.4W/cm2 )とした。この条件でマグネトロ
ン放電を行い、WSi2 膜43が完全にエッチングされ
るまで、反応性イオンエッチングを行った。
【0068】次に、Cl2 及びSF6 ガスの供給を止め
排気を十分に行った後、下部電極の温度を−30℃に保
ちつつ、Cl2 ガスを流量100sccm、圧力75mTor
r、高周波電力密度0.5W/cm2 にて、マグネトロ
ン放電を行いリン添加多結晶シリコン膜42のエッチン
グを行った。ここで、エッチング終了後、エッチング時
間の20%だけさらにエッチング続行し、完全にエッチ
ングを行うようにした。このときのエッチング結果を、
図12(a)に示す。この図から明らかなようにウェハ
周辺及び中央共にWSi2 膜43、及びリン添加多結晶
シリコン膜42にもサイドエッチングは生じておらず、
断面垂直な形状でエッチングを行うことができた。ま
た、下地材の酸化シリコンはエッチングされることなく
良好に維持されている。
【0069】このように、本実施例方法では、WSi2
膜43のエッチング工程と、多結晶シリコン膜42のエ
ッチング工程と2工程に分け、両エッチング工程とも下
部電極を−30℃と低温に設定した下で、WSi2 膜4
3のエッチングでは、弗素を含有するガス(例えば
2 ,SF6 )とCl2 ガス、多結晶シリコン膜42の
エッチング工程ではCl2 ガス或いはCl2 ガスにO2
等を少量添加したガスを用いることにより、WSi2
び多結晶Siのエッチングにおいて、十分なエッチング
速度,良好な形状,エッチング速度の十分な均一性、さ
らには多結晶シリコン膜42とSiO2 膜41との高選
択性を実現でき、極めて高精度,高信頼性を有する積層
構造膜のエッチングを行うことができた。
【0070】従って、この方法を用いてゲート電極のパ
ターン形成を行うようにすれば、サイドエッチングもな
く高精度のパターン形成が可能となり、また、酸化シリ
コン膜が損傷を受けることがないため、ゲート絶縁膜は
良好に維持され、信頼性が高く、低抵抗のゲート電極の
形成が可能となる。
【0071】次に、種々のエッチングガスを用いて、タ
ングステン珪化物膜、多結晶シリコン膜、熱酸化膜及び
タングステン珪化膜/多結晶シリコン膜/熱酸化膜から
成る積層構造のエッチングを調べた。
【0072】タングステン珪化物膜のエッチングガスと
して、前述の実施例ではSF6 とCl2 との混合ガスを
用いてエッチングを行った。これは、下部電極温度を−
30℃に冷却することにより、SF6 ガスのみを用いて
もタングステン珪化物膜の垂直形状のエッチングが可能
ではあるが、エッチング速度分布の均一性等の点より、
上記ガスによるタングステン珪化物膜のエッチング終了
後、エッチング時間の10〜20%さらにエッチングを
続行し、完全にエッチングを行うようにした場合、下層
の多結晶シリコン膜にサイドエッチングが生じるためで
ある。
【0073】そこで、SF6 ガス単体を用いてタングス
テン珪化物膜のエッチングを行い、エッチング高速化及
び蒸気圧の小さいタングステン塩化物による汚染,ゴミ
等を抑制するこを目的として、エッチングの終点検出を
行い、オーバーエッチング時間の短縮をはかった。エッ
チング条件は、高周波電力100W,圧力75mTorr,
下部電極温度−30℃の下で、SF6 の流量を100sc
cmとした。
【0074】エッチングの終点検出には、分光器を用い
てW弗化物の発光スペクトル(波長468nm、466
nm)を検出し、発光スペクトル強度の減衰点をモニタ
ーして、エッチング終点とした。実際、タングステン珪
化物膜のエッチング形状をSEMにて観察し、発光スペ
クトル強度の減衰点とを対照させたところ、エッチング
の終点と発光スペクトルからモニターした終点とがよく
一致していることを確認した。
【0075】そこで、タングステン珪化物膜のエッチン
グ終了点まで発光スペクトルによりモニターしつつ、エ
ッチングを行った。次に、エッチング条件として高周波
電力100W,圧力75mTorr,下部電力温度−60℃
にて、エッチングガスCl2を用いて、下層の多結晶シ
リコンのエッチングを行った。エッチング形状をSEM
にて観察したところ、タングステン珪化物膜及び多結晶
シリコン共にサイドエッチングなく垂直形状のパターン
が可能であった。
【0076】次に、三弗化窒素(NF3 )及び弗素(F
2 )ガスについても上記SF6 と同様のエッチング条件
にて、積層構造のエッチングを行った。NF3 或いはF
2 ガスを用いてもSEMにより形状観察を行ったところ
垂直形状のパターンが可能であった。
【0077】さらに、NF3 或いはF2 ガスにCl2
スを適度に添加した混合ガス、SF6 ,F2 ,NF3
ス中にHBrガスを適度に添加した場合についても同様
の結果が得られた。
【0078】これまで、第2工程である多結晶シリコン
のエッチングガスとしてCl2 ガスを主成分とするガス
を用いてきた。なお、多結晶シリコンをCl2 ガスを用
いてエッチングした場合、エッチング中の発光スペクト
ルとしてCl(波長、285nm)をモニターした。こ
の場合も、タングステンと同様発光スペクトルの変化と
エッチングの終点とは対応しており、再現性良くエッチ
ング終点を検出可能であった。そこで、他のガス種につ
いて検討するために塩化水素(HCl),臭化水素(H
Br),四塩化炭素(CCl4 )及び臭素(Br2 )を
用いて多結晶シリコンのエッチングを行なった。
【0079】エッチング条件は、Cl2 ガスの場合と同
様で圧力75mTorr、高周波電力100W、流量100
sccmにて下部電極温度−30℃を基幹として、種々のエ
ッチング条件を適宜変化させた。その結果、これらのガ
スを用いた場合、適度な条件の下では垂直形状のパター
ン形成が可能であった。また、第1工程であるタングス
テン珪化物のエッチングにおいて、SF6 ,NF3 或い
はF2 ガス等の弗素を含むガスを主成分とするガス単体
及びこれらのガスとCl2 あるいはHBrとの混合ガス
のいずれかを用い、第2工程での多結晶シリコンのエッ
チングにおいて、Cl2 ,CCl4 あるいはBr2 等の
Fを含まないハロゲンを主成分とするガスのいずれかを
用いたガスの組合せを選択し、エッチングに使用するこ
とも可能である。
【0080】また、上記ガス中にHe,Ar,Kr,X
eなどの希ガスを導入することにより、エッチングの均
一性をさらに向上させることも可能である。本実験では
タングステン珪化物のエッチングについて述べたが、モ
リブデン珪化物やチタン珪化物の高融点金属珪化物又は
これらの高融点金属と多結晶シリコンと酸化シリコン膜
の積層構造に適用することも可能である。なお、下部電
極の温度としては、被エッチング材料,各エッチングガ
スの組合せ及びエッチング条件により、下部電極温度を
−120℃から50℃までの範囲で適宜制御しエッチン
グすることも可能である。
【0081】本実験では、平行平板電極を有したマグネ
トロン型の反応性イオンエッチング装置を用いている
が、マイクロ波を印加しECR放電を用いた反応性イオ
ンエッチング装置、マイクロ波或いは電子線を、印加す
ることによって生成された放電プラズマの下で被エッチ
ング基体に電圧を印加した反応性イオンエッチング装置
を用いてもよい。
【0082】また、エッチングの終点検出として、第1
の工程ではタングステン原子の発光スペクトルをモニタ
ーしたが、タングステンフロライド等のタングステン化
合物の発光スペクトルを終点検出として用いてもよい。
第2の工程では、Clの発光スペクトルをモニターした
が、四塩化ケイ素等のシリコン化合物の発光スペクトル
を終点検出として用いてもよい。その他、本発明の要旨
を逸脱しない範囲で種々変形して実施することができ
る。
【0083】
【発明の効果】以上詳述したように、本発明方法によれ
ば、酸化シリコン等の絶縁性薄膜上に形成された高融点
金属と多結晶シリコンとの積層構造膜の加工に際して、
金属をエッチングする第1の工程と多結晶シリコン膜を
エッチングする第2の工程との2つの工程に分け、基板
温度を制御しつつ、第1の工程ではSF6 ,NF3 或い
はF2 などの弗素を含むガスを主成分とするガスを用い
てエッチングを行い、第2の工程ではCl2 などのFを
含まないハロゲンを主成分とするガスを用いてエッチン
グをしているため、サイドエッチングがなく且つ酸化シ
リコンを良好に維持し、極めて高精度のパターン形成が
可能となる。
【図面の簡単な説明】
【図1】本発明の一実施例方法に使用したドライエッチ
ング装置を示す概略構成図、
【図2】本発明の一実施例方法によるパターン形成工程
を示す断面図、
【図3】ガスの混合比とエッチング速度との関係を示す
特性図、
【図4】下部電極温度とエッチング速度との関係を示す
特性図、
【図5】図3においてエッチングしたパターンの形状を
示す模式図、
【図6】ガスの混合比を変化したときのパターン形状を
示す模式図、
【図7】高周波電力とエッチング速度及び均一性との関
係を示す特性図、
【図8】圧力とエッチング速度及び均一性との関係を示
す特性図、
【図9】ガス流量とエッチング速度及び均一性との関係
を示す特性図、
【図10】混合比とエッチング速度及び均一性との関係
を示す特性図、
【図11】高周波電力とエッチング速度及び均一性との
関係を示す特性図、
【図12】エッチングしたときのパターン形状を示す模
式図、
【図13】ガス圧力とエッチング速度,均一性及び選択
比との関係を示す特性図。
【符号の説明】
10…エッチング室、 10a…第2の電極、 11…被処理基板、 12…第1の電極、 14…高周波電源、 a〜e…ガス供給ライン、 16a〜16e…バルブ、 17a〜17e…流量調整器、 18…永久磁石、 40…シリコン基板、 41…酸化シリコン膜、 42…多結晶シリコン膜、 43…タングステン珪化物膜、 44…レジストパターン。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 岡野 晴雄 神奈川県川崎市幸区小向東芝町1番地 株式会社東芝総合研究所内 (72)発明者 伊藤 雅男 神奈川県川崎市幸区小向東芝町1番地 株式会社東芝総合研究所内 (72)発明者 平塚 正人 神奈川県横浜市緑区東方町1 東京エレ クトロン株式会社内 (72)発明者 石川 吉夫 神奈川県横浜市緑区東方町1 東京エレ クトロン株式会社内 (56)参考文献 特開 平4−142736(JP,A) 特開 平4−142737(JP,A) 特開 昭58−204538(JP,A) (58)調査した分野(Int.Cl.7,DB名) H01L 21/3065 H01L 21/302 H01L 21/28 301 H01L 21/3205 H01L 29/43

Claims (3)

    (57)【特許請求の範囲】
  1. 【請求項1】絶縁性薄膜上に、第1の層をタングステン
    又はモリブデン、その下の第2層を多結晶シリコンとす
    る積層構造膜を形成し、この積層構造膜上にマスクパタ
    ーンを形成した被処理基体を真空容器内に配置し、真空
    容器内にエッチングガスを導入すると共に電界を印加し
    て放電を生起し、積層構造膜をマスクパターンに沿って
    異方的にエッチング加工するドライエッチング方法にお
    いて、 エッチングガスとして、弗素,六弗化イオウ,三弗化窒
    素のいずれかより選ばれる第1のガスと塩化水素,臭化
    水素,塩素,臭素のいずれかより選ばれる第2のガスと
    を含む混合ガスを用いて、第1の層をエッチングする第
    1のエッチング工程と、 エッチングガスとして、第2のガス又は第2のガスと不
    活性ガス,窒素ガス,酸素ガス,四塩化珪素ガスのいず
    れかとの混合ガスを用いて、第2の層をエッチングする
    第2のエッチング工程とからなることを特徴とするドラ
    イエッチング方法。
  2. 【請求項2】絶縁性薄膜上に、第1の層をタングステン
    又はモリブデン、最下部の第2層を多結晶シリコンとす
    る積層構造膜を形成し、この積層構造膜上にマスクパタ
    ーンを形成した被処理基体を真空容器内に配置し、真空
    容器内にエッチングガスを導入すると共に電界を印加し
    て放電を生起し、積層構造膜をマスクパターンに沿って
    異方的にエッチング加工するドライエッチング方法にお
    いて、 エッチングガスとして、六弗化イオウと塩素を含む混合
    ガスを用い、且つ塩素と六弗化イオウの混合比を4:6
    〜7:3、ガス流量を20〜150sccm、高周波電力密
    度を0.4〜0.9W/cmの範囲に設定して、第
    1の層をエッチングする第1のエッチング工程と、 エッチングガスとして、塩化水素,臭化水素,塩素,臭
    素のいずれかより選ばれる第2のガス、又は第2のガス
    と不活性ガス,窒素ガス,酸素ガス,四塩化珪素ガスの
    いずれかとの混合ガスを用いて、第2の層をエッチング
    する第2のエッチング工程とからなることを特徴とする
    ドライエッチング方法。
  3. 【請求項3】基板上にタングステン又はモリブデンの
    膜を形成し、この薄膜上にマスクパターンを形成した被
    処理基体を真空容器内に配置し、真空容器内にエッチン
    グガスを導入すると共に電界を印加して放電を生起し、
    薄膜をマスクパターンに沿って異方的にエッチング加工
    するドライエッチング方法において、 前記エッチングガスとして、弗素,六弗化イオウ,三弗
    化窒素のいずれかより選ばれる第1のガス、第1のガス
    と塩化水素,臭化水素,塩素,臭素のいずれかより選ば
    れる第2のガスとを含む混合ガス、又は第1のガス若し
    くは第1及び第2のガスと不活性ガス,窒素ガス,酸素
    ガス,四塩化珪素ガスのいずれかとの混合ガスを用い、
    被処理基体の温度を、−120〜−10℃の範囲に設定
    したことを特徴とするドライエッチング方法。
JP12429791A 1991-05-29 1991-05-29 ドライエッチング方法 Expired - Lifetime JP3210359B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP12429791A JP3210359B2 (ja) 1991-05-29 1991-05-29 ドライエッチング方法
EP92108844A EP0516043B1 (en) 1991-05-29 1992-05-26 Dry etching method
DE69229814T DE69229814T2 (de) 1991-05-29 1992-05-26 Methode für die Trockenätzung
US07/889,627 US5259923A (en) 1991-05-29 1992-05-28 Dry etching method
KR1019920009345A KR0170412B1 (ko) 1991-05-29 1992-05-29 드라이 에칭방법
TW081105118A TW282561B (ja) 1991-05-29 1992-06-29

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP12429791A JP3210359B2 (ja) 1991-05-29 1991-05-29 ドライエッチング方法

Publications (2)

Publication Number Publication Date
JPH04350932A JPH04350932A (ja) 1992-12-04
JP3210359B2 true JP3210359B2 (ja) 2001-09-17

Family

ID=14881849

Family Applications (1)

Application Number Title Priority Date Filing Date
JP12429791A Expired - Lifetime JP3210359B2 (ja) 1991-05-29 1991-05-29 ドライエッチング方法

Country Status (6)

Country Link
US (1) US5259923A (ja)
EP (1) EP0516043B1 (ja)
JP (1) JP3210359B2 (ja)
KR (1) KR0170412B1 (ja)
DE (1) DE69229814T2 (ja)
TW (1) TW282561B (ja)

Families Citing this family (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06151382A (ja) * 1992-11-11 1994-05-31 Toshiba Corp ドライエッチング方法
JP3181741B2 (ja) * 1993-01-11 2001-07-03 富士通株式会社 半導体装置の製造方法
US5421957A (en) * 1993-07-30 1995-06-06 Applied Materials, Inc. Low temperature etching in cold-wall CVD systems
US5759922A (en) * 1993-08-25 1998-06-02 Micron Technology, Inc. Control of etch profiles during extended overetch
US5354417A (en) * 1993-10-13 1994-10-11 Applied Materials, Inc. Etching MoSi2 using SF6, HBr and O2
JP3172758B2 (ja) * 1993-11-20 2001-06-04 東京エレクトロン株式会社 プラズマエッチング方法
JPH07221076A (ja) * 1994-02-07 1995-08-18 Nec Corp エッチング方法及びこれに用いられる装置
JPH07331460A (ja) * 1994-06-02 1995-12-19 Nippon Telegr & Teleph Corp <Ntt> ドライエッチング方法
JP2792459B2 (ja) * 1995-03-31 1998-09-03 日本電気株式会社 半導体装置の製造方法
JP3028927B2 (ja) * 1996-02-16 2000-04-04 日本電気株式会社 高融点金属膜のドライエッチング方法
US5698113A (en) * 1996-02-22 1997-12-16 The Regents Of The University Of California Recovery of Mo/Si multilayer coated optical substrates
US5854137A (en) * 1996-04-29 1998-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method for reduction of polycide residues
US5874363A (en) * 1996-05-13 1999-02-23 Kabushiki Kaisha Toshiba Polycide etching with HCL and chlorine
US5910452A (en) * 1996-05-13 1999-06-08 Winbond Electronics Corporation Method for reducing antenna effect during plasma etching procedure for semiconductor device fabrication
KR100259609B1 (ko) * 1996-06-13 2000-08-01 우성일 전이금속 박막의 식각방법
TW409152B (en) 1996-06-13 2000-10-21 Samsung Electronic Etching gas composition for ferroelectric capacitor electrode film and method for etching a transition metal thin film
US6008139A (en) * 1996-06-17 1999-12-28 Applied Materials Inc. Method of etching polycide structures
US5880033A (en) * 1996-06-17 1999-03-09 Applied Materials, Inc. Method for etching metal silicide with high selectivity to polysilicon
JP2904167B2 (ja) * 1996-12-18 1999-06-14 日本電気株式会社 半導体装置の製造方法
JPH10223608A (ja) * 1997-02-04 1998-08-21 Sony Corp 半導体装置の製造方法
US5866483A (en) * 1997-04-04 1999-02-02 Applied Materials, Inc. Method for anisotropically etching tungsten using SF6, CHF3, and N2
US6322714B1 (en) 1997-11-12 2001-11-27 Applied Materials Inc. Process for etching silicon-containing material on substrates
US6797188B1 (en) 1997-11-12 2004-09-28 Meihua Shen Self-cleaning process for etching silicon-containing material
US6872322B1 (en) 1997-11-12 2005-03-29 Applied Materials, Inc. Multiple stage process for cleaning process chambers
US6136211A (en) * 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
KR100259352B1 (ko) * 1998-01-09 2000-08-01 김영환 반도체 소자의 다층막 건식각 방법
JP4013308B2 (ja) * 1998-01-21 2007-11-28 ヤマハ株式会社 配線形成方法
JP3171161B2 (ja) * 1998-03-20 2001-05-28 日本電気株式会社 プラズマエッチング方法及びプラズマエッチング装置
US6067999A (en) * 1998-04-23 2000-05-30 International Business Machines Corporation Method for deposition tool cleaning
JP2000252259A (ja) * 1999-02-25 2000-09-14 Sony Corp ドライエッチング方法及び半導体装置の製造方法
US6660643B1 (en) * 1999-03-03 2003-12-09 Rwe Schott Solar, Inc. Etching of semiconductor wafer edges
TW501199B (en) 1999-03-05 2002-09-01 Applied Materials Inc Method for enhancing etching of TiSix
JP2000353804A (ja) * 1999-06-11 2000-12-19 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6306312B1 (en) * 1999-06-30 2001-10-23 Lam Research Corporation Method for etching a gold metal layer using a titanium hardmask
US6613682B1 (en) * 1999-10-21 2003-09-02 Applied Materials Inc. Method for in situ removal of a dielectric antireflective coating during a gate etch process
JP2001237218A (ja) 2000-02-21 2001-08-31 Nec Corp 半導体装置の製造方法
US6527968B1 (en) 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
US6544887B1 (en) 2000-03-31 2003-04-08 Lam Research Corporation Polycide etch process
US6350699B1 (en) * 2000-05-30 2002-02-26 Sharp Laboratories Of America, Inc. Method for anisotropic plasma etching using non-chlorofluorocarbon, fluorine-based chemistry
US6225202B1 (en) * 2000-06-21 2001-05-01 Chartered Semiconductor Manufacturing, Ltd. Selective etching of unreacted nickel after salicidation
US6423644B1 (en) * 2000-07-12 2002-07-23 Applied Materials, Inc. Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures
US6440870B1 (en) 2000-07-12 2002-08-27 Applied Materials, Inc. Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures
US6461974B1 (en) 2000-10-06 2002-10-08 Lam Research Corporation High temperature tungsten etching process
US6905800B1 (en) 2000-11-21 2005-06-14 Stephen Yuen Etching a substrate in a process zone
US6852242B2 (en) 2001-02-23 2005-02-08 Zhi-Wen Sun Cleaning of multicompositional etchant residues
CN1310293C (zh) * 2001-04-19 2007-04-11 东京毅力科创株式会社 干蚀刻方法
JP2005527101A (ja) * 2001-08-21 2005-09-08 シーゲイト テクノロジー エルエルシー 炭素ベースのガスを用いる磁気薄膜のイオンビームエッチング選択性の向上
US6479383B1 (en) 2002-02-05 2002-11-12 Chartered Semiconductor Manufacturing Ltd Method for selective removal of unreacted metal after silicidation
US20030235995A1 (en) * 2002-06-21 2003-12-25 Oluseyi Hakeem M. Method of increasing selectivity to mask when etching tungsten or tungsten nitride
US7098141B1 (en) * 2003-03-03 2006-08-29 Lam Research Corporation Use of silicon containing gas for CD and profile feature enhancements of gate and shallow trench structures
US7141505B2 (en) * 2003-06-27 2006-11-28 Lam Research Corporation Method for bilayer resist plasma etch
DE10358025A1 (de) * 2003-12-11 2005-07-21 Infineon Technologies Ag Verfahren zum Ätzen von Wolfram mit einer kontrollierten Seitenwandpassivierung und mit hoher Selektivität zu Polysilizium
US20050252529A1 (en) * 2004-05-12 2005-11-17 Ridgeway Robert G Low temperature CVD chamber cleaning using dilute NF3
JP2007036018A (ja) * 2005-07-28 2007-02-08 Toshiba Corp 半導体装置の製造方法
JP4593402B2 (ja) * 2005-08-25 2010-12-08 株式会社日立ハイテクノロジーズ エッチング方法およびエッチング装置
US7544621B2 (en) * 2005-11-01 2009-06-09 United Microelectronics Corp. Method of removing a metal silicide layer on a gate electrode in a semiconductor manufacturing process and etching method
JP2007266466A (ja) * 2006-03-29 2007-10-11 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置、コンピュータ記憶媒体及び処理レシピが記憶された記憶媒体
US7754610B2 (en) * 2006-06-02 2010-07-13 Applied Materials, Inc. Process for etching tungsten silicide overlying polysilicon particularly in a flash memory
KR20090125087A (ko) 2007-02-20 2009-12-03 퀄컴 엠이엠스 테크놀로지스, 인크. 마이크로전자기계 시스템〔mems〕의 에칭장치 및 에칭 방법
US7985681B2 (en) * 2007-06-22 2011-07-26 Micron Technology, Inc. Method for selectively forming symmetrical or asymmetrical features using a symmetrical photomask during fabrication of a semiconductor device and electronic systems including the semiconductor device
CN101802985A (zh) * 2007-09-14 2010-08-11 高通Mems科技公司 用于微机电系统生产的蚀刻工艺
US8118946B2 (en) 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
TWI497586B (zh) * 2011-10-31 2015-08-21 Hitachi High Tech Corp Plasma etching method
JP5932599B2 (ja) 2011-10-31 2016-06-08 株式会社日立ハイテクノロジーズ プラズマエッチング方法
JP6725176B2 (ja) * 2016-10-31 2020-07-15 株式会社日立ハイテク プラズマエッチング方法
CN110571151B (zh) * 2019-09-02 2021-10-26 武汉新芯集成电路制造有限公司 多晶硅层的制作方法、闪存及其制作方法
US11658042B2 (en) 2020-08-18 2023-05-23 Applied Materials, Inc. Methods for etching structures and smoothing sidewalls

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3216823A1 (de) * 1982-05-05 1983-11-10 Siemens AG, 1000 Berlin und 8000 München Verfahren zum herstellen von strukturen von aus metallsilizid und polysilizium bestehenden doppelschichten auf integrierte halbleiterschaltungen enthaltenden substraten durch reaktives ionenaetzen
US4680086A (en) * 1986-03-20 1987-07-14 Motorola, Inc. Dry etching of multi-layer structures
US4713141A (en) * 1986-09-22 1987-12-15 Intel Corporation Anisotropic plasma etching of tungsten
EP0297898B1 (en) * 1987-07-02 1995-10-11 Kabushiki Kaisha Toshiba Method of dry etching
JPH0383335A (ja) * 1989-08-28 1991-04-09 Hitachi Ltd エッチング方法
DE69126149T2 (de) * 1990-01-22 1998-01-02 Sony Corp Trockenätzverfahren
US5169487A (en) * 1990-08-27 1992-12-08 Micron Technology, Inc. Anisotropic etch method
US5094712A (en) * 1990-10-09 1992-03-10 Micron Technology, Inc. One chamber in-situ etch process for oxide and conductive material

Also Published As

Publication number Publication date
DE69229814T2 (de) 2000-01-20
US5259923A (en) 1993-11-09
KR0170412B1 (ko) 1999-03-30
TW282561B (ja) 1996-08-01
KR920022416A (ko) 1992-12-19
EP0516043B1 (en) 1999-08-18
EP0516043A2 (en) 1992-12-02
DE69229814D1 (de) 1999-09-23
EP0516043A3 (en) 1993-10-06
JPH04350932A (ja) 1992-12-04

Similar Documents

Publication Publication Date Title
JP3210359B2 (ja) ドライエッチング方法
US4450042A (en) Plasma etch chemistry for anisotropic etching of silicon
US7368392B2 (en) Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode
US6232209B1 (en) Semiconductor device and manufacturing method thereof
US6633072B2 (en) Fabrication method for semiconductor integrated circuit devices and semiconductor integrated circuit device
US5007982A (en) Reactive ion etching of silicon with hydrogen bromide
US5942446A (en) Fluorocarbon polymer layer deposition predominant pre-etch plasma etch method for forming patterned silicon containing dielectric layer
US7368394B2 (en) Etch methods to form anisotropic features for high aspect ratio applications
US5445710A (en) Method of manufacturing semiconductor device
US7723221B2 (en) Stacked film patterning method and gate electrode forming method
JP3152428B2 (ja) 選択的異方性を用いて局部相互接続を形成する改良方法
US6207570B1 (en) Method of manufacturing integrated circuit devices
US20070202700A1 (en) Etch methods to form anisotropic features for high aspect ratio applications
US6686292B1 (en) Plasma etch method for forming uniform linewidth residue free patterned composite silicon containing dielectric layer/silicon stack layer
US7413992B2 (en) Tungsten silicide etch process with reduced etch rate micro-loading
US6146542A (en) Dry etching method of multilayer film
KR100280866B1 (ko) 반도체장치의 제조방법
US6277736B1 (en) Method for forming gate
JP2891952B2 (ja) 半導体装置の製造方法
US20030092280A1 (en) Method for etching tungsten using NF3 and Cl2
JP2727966B2 (ja) 半導体装置の製造方法
JP2591209B2 (ja) ドライエッチング方法
JP3238563B2 (ja) 半導体装置の製造方法
JP3764858B2 (ja) Fsg膜のエッチング方法
JPH08339987A (ja) 配線形成方法

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080713

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090713

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090713

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100713

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110713

Year of fee payment: 10

EXPY Cancellation because of completion of term