TW282561B - - Google Patents

Download PDF

Info

Publication number
TW282561B
TW282561B TW081105118A TW81105118A TW282561B TW 282561 B TW282561 B TW 282561B TW 081105118 A TW081105118 A TW 081105118A TW 81105118 A TW81105118 A TW 81105118A TW 282561 B TW282561 B TW 282561B
Authority
TW
Taiwan
Prior art keywords
gas
etching
film
patent application
item
Prior art date
Application number
TW081105118A
Other languages
English (en)
Original Assignee
Tokyo Electron Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Co Ltd filed Critical Tokyo Electron Co Ltd
Application granted granted Critical
Publication of TW282561B publication Critical patent/TW282561B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

經濟部中央標準局员工消費合作社印製 A 6 B6 五、發明説明(l ) 發明之背景 1 .本發明之範圍 本發明傜有關一種乾蝕刻方法,偽用以蝕刻具有包含 諸如氣化矽薄膜之一绝緣薄膜之一多層結構及一錆、鉬或 矽酸鹽等被形成於絶緣薄膜上之薄膜;或包含那些高熔點 金屬之一之一薄膜及一多晶矽薄膜之一結構。 2 .相關技術之描述 根據半導體裝置之較高積體密度,電路之尺寸即快速 減小,然而,此種尺寸上之減小産生了 一連串的問題,尤 其在其閘電極或導線傜由下列材料所組成之情況下: (1)諸如鋁(AJ2)之具有低電阻係數及熔點之金 屬; (2 )諸如多晶矽之半導體材料;或 (3 )諸如鋳(W)或鉬(Μ 〇 )之具有高熔點之金 屬。 參考情況(1 ),其鋁傜被用作閘電極,因鋁之熔點 接近6 6 Ot:, —加熱程序即無法於鋁沈澱形成一元素之 後被提供,結果,因使用鋁,即難於達成一多層結構,而 此一結構之積體密度即無法被增加,又,於形成鋁電極之 後,需要大約1 0 0 0 °C之高溫之一擴散程序則無法被執 行,因此,其閘電極必需於製造Μ 0 S F E T s期間之源 極吸極區域之擴散後被形成,然而,一定量的配罩容許度 必需被設定於閘電極及源極吸極區域間,因此,減低了該 (請先閲讀背面之注意事項再填寫本頁) 裝- 本紙張尺度逍用中國國家標準(CNS)甲4規格(210X297公煃) -3 - 81. 5. 20,000〇{) 如561 A 6 B6 五、發明説明(?) 結構之積體密度,又,其組合的閘極及源極或吸極之電容 即被增加。 參考情況(2 ),傜使用多晶矽作為閘電極,其閘極 、源極及吸極區域可被形成為屏蔽型態,故係彼此自我匹 配,且多晶矽在加熱過程中相當地穩定;因此,配線可以 一多層方式被實施,故達一高積體密度,然而,一多晶矽 薄膜具有一電阻僳數(特殊電阻),因此,即需加入適當 的雜質至該薄膜内以降低其電阻。 事實上,縱使雜質已被加入,其電阻係數僅可被降低 至 lxl〇©Qcm,與鎢之 1X1〇-6 IIQcm 或 鉬之1X10·5 IQcm相比較仍是非常高的,因此, 當一半導體上之電路元件藉多晶矽薄膜將其彼此相互連接 時,一信號之傳輸即變得如此缓慢以致於其蓮作速度即受 到限制,同樣地,當多晶矽被作為一 Μ 0 S F E T之閘電 極時,其阻容産品即因其大電阻而變大,因此,卽需花費 較長時間將閘極電壓提昇至一設定的運作電壓,因而降低 其蓮作速度。 經濟部中央標準局員工消費合作社印製 (請先閲讀背面之注意事項再填寫本頁) 參考情況(3 ),俗將諸如鎢之一高熔點金屬作為一 閘極,縱使錆具有高電壓僳數,但錆在1 000¾之加熱 程序中仍相當穩定,因錆具有一非常高的熔點,故於形成 半導體裝置之過程期間即需相當穩定,然而,如同一般上 之金屬情況,鎢無法抵抗在一高溫及氧化氣體中之處理。 如前述,在上述情況中之任一値均無法形成一滿意的 閘電極,對一好的閘電極而言之某些標準僳:其閘電極像
I 本紙張ϋ用中國Η家標準(CNS)甲4規格(210X297公龙) _ 81. 5. 20.000(H) A 6 B6 282bGl 五、發明説明(3 ) (請先閱讀背面之注意事項再填寫本頁) 由具有一小晶粒尺寸之一晶體材料所製成;其電極表面可 簡易地被穩定;其化學抵抗力傜高的;其對鋁或一矽基體 之接觸力係高的;且其防電子遷移特性係高的。 於此際,使用具有諸如矽化錆(W S i 2 )及一多晶 矽薄膜之一金屬矽化物薄膜之一多層結構之閘極材料已被 建議用來製造具有上述特性之半導體裝置,亦即具有一高 積體密度之多晶矽閘電極Μ 0 S F E T ;於製造期間之加 熱過程中之MO S F Ε Τ的高穩定度及可靠度;與多晶矽 相比較之一低電阻傜數之半導體裝置;及該裝置之高速蓮 作力,然而,諸如鋳及一多晶矽薄膜之一高熔點金屬之多 層結構亦已被提議。 經濟部中央標準局貝工消费合作社印製 然而,處理此多層結構之方法具有下列問題,因電路 尺寸上之減少,閘電極即被減至最小,因此,被形成於閘 電極下面之閘極絶緣薄膜已被變薄至大約1 0 n m ,因此 ,—閘電極之處理能力即非取決於其高熔點金屬矽化物薄 膜晶體而取決於其多晶矽薄膜,若未獲得一需求的形狀, 亦即,一矽薄膜之末端無法從一矽化物薄膜之末端面被抽 回,即發生溝道長度之偏差。又,當作為閘極絶緣薄膜之 一氧化矽薄膜及作為閘電極之一多晶矽薄膜間之蝕刻選擇 比率對決定産品生産量而言係一主要因素時,一蝕刻處理 即需基於氣化矽薄膜及閜極絶緣薄膜間之一高選擇比率而 被實施,若此,用以形成一閘電極之過程即伴隨一極困難 之難題。 在蝕刻諸如錆之一高熔點金屬上已有許多報告,某些 本紙張尺度遑用中國國家標準(CNS)甲4規格(210x297公龙) -5 - 81. 5. 20,000(H) 28S5G1 Α6 Β6 五、發明説明(4 ) 例子偽:D· W. Hess (1 9 84年一月號之電化學協會 雜誌第1 1 5_ 1 20頁之鎢蝕刻及CF4及SF6放電 );Picard e t a 1 ...(耐溶金屬(氛、飽、飽)及SFe 、SFe —〇2中之矽之電漿蝕刻:1 985年第4期第 5卷之電漿化學及電漿處理之第335 — 35 1頁),在 每一報告中,包含氟(SF<;、CF4、CF3 Br或其 類似氣體)之一蝕刻氣體均被使用,然而,對一矽化鎢及 一多晶矽或一熱氧化薄膜之一多層结構而言,不會發生在 一鎢單層上之多種難題於其處理期間均將産生。 其間,CHi-Hwa et al.(鋳之各向異性的電漿蝕刻 :1987年12月15日之美國專利第4, 713, 1 4 1號)係直接地蝕刻一多層的高熔點金屬(例如鎮) 及一矽或一绝緣薄膜之方法,在該報告中,鎢傺使用 S F s及C又2之混合氣體予以蝕刻,該混合氣體偽作為 一蝕刻氣體,根據所掲露之該方法,可抑制鎢及S F 6間 之反應之C又傜附著於一蝕刻圔案之側面上,因而實現一 直接的蝕刻。 經濟部中央標準局貝工消費合作社印製 (請先閱讀背面之注意事項再填寫本頁) 然而,在一實際的感覺中,好的蝕刻無法藉使用諸如 六氟化硫(SFs )或一含氟氣體及一四氯化碩( CCi?4 )或(:又2之混合氣體之一含氣氣體而予以執行 ,該含氟氣體傜供一金屬矽化物薄膜用之一傳統之蝕刻氣 體,此乃因這些氣體對氣化矽之選擇比率並未達10或更 少。 又,傳統上,一無功離子蝕刻技術係被用來蝕刻一多 本紙張尺度逍用中B Η家標準(CNS)甲4規格(210x297公釐) 一 6 81. 5. 20.000(H) Α6 Β6 五、發明説明(5 ) 晶矽薄膜,然而,在一陽極耦合模態被用來供蝕刻圖案之 情況下,其選擇比率即變大,但其所處理的形狀將係非需 求的,然於一陰極耦合模態被使用之情況下,其選擇比率 即變小,但欲可獲得需求的形狀。 然而,在一晶片之任何位置均具有相同的蝕刻處理速 度亦極重要,例如,若在晶片邊緣部份之蝕刻處理快於在 中央部份的,一問題即發生:當蝕刻被執行直到多晶矽之 中央部份被完全移開為止,某些在邊緣部份中之下面的氧 化矽薄膜即已被蝕刻。 在對氧化矽之選擇比率係低的情況下,氧化矽之邊緣 部份之蝕刻即進行處理直到閘極絶緣薄膜被損害為止,又 ,因其邊緣及中央部份之蝕刻結果傜彼此不同的,其電漿 本身之待性即被改變,結果,即無法獲得一適當的形狀。 如前述,在被形成於氧化矽薄膜上之一多層薄膜之習 用的處理中(該多層薄膜包括一高熔點金屬或一砂化物金 屬及一多晶矽),從所處理的形狀、選擇比率及齊一之角 度則難以理解一蝕刻是否足夠。 經濟部屮央標準局貝工消費合作社印製 (請先閱讀背面之注意事項再填寫本頁) 本發明之摘要 本發明在考慮上述環境之情況下已被提議,其目的係 在於提供用以逹成一絶佳的處理形狀及足夠的選擇性之一 乾蝕刻方法,以處理被形成於一氣化矽薄膜或其類似物上 包括一高熔點金屬或一矽化物金屬及一多晶矽之一多層薄 膜。 本紙張λ度遑用中8 8家標準(CNS)甲4規格(210x297公釐) -η - δί. 5. 20.000(H) A 6 B6 五、發明説明泠) 本發明之主要特徴係在於選擇乾蝕刻處理中之最適當 型態之氣體及設定供處理上述多層薄膜用之最佳的可能之 蝕刻狀況。 根據本發明,提供有一乾蝕刻方法,其中,一多層薄 膜包括擇自包含錆、鉬、一矽化錡及一矽化鉬之族群之一 者係作為其第一層,而作為第二層之下面的多晶矽則被形 成於一氧化矽绝緣薄膜上,藉於其多層薄膜上形成一屏蔽 圖案而預備之一處理基體係被置放於一真空容器中,一蝕 刻氣體即被導入該真空容器中,而一放電即因提供一電場 至真空容器而發生,因此,根據其屏蔽圖案各向異性地蝕 刻其多層薄膜即包括: 第一蝕刻步驟,係用以藉擇自由氟、六氟化硫及三氣 化氮之第一氣體或包含第一氣體及擇自由包括氯化氫、溴 化氫、氯、溴及四氯化碳之第二氣體之一混合氣體作為蝕 刻氣體來蝕刻其第一層;及 經濟部中央標準局員工消費合作社印製 (請先閲讀背面之注意事項再填寫本頁) 其第二蝕刻步驟,係用以藉其第二氣體或其第二氣體 及擇自包括一惰氣、氮氣、氧氣、四氯化矽氣體及一氣化 碩氣體之族群之第三氣體之一混合氣體作為蝕刻氣體來蝕 刻其第二層。 在其第一蝕刻步驟中,其第一步驟之蝕刻最好是在包 含六氟化硫及氯之一混合氣體被作為一蝕刻氣體之情況下 被實施,六氟化硫及氯之混合比率傜被設定在4:6及7 :3之間,其氣體流量則設定在2 0及1 5 0 s c c m之 間,而其高頻功率密度則設定於0 . 4及◦ . 9 w / c nf 本紙張尺度逍用中國Η家標毕(CNS)甲4規格(210父297公*) -8 - 81. 5. 20,000(H) 2825Q1 經濟部中央標準局員工消費合作社印製 Α6 Β6 五、發明説明(7 ) 之間。· 在其第二蝕刻步驟中,被加至第二氣體之第三氣體之 量最好係在整個蝕刻氣體之體積之〇及1間之範圍内 ,其第三氣體係擇自包括惰氣、氮氣、氧氣、四氯化硫氣 體及一氧化碩氣體之族群之一種氣體,可以整個蝕刻氣體 之容積之0及10%間範圍之數量被加入蝕刻氣體中。 其第一及第二蝕刻處理需於將欲被處理之基體之溫度 維持在一 1 ◦及_ 1 2〇t:間之範圍内,最好是在一 30 及- 6 Ot:間之範圍下被實施,其蝕刻氣體之壓力最好被 設定在50及150m托之間。 又,當藉發射自反應物質、反應合成之壓力改變、電 漿阻抗或其類似物之監控光線來偵測蝕刻之终點時,其第 一蝕刻處理即可被執行,更特別地,在蝕刻其第一層之步 驟中,其蝕刻之終點傜藉發射自鋪、鉬或氟化鉬之監控光 線予以偵測,同樣地,在蝕刻其第二層之步驟中,.其終點 則藉發射自氯、漠、氯化矽或溴化矽之監控光線予以偵測 〇 縱使在蝕刻了其第一層之後,其蝕刻仍可持續蝕刻下 面的多晶矽直到完全移開其第一層為止。 然而,根據本發明,又提供了 一乾蝕刻方法,其中, 作為其第一層之包括擇自含有鎢、鉬、一矽化鎢及一矽化 鉬之一薄膜傜被形成於一氧化矽絶緣薄膜上,藉於該薄膜 上形成一屏蔽圖案而被預備之欲被處理的基體係被置放於 一真空容器内,一蝕刻氣體即被導入該真空容器内,而藉 本紙張尺度逍用中國Η家標準(CNS)甲4規格(210x297公龙) _ 〇 _ ' 81. 5. 20.000(H) (請先閲讀背面之注意事項再填寫本頁) 裝- -線- A 6 B6 五、發明説明〇 ) 提供一電場至真空容器即産生放電,因此,即根據此屏蔽 圖案各向異性地蝕刻該薄膜,其特徵在於:當使用擇自包 括氣、六氟化硫及三氟化氮之族群之第一氣體;或包括第 一氣體及擇自由氯化氫、溴化氫、氯、溴及四氯化碩所組 成之族群之第二氣體之一混合氣體;或包括其第一及第二 氣體及擇自由一惰氣、氮氣、氣氣、四氯化硫氣體及一氣 化磺氣體所組成之族群之第三氣體之一混合氣體;或包含 其第一氣體及第三氣體之一混合氣體等作為一蝕刻氣體而 使欲被處理之基體之溫度雒持在一 1 0及一1 2 01間之 範圍内時其第一層即被蝕刻。 本發明之發明人處理了各種關於被形成於氧化矽薄膜 上之一多層薄膜之蝕刻試驗,該薄膜包括作為上層之一矽 化鎢薄膜及作為下層之一含磷多晶矽薄膜而發現了下列事 實。 經濟部中央標準局员工消费合作社印製 (請先閱讀背面之注意事項再填寫本頁) 在使用S F 6氣體之一矽化錆之無功乾蝕刻中,當基 體溫度傜25°C時其蝕刻速度係300 — 400nm/ m i η ,若此,即可達高速蝕刻,然而,在此一情況下, 矽化鎢薄膜及其下面的多晶矽薄膜之邊側蝕刻將係無可避 免的,此種邊側蝕刻將會産生問題,尤其在其元件尺寸變 得越來越小時。 另一方面,在矽化錆藉使用CP2氣體而被無功乾蝕 刻之情況下,當基體之溫度傜2 5 °C時其蝕刻速度則為 5〇_1 〇0nm/m i η。 又,蝕刻速度所依附之基體溫度係在藉使用S F 6氣 本紙張尺度逍用中國8家標準(CNS)甲4規格(210x297公龙) -10 - 81. 5. 20.000(H) 經濟部中央標準局員工消费合作社印製 A 6 B6 五、發明説明夕) 體來蝕刻矽化錆之情況下被撿測,其蝕刻速度之降低係與 基體溫度之倒數成比例,但在- 301C之基體溫度下,其 蝕刻速度仍傜23〇_370nm/m i η,在此情況下 ,邊側蝕刻並未發現矽化鋳之圔案,且因此而獲得一垂直 的形狀,然而,邊側蝕刻則發生於下面的多晶矽並逹一特 定水平。 由所獲得之結果,可確知當基體溫度維持於_ 3 Ο Ό 而40 — 70%之(352氣體被加至31^時,邊側蝕刻 即不會發生於矽化錆及多晶矽上,而使一垂直形狀之一圔 案得以形成,此現象可被解釋如下: 縱使處於如所述之此一基體之冷卻條件下,由彼此結 合錆及矽化錆之矽原子及氟而産生之W F 6、S i F 4及 其類似氣體之蒸氣壓力是高的,且因此邊側蝕刻即發生, 其間,因將鋳及氯結合一起而産生之WCi?5或界0又6 之蒸氣壓力則非常低,且因此其薄膜之移開即非常困難, 結果,當C β 2被使用時其蝕刻速度即缓慢,且多半産生 一沈澱,若此,藉箸將適量Cp2混合入諸如SFe之含 氤氣體,即可維持一滿意的水準之蝕刻速度,而一 W C ^ ^ (X = 5, 6)之沈澱層則選擇性地被形成於該 圖案之側壁上,因此,氟或鋳及矽原子間之反應即被抑制 ,因此形成一垂直形狀之圖案。 需注意:當蝕刻包括矽化鋳、多晶矽及氧化矽薄膜之 一多層結構時,對氧化矽之蝕刻速度即可藉加入一少量的 S F s而大增,因此,若多晶矽及氧化矽薄膜間之蝕刻選 (請先閲讀背面之注意事項再填寫本頁) 裝· 本紙張尺度遑用中8國家標準(CNS)甲4規格(210x297公龙) -11 - 81. 5. 20.000(H) A6 . _B_6_ 五、發明説明(ίο) 擇比率像小的,而晶片表面内之蝕刻速度無法充分齊一, 其氧化矽薄膜即完全地在其晶片表面之一區段處披蝕刻。 於藉使用cp2氣來蝕刻多晶矽之情況下,當其基體 溫度係低時,其蝕刻速度即不會變得如此缓慢,且可獲得 對下面的氧化矽薄膜之一足夠的選擇性比率,因此,可確 認:能在一晶片表面逹到一需求的垂直形狀及一齊一的独 刻速度之蝕刻即可於上述條件内被實施。 其間,藉著使用一分光儀,每一蝕刻步驟均被分析有 關的光線發射,更特別地,在第一步驟中之相關的錆或矽 化鎢之波峰值及在第二步驟中之相關的C β 2之波峰值即 被檢測以找出蝕刻之终點,且以此终點撿測技術,每一蝕 刻步驟均可被控制於非常高的精密度之下。 經濟部中央標準局員工消費合作社印製 (請先閱讀背面之注意事項再填寫本頁) 藉由此技術之使用,欲被處理之基體之溫度係被冷卻 至一 6 0 °C ,其矽化錆薄膜之蝕刻即在其第一步驟中被執 行,此處,即可單獨使用S F 6而將該薄膜蝕刻成一垂直 形狀,之後,維持基體溫度於- 6 0 °C ,其多晶矽薄膜則 僅使用如同第二步驟中之C β 2予以蝕刻,此處,亦可將 多晶矽薄膜蝕刻成一垂直形狀,且獲得多晶矽及氣化矽間 之一充分的選擇比率,因此,即可於一晶片之邊緣及中心 部份將一薄膜蝕刻成一垂直形狀。 因晶Η溫度之降低,多晶矽及氧化矽薄膜間之選擇性 即大增,又,並無可見的殘餘或沈澱。 如上述,首先,一矽化鶴薄膜僅藉SFe氣體、或 C ί 2及S F β之混合氣體將其蝕刻直到無蝕刻殘餘為止 本紙張尺度逍用中國因家標準(CNS)甲4規格(210X297公釐) -12 - 81. 5. 20.000(H) 282561 A6 B6 經濟部中央標準局貝工消費合作社印製 五、發明説明(Π ) ,然後,一多晶矽薄膜即藉主要包含C 5 2之一氣體予以 蝕刻,若此,對氧化矽具有一高選擇性之蝕刻即可被逹成 ,而覆蓋於上面之矽化鋳及覆蓋於下面之多晶矽則可在無 邊側蝕刻、或諸如沈澱之殘留物下被適當地蝕刻,又,因 覆於上面及覆於下面之薄膜可於相同的晶Η溫度下被蝕刻 ,其蝕刻方法之過程則被簡化,因此,增進其效率。 本發明之額外的目的及優點將描述於下文中,其部份 目的及優點從該描述中卽可顯見,或由本發明之實際操作 中亦可得知,本發明之目的及優點藉由申請專利範圍中所 特別指出之手指及組合而得以被瞭解及被獲得。 圖式之簡單說明: 被併入詳細說明書内且組成詳細說明書之一部份之所 附圖式顯示了本發明目前之較佳具體化實施例,並結合了 上述之一般性描述及用以解釋本發明的原理之下列較佳具 體化實施例之詳細說明。 圖1顯示使用於根據之一具體化方法中之一乾蝕刻裝 置結構之概圖; 圖2 A - 2 C傺根據本發明之具體化方法中之若干層 级之圖案形成步驟之一材料的橫斷面; 圖3係一氣體之混合比率及一蝕刻速度間之相互關係 之特性圖; 圔4傜一下層電極之溫度及一蝕刻速度間之相互關係 之特性圖; (請先閱讀背面之注意事項再填寫本頁)
本紙張尺度逍用中a B家標準(CNS)甲4規格(210X297公釐) -13 - 81. 5. 20,000(H) 經濟部中央標準局貝工消费合作社印製 A 6 B 6 五、發明説明(12) 圖5 A - 5 F係當如同圖3中所示般地被蝕刻時之独 刻形狀之斷面圖; 圖6 A - 6 C係具有各種氣體混合比率之蝕刻形狀之 横斷面圖; 圖7偽一高頻功率及一蝕刻速度間;及高頻功率及蝕 刻速度之齊一性間之相互關傜之特性圖; 圖8係一壓力及一蝕刻速度間;及其壓力與蝕刻速度 之齊一性間之相互關係之特性圖; 圖9傜一氣體流量及一蝕刻速度間;及其氣體流量與 蝕刻速度之齊一性間之相互關傜之特性圖; 圖1 0傜一氣體之混合比率及一蝕刻速度間;及其混 合比率與蝕刻速度之齊一性間之相互關係之特性圖; 圖1 1俗一高頻功率及一蝕刻速度間;及其高頻功率 與蝕刻速度之齊一性間之相互關係之特性圔; 圖12A及圖12B傜被設計來供比較用之一半導體 晶片之蝕刻形狀之横斷面圖; 圖1 3傺一氣體壓力及一蝕刻速度間;及其氣體壓力 與蝕刻速度之齊一性間;及其氣體壓力與選擇比率間之相 互關偽之特性圖; 圖1 4傜可應用於本發明之一電漿蝕刻模態之一乾蝕 刻裝置結構之概圖;及 圖1 5傜可應用於本發明之一無功離子蝕刻模態之一 乾蝕刻裝置結構之概圖。 (請先閱讀背面之注意事項再填寫本頁)
本紙張尺度逍用中Η困家樣準(CNS)甲4規格(210x297公龙) 一 14 一 81. 5. 20.000(H) 經濟部中央標準局員工消費合作社印製 A 6 B6 五、發明説明(13) 本具體化實施例之詳細描述 本發明將參考附圖中所示之具體化實施例而予以詳細 解釋。 圖1顯示根據本發明之一方法中所使用之一乾蝕刻裝 置之結構之概圖,該裝置包括一真空容器之蝕刻室10、 一入口預備室20、及一出口預備室30,前述各室均彼 此串聯連接,一閘極閥2 1係被設置於蝕刻室1 0及入口 預備室2 0間以封閉其間之連接,而一閘極閥3 1則位於 蝕刻室1 0及出口預備室3 0間以封閉其間之連接。 在其入口預備室2 ◦中,設有一基體安裝座23,同 樣地,另一基體安裝座3 3則設置於出口預備室3 0内, 至於在蝕刻室1 ◦中保持真空狀態,一欲被處理之基體 1 1即可經間極閥2 2被輸入至入口預備室2 0或經閘極 閥32從出口預備室30輸出,若此,因水氣、氧氣或大 氣中之類似物之影響即可被避免。 在蝕刻室10中,設有第一電極12,欲被處理之基 體1 1即被安裝於第一電極1 2上,一高頻功率源1 4則 經一阻隔二極體1 3連接至電極1 2 ,而1 3 . 5 6 MHz之高頻電壓即被提供至電極12,用以固定基體 1 1之靜電夾盤(圔中未顯示)俗被設置於電極1 2上, 而夾盤之溫度像由一冷卻管1 5所控制,電極1 2之邊綠 則被由碳所製成之一環50所覆蓋,故電極即不會被電漿 所蝕刻。 蝕刻室1 ◦之頂壁傜作為第二電極1 2之相對電極 (請先閱讀背面之注意事項再填寫本頁)
本紙張尺度逍用中國國家標準(CNS)甲4規格(210x297公龙) -15 - 81. 5. 20.000(H) 經濟部中央標準局貝工消费合作社印製 A 6 B6 五、發明説明(14) 1 0 a (第二電極),一永久磁鐵1 8則設於第二電極 1 0 a之上面部份上,其磁鐵1 8係被一馬達1 9驅動, 在基體1 1表面上之磁通密度可藉改變永久磁鐵1 8之磁 力而於6 ◦及120 〔G〕間之範圍内變動,又,蝕刻室 1 0之内壁表面則藉一加熱器(圖中未顯示)將其加熱至 一預定溫度。 被連接至蝕刻室10者係一氯氣供應線路a、一氧氣 供應線路b、一 SFff供應線路c、一NF3供應線路d 及一F2供應線路e,閥16a - 16e及流量調整器 1 7 a — 1 7 e則被設置於個別的氣髏供應線路a _ e以 控制每一線咯之流量及氣體壓力,就上述結構而言,一預 定的氣體俗被導入蝕刻室1 0内,隨後,一高頻電壓即被 提供於其第一及第二電極1 2及1 0 a之間以於其間産生 放電。 供檢測每一第一及第二層之蝕刻终點用之一终點撿測 器3 4係被設置於如圖中假想線所示處,係位於閜極閥 3 1之外側,其終點撿測器3 4可為該種型態:相當於一 反應結果之波長之光線發射量的改變即被檢測以指示出其 終點之位置;或為另種型態:檢測其反應結果之壓力改變 ;或為另種型態:檢測電漿阻抗之改變。 使用上述裝置之一蝕刻方法將被討論如下: 如圖2A中所可見者,l〇nm厚之氣化矽( S i 0 2 )薄膜4 1傜藉熱氧化而被形成於一矽基體4 0 上;一 1 5 ◦ n m多晶矽薄膜4 2則藉C V D法而沈澱於 .........:····. 4.......裝.....灯····.·線 (請先閲讀背面之注意事項再塡窝本頁) 本紙張尺度逍用中B B家«準(CNS)甲4規格(210x297公¢) -16 - 81. 5. 20,000(H) Λ 6 Β6 五、發明説明(15) 氧化砂薄膜41上,磷隨後即被擴散入多晶矽薄膜42以 形成一 N型多晶砂薄膜,於該多晶矽薄膜上則藉濺射沈澱 法形成一 200nm厚的矽化鎢(WS i2 )薄膜43, 之後,一抗蝕圖案44即被形成於所形成之矽化鎢薄膜 4 3上,該抗蝕圖案44係藉將一基髏表面披覆由酚醛清 漆樹脂所製成之一光敏抗蝕層所預備而成,該披覆則選擇 性地藉石版印刷法分解成一需求圖案。 如此形成之基體11欲被處理時係置放於圖1中所示 之乾蝕刻裝置之電極12上,其WS i 2薄膜43則選擇 性地藉隨後將解釋之如圖2中所示之方法予以蝕刻,又, 其多晶矽薄膜4 2則如圖2 C中所示般選擇性地被蝕刻。 使用圖1中所示裝置之蝕刻WS i 2之方法將被描述 於下。 經濟部中央標準局貝工消費合作社印製 圖3偽顯示一混合氣體之混合比率及一蝕刻速度間之 相互關係之圖表;其具有一特殊混合比率之一 S Fs及 C又2混合氣體傜被導入其蝕刻室1 ◦以蝕刻W S i 2薄 膜4 3 ;其多晶矽薄膜4 2 ;其S i 0 2薄膜4 1 ;及抗 言虫層4 4。 此處,當將触刻室1 ◦内之壓力維持不變(7 5m托 )且其總流量維持於lOOsccm時,氣及 5 F δ氣體之部份壓力即被改變,其電極1 2溫度傜 — 3〇°C而高頻功率俗l〇〇w (功率密度:0. 57w / c m2 ),其蝕刻室1 〇之側壁及上面的電極1 〇 a則 81. 5. 20.000(H) (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度通用中國國家標毕(CNS)甲4規格(210x297公*) -17 - 繼561 A6 B6 五、發明説明(16) 被加熱至6 0 C,其εί刻時間係一分鐘,在圖3中,〇表 示對W S i 2之蝕刻速度;△則表示抗蝕層者;鲁表示多 晶矽者;□則表示S i 0 2者。 由圖3中清楚可見:C 之混合比率越小;其 W S i 2、多晶矽、S i Ο 2及抗蝕層中之蝕刻速度則越 快,尤其是,當Cj2之混合比率降至40%或更低時, 在多晶矽層中之蝕刻速度即大增,然而,當該比率係4 0 %或更多時,其蝕刻速度則不會有較大的變化。 藉著使用氛氣(1 〇◦%),對S i〇2之蝕刻速度 係12nm/mi η,然而,若使用80%之氣體 ,其蝕刻速度傜3 6 n m/m i η ,若此,其蝕刻速度即 藉S F s之小量增加而增加,其間,在W S i 2層之蝕刻 中,於Cj2氣(100%)中即産生一沈澱,而其蝕刻 速度即快速地減小。 經濟部中央標準局兵工消費合作社印製 (請先閲讀背面之注意事項再塡寫本頁) 接下來,其下面的電極溫度及所依附之蝕刻速度係有 關於每一 W S i 2薄膜、光敏層及S i 0 2薄膜而以 S F 6作為蝕刻氣體;高頻功率為1 0 ◦ W ;壓力係7 5 m托;流量俗1 0◦ s c cm,其結果係繪於圖4之圖表 中,在圖4中,〇表示對WS i 2之蝕刻速度;△表示抗 蝕層者;·則表示S i ◦ 2者。 如圖4中所可見者,因下面的電極溫度之下降,其每 一 W S i 2 —抗蝕及S i ◦ 2層之蝕刻速度多少會下降, 於W S i 2之情況下,在下面的電極溫度2 5它時其蝕刻 速度大約3 5〇n m / m i η,但在_ 3 0 °C時則大約 本紙張尺度遑用中國國家樣準(CNS)甲4規格(210x297公龙) -18 - 81. 5. 20.000(H) 經濟部中央標準局員工消費合作社印製 A 6 B6 五、發明説明(17) 300nm/m i η,並未見大量降低現象,同樣地,於 S i〇2之情況中,下面電極25°C時其蝕刻速度偽7〇 nm/mi η,若一3〇°C 時則為 6〇nm/mi η,且 並無可見的大量下降。 5Α — 5 F顯示於多數個下面電極溫度下被蝕刻之 WS i 2薄膜之圖案形狀,在這些圔式中,相同的元件即 被標示以如圖2A中所示之相同的參考號碼,諸如矽基體 4 0、 1 0 n m厚的氣化矽(S i 0 2 )薄膜4 1、 1 5 ◦ n m厚的多晶矽薄膜4 2、2 0 0 n m厚的矽化鎢 (WS i2 )薄膜43及抗蝕圖案44,圖5A — 5F* 別顯示下面電極溫度(或基體溫度)係2 5它、0 t:、 —10Ό、一 3〇t:、一 120°c 及一150°C 之情況。 由各該圖式可知:下面電極之溫度越低,圖案之邊側 蝕刻量越小,在下面電極溫度俗一3 0 ΐ:之情況下,可僅 使用SFe氣體將WS i 2蝕刻成一垂直形狀,在溫度一 1 0 °C之情況下,其邊側蝕刻量最多係1 0 % ,該量係對 圖案之一般性容許量之範圍内,其間,在一 1 0°C或更低 之一溫度下,側壁沈澱4 5即被産生而使圖案變形(加寬 其底端部份),若此,其基體最好係在_ι〇υ及 一 1 2 0 °C間之範圍内。 圖6A—6C顯示在與圖3的那些相同情況下於 C i? 2及S F 6之各種混合比率下被蝕刻之具有一 WS i 2 /多晶矽多層結構之一材料之SEM可見的横斷 面,在這些圖式中,相同的元件均標示有如圖2A中所示 本紙張尺度逍用中國Η家標準(CNS)甲4規格(210x297公度)~二 _ 81. 5. 20.000(H) (請先閲讀背面之注意事項再填寫本頁) 裝- 訂·· A6 B6 邮561 五、發明説明as) (請先閱讀背面之注意事項再填寫本頁) 之相同的參考號碼,諸如矽基體4 ◦、1 0 n m厚之氧化 矽薄膜4 1、1 5 ◦ n m厚多晶矽薄膜4 2、2 0 〇 n m 厚之矽化錆(WS i2 )薄膜43、及抗蝕圖案44,圖 6A — 6C分別顯示SFe氣體僳100%、40 — 7〇 %及(3)22氣體係1 00%之情況。 如同由各該圖式中所可理解者:以100%之SFe ,即如圖6 A所示般地於多晶矽薄膜4 2上産生底面切割 ,其底面切割之量隨著Cp2之增加而減少,且當 之量傜40%時,即未見有任何底面切割,如圖6B中所 示,當所增加之量傜40 — 70%時,一實質上垂 直的形狀即被製造出來。 若再行增加C 5 2之量,其多晶矽薄膜4 2及 WS i 2薄膜43之圖案則被變形成一加寬的底端,當 Cj?2係1〇0%時,沈澱45即如圖6C中所示般地被 産生於圖案之側壁上,其所産生的尤澱被預期係被包含於 W S i 2薄膜内之氯化錆,諸如五氛化鋳(W C β 5 )及 六氯化鎮(W C β s ),而這些氯化物則因一低蒸氣壓力 而被沈澱。 經濟部中央標準局員工消費合作社印製 各種試驗係考慮晶片表面上之蝕刻速度及其齊一性而 被處理,更特別地,對一晶片表面之W S i 2薄膜之蝕刻 速度及其齊一性係在可變的條件下,亦E卩,高頻功率、壓 力、總流量及Cj2 /SF6之混合比率可變之條件下被 量測,其結果則被顯示於圖7 — 1 〇 ,在這些圔式中,〇 表示蝕刻速度之齊一性,而奉則表示一蝕刻速度,其下面 本紙張尺度逍用中Η困家標毕(CNS)甲4規格(210x297公*) -20 - 81. 5. 20,000 ⑻ 經濟部中央標準局貝工消費合作社印製 A 6 B6 五、發明説明(19 ) 電極之溫度則被固定在一30Ό,在上述條件下,即發現 在一晶片邊綠中之蝕刻速度係快於其中央部份者,所有情 況下均如此。 圖7傜顯示對WS i 2之蝕刻速度及其齊一性在 (:52及3卩6 (Ci22/Ci2+SF6)間之混合比 率被固定在6 ◦% ;其總流量逹lOOsccm;壓力達 7 5πι托及一可變的高頻功率下之圖表,由該圖式中可知 :當高頻功率增加時,其蝕刻速度即變得較快,但其齊一 性則降低,又,該圖式指出:為逹成2 0 %或更少之一齊 一性(該齊一性傜位於供閘電極或其類似物之圖案造型用 之容許量之範圍内),其高頻功率需被設定至160w或 更少,而為逹10 0 nm/mi η或更高之一独刻速度, 其高頻功率需被設定在7Ow或更高,在考慮被使用於此 試驗中之晶片尺寸(6英时)下,70 — 1 60w之功率 係相當於0. 4 — 0. 9w/cnf之功率密度。 圖8俗顯示WS i 2之蝕刻速度及齊一性在Ci?2及 5 F 6 (Cj2/Cj?2+SF6)混合比率被固定在 6 0% ;總流量達1 00 s c cm ;高頻功率達75w及 一可變的壓力下之圖表,由該圖中可知:縱使其壓力改變 ,其蝕刻速度亦無改變,但當壓力上升時其齊一性則增加 ,然而,在l〇〇m托或更高之壓力下,底端切割即發生 於W S i 2薄膜圖案上,因此即無法獲得一需求形狀。 圖9係顯示WS i 2之蝕刻速度及其齊一性在C^2 及SF6 (Ce2 / Ci?2 + SFe )間之混合比率被固 (請先閲讀背面之注意事項再填寫本頁) 裝· 訂 本紙張尺度遑用中a Η家標毕(CNS)甲4規格(210x297公埂) -21 - 81. 5. 20.000(H) A 6 B6 五、發明説明¢0) 定在60%;高頻功率達75w ;壓力逹75m托及一可 變的總流量下之圖表,由該圖中可知:當流量改變時其蝕 刻速度均不變,但當流量變小時其齊一性則增加,當流量 被減低至少於20 s c cm,抗蝕圖案之側壁上之沈澱之 形成即顯而易見,但當流量超過1 50 s c cm時,其齊 一性即越過20%,因此,被導入蝕刻室内之混合氣體之 流量最好係20 — 1 50 s c cm。 圔1 0偽WS i 2之蝕刻速度及其齊一性在高頻功率 固定在7 5w ;壓力逹7 5m托;總流量逹30 s c cm 及Ci?2 _SFs間之混合比率可變下之圖表,由該圖中 可知:如已顯示於圖3中者般,當C P 2之混合比率增加 時其蝕刻速度即減緩,但其齊一性則與C又2比率之增加 一起成長。 經濟部中央標準局员工消費合作社印製 (請先閲讀背面之注意事項再填寫本頁) 綜合各該試驗之結果,為使蝕刻對W S i 2達到一足 夠的蝕刻速度;滿意的蝕刻速度之齊一性及圖案形狀,將 氯(0:52/<3又2+3?6)之混合比率維持在4〇一 7〇%内;保持壓力低於1 0 ◦ m托且適當地控制其高頻 功率及其總流量偽極重要的,然而,如同圖3中已顯示的 ,僅增加少量的S F 5 ,每一多晶矽及S i Ο 2中之蝕刻 速度即大增,結果,若一晶片表面中之蝕刻速度之齊一性 縱使小程度地被減低,因晶片邊緣中之蝕刻速度快於中央 部份者,其S i Ο 2薄膜4 1即於邊緣區域中被蝕刻,如 圖1 2 B中所示。 若此,為達成具有上述多層结構之一晶片之高度精確 本紙張尺度逍用中B Η家標準(CNS)甲4規格(210x297公*) : _ 81. 5. 20,000(H) 經濟部中央標準局貝工消费合作社印製 Μ 五、發明説明Cl) 的蝕刻,其重要的項見係:其基體需被冷卻達一需求溫度 ;其奴3 i2薄膜43需藉含有SFs及之一混合 氣體予以蝕刻;其多晶矽薄膜4 2需藉主要包括C ί 2之 一蝕刻氣體予以蝕刻使於蝕刻W S i 2 4 3後之有關於多 晶矽薄膜4 2及S i 0 2薄膜4 1具有一足夠的蝕刻選擇 比率。 接下來,為檢測多晶矽薄膜4 2之蝕刻特性,加磷的 多晶矽之蝕刻速度;其齊一性及一可變的高頻功率均將被 量測,其下面基體之溫度傜被固定在-3 0 °C ,所使用之 蝕刻氣體係1 0 0 %之C 5 2 ,而其流量則為1 0 0 s c c m 〇 圖1 1係加磷的多晶矽之蝕刻速度及一可變的高頻功 率間;及其蝕刻速度広齊一性及一可變的高頻功率間之相 互關偽,在該圖中,〇表示一蝕刻速度之齊一性,而•則 表示一蝕刻速度,如由該圖中所可見者,當高頻功率上升 時其蝕刻速度即增加,但晶片表面上之蝕刻速度之齊一性 則大增至大約9 0 W ,其間,在5 0 W之高頻功率處,底 端切割即發生於多晶矽,但在7 5 w或更高之功率處,由 蝕刻即可獲得一垂直形狀。 接下來,多晶矽之蝕刻速度及蝕刻速度之齊一性與一 可變壓力均被量測,圖1 3顯示多晶矽之蝕刻速度(以· 表示)、齊一性(〇)及選擇比率(Si/Si〇2)( △),偽使用Ci?2氣體,而將高頻功率被固定在150 w;基體溫度為_30°C;流量逹lOOsccm,除壓 (請先閱讀背面之注意事項再填寫本頁)
本紙張尺度遑用中β B家標準(CNS)甲4規格(210X297公龙) -23 - 81. 5. 20.000(H) A 6 B6
咖SGI 五、發明説明隹2) 力係可變者外。 (請先閲讀背面之注意事項再填寫本頁) 如同由該圖中所可理解者,當壓力上升時多晶矽之独 刻速度即增加,多晶矽及S i 0 2 (熱氧化薄膜)間之選 擇比率則與壓力一起正確地增加,另一方面,其蝕刻速度 之齊一性則增加至大約7 5 Π1托壓力之波峰值,且當壓力 從7 5π!托抱至更高或更低區域時,其齊一性即惡化,隨 後,由S Ε Μ察知之蝕刻形狀則指出當壓力高於7 5 m托 時發生於圔案上之邊側蝕刻。 隨後,為了增進對多晶矽之蝕刻速度之齊一性及關於 S i 0 2薄膜之選擇性,一小量的各種無功氣體即被加至 C又2氣,若此,其触刻特性即被撿測,其蝕刻過程即藉 著蝕刻速度、齊一性、對S ί 0 2及抗蝕層之選擇比率及 圖案之形狀等字見予以估算,而其蝕刻條件則為:壓力、 高頻功率、而氣體流量則被固定於7 5 m托、1 ◦ 0 w及 lOOsccm,而大約0 — 10%的氧(〇2 )、四氯 化矽(S i C )、氮(N 2 )及一氣化碩(C ◦)則 被加入C又2氣内。 經濟部中央標準局員工消#合作社印製 表1顯示此檢測之結果,其蝕刻特性像根據壓力、高 頻功率、流量及加入氣體量而改變,如同由表1中所顯見 者,一少量的氣被加入氯氣中於保持其齊一性及各向異性 形狀時有助於增進其選擇性比率。 本紙張尺度逍用中困B家標毕(CNS)甲4規格(210x297公*) -24 - 81. 5. 20,000(H) 五、發明説明(?3) 表 1 A 6 Β6 經濟部中央標準局員工消費合作社印製 蝕刻氣體 炫件· 独刻速度 (A/m i η) 齊一性 (96) 對氣化矽薄糢 之選擇比率 對抗蝕層之 選擇比率 形狀 50SCCX 2532 11.6 21.5 3.9 各向異性 100SCCX 2556 10.9 23.7 3.8 各向異性 95/5 100W 2896 13.2 32.2 3.8 各向異性 C又2 +〇? 98/2 100W 2755 13.1 30.3 4.1 底端切割 95/5 asow 2622 11.0 16.9 2.6 各向異性 190/10.150W 4492 10.7 19.0 2.7 各向異性 C 5 2+S i c s, 90/10 100W 2620 19.8 30.2 4.0 各向異性 70/10 100W 2430 15.0 36.8 4.4 各向異性 C J? 2 + N 2 90/10 100W 2541 9.1 22.1 4,2 削尖 50/50 100W 1958 4.9 13.0 8.6 削尖 C S 2+CO 90/10 100W 2243 12.2 26.0 4.5 各向異性 95/5 100W 2483 10.9 22.0 3-6 各向異性 (請先閲讀背面之注意事項再填寫本頁) 6紙張尺度逍用中國國家標準(CNS)甲4規格(210x297公龙) 25 - 81. 5. 20.000(H) 經濟部中央標準局員工消費合作社印製 A6 ____B6___ 五、發明説明¢4) 在此例中,其蝕刻步驟被分為兩部份:蝕刻W S i 2 薄膜;及蝕刻多晶矽薄膜,基於其蝕刻特性,具有 WS i 2 /多晶矽/s i 〇2之多層結構之欲被處理之基 體偽藉使用被顯示於圖1中之裝置在最適合之蝕刻條件且 具有最適當之蝕刻氣體下被蝕刻。 首先,如同圖2B中所可見者,其WS i 2薄膜43 被蝕刻,其蝕刻條件係:下面電極之溫度被固定一 3 0 t: ;具有40%之混合比率(C$2 / Ci?2 + SFs )之 C β 2 — S F s混合氣體作為蝕刻氣體;而其氣體流量、 壓力、及高頻功率(功率密度)則被設定為30 seem 、75m托、及75w (大約0. 4W/CIH2),在這些 條件下,磁控管放電則被感應來執行無功離子蝕刻直到其 W S i 2完全被蝕刻為止。 然後,Ce2 /SFs氣體之供應即被停止,而殘留 的氣體則被排出,當將下面電極之溫度維持在一 3 0 t:時 ,磁控管放電則使用Ci?2氣在流量、壓力及高頻功率密 度被固定在100 seem、75m托及0. 5w/ era2 下被進行,藉以蝕刻加磷的多晶矽薄膜4 2,縱使在該薄 膜被蝕刻後,其蝕刻處理仍持續另一 2 ◦ %之蝕刻時間以 確保該薄膜完全被蝕刻。 圖1 2 A顯示其蝕刻結果,如同從該圖中所可顯見者 ,並無邊側蝕刻發生於晶片或W S i 2薄膜4 3或加磷的 多晶矽薄膜4 2之邊緣或中央部份,而於其横斷面獲得一 垂直的形狀,又,下面的氧化矽則被保留於未被蝕刻的良 (請先閲讀背面之注意事項再填寫本頁) 裝- 本紙張尺度逍用中國國家樣準(CNS)甲4規格(210x297公龙) -26 - 81. 5. 20.000(H) Λ 6 Β6 28^561 五、發明説明25) 好狀態。 如上述,在此例之方法中,其蝕刻步驟傜被分成兩個 步驟,其一傺供W S i 2薄膜4 3用;另一像供多晶矽薄 膜4 2用,在兩蝕刻步驟中,其下面電極像被冷卻至 一 3〇υ,在WS i2薄膜43之蝕刻中,一含氟氣體( 諸如F2或3?〃)及Cj2氣體即被使用,然而,在多 晶矽薄膜4 2之蝕刻中,C又2氣、或其相同但包含所加 入之一少量的0 2之氣體則被使用,若此,W S i 2及多 晶矽之蝕刻中,即可逹一足夠的蝕刻速度、一需求的形狀 、其蝕刻速度之一足夠的齊一性及多晶矽薄膜4 2與 S i〇2薄膜4 1間之一高選擇性,因此,蝕刻具有多層 結構之晶片即以一極高的精密度及高可信度予以進行。 結果,當此方法被提供至一閘電極之圖案形成,一圖 案可以一高精密度且新邊側蝕刻之産生般地予以形成,又 ,因氧化矽薄膜從未受損,其閘極絶緣薄膜即可被保存於 一良好狀態,因此,可獲得具有一高可信度及低電阻偽數 之一閘電極。 接下來,藉著使用各種蝕刻氣體,即可蝕刻一矽化鎢 薄膜、多晶矽薄膜、熱氧化薄膜及包括矽化鎬薄膜/多晶 矽薄膜/熱氧化薄膜之一多層結構。 如同供矽化錆薄膜使用之蝕刻氣體,一 S F 6 / C 5 2混合氣體即因下列理由而被使用於前述例子中,亦 即,藉著將下面電極冷卻至一 3 0 °C,即可藉僅使用 S F 6而形成矽化錆之一垂直形狀,然而,在其蝕刻處理 (請先閱讀背面之注意事項再填寫本頁) 裝- 訂 經濟部中央標準局貝工消費合作社印製 本紙張尺度逍用中B困家標準(CNS)甲4規格(210X297公釐) -27 _ 81. 5. 20.000(H) 經濟部中央標準局貝工消費合作社印製 A 6 B6 五、發明説明¢6) 被持續另一値2 0%的蝕刻時間以確保縱使在其薄膜披独 刻後仍使用前述氣體完全地蝕刻矽化鋳薄膜之情況下,邊 側蝕刻即因蝕刻速度齊一性之程度而發生於下面的多晶矽 薄膜上。 在上述考慮中,矽化錆薄膜之蝕刻僅藉使用S F 6氣 體即可予以進行,而蝕刻终點之檢測則為增加蝕刻速度且 避免因具有一小蒸氣壓力之矽化鎢而造成之污染及浪費之 目的而被進行,若此,用以從頭到尾蝕刻之時間即被縮短 Ο 其蝕刻條件傜:其高頻功率傜1 0 0 W ;壓力像7 5 m托;下面電極溫度係—3 0 °C ;其S F 6流量則為 l〇〇sccm。 其間,為發現蝕刻之终點,一分光儀即被用來檢測氟 化鋁之光譜(波長:468nm;466nm),若此, 其發射光譜強度之衰減點即被監控以決定蝕刻之終點,其 矽化鎢薄膜之蝕刻形狀傜藉S E Μ精確地察知並與光譜強 度之衰減點相比較,即可確知:蝕刻之终點係與從所監控 的發射光譜所測得之终點相符。 若此,當監控發射光譜以偵測矽化鋳薄膜之终點時蝕 刻俗被進行,然後,下面的多晶矽之蝕刻則藉使用C β 2 作為蝕刻氣體而在下列條件:高頻功率1 0 ◦ W、壓力 75m托及下面電極溫度為一 60 °C下被進行,其蝕刻形 狀之觀察顯示出並無任何邊側蝕刻發生於矽化鎢薄膜上, 因此,即可獲得一垂直形狀之圖案。 (請先閱讀背面之注意事項再填寫本頁) 裝- -訂' 本紙張尺度逍用中a 8家標準(CNS)甲4規格(210x297公;¢) -28 - 81. 5. 20.000(H) Α6 Β 6 五、發明説明¢7) 接下來,關於三氣化氮氣體(N F 3 )及氟(F s ) 氣體,具有多層結構之一晶片之蝕刻係在與供上述S F 6 用之相同條件下進行,此處,由S E Μ所觀察之蝕刻形狀 指出:在NF3氣體及F2氣體之兩種情況下均獲得一垂 直形狀之圖案。 又,同樣的結果以藉將一適當的C β 2加入N F 3或 F2而預備之一混合氣體或藉將一適量的HBr氣體加入 SFe、F2或NF3而預備之一混合氣體即可獲得。 在蝕刻其多晶矽之第二步驟中,主要包含氯氣之一氣 體被作為蝕刻氣體,此處,此蝕刻期間,其氯之發射光譜 (波長:2 8 5 n m )則被監控,如同矽化錆薄膜之情況 中,其發射光譜之衰減點係與蝕刻終點相符,因此,其終 點可於一高再現性下被檢測,與其他型態的氣體相比較, 多晶矽之蝕刻俗藉使用氯化氫(H C 5)、溴化氫( HBr)、四氯化碩(CC$4 )及溴(Βγ2 )而予以 進行。 其蝕刻條件俗基於C 3? 2氣之情況而被設定,亦即, 經濟部中央標準局貝工消t合作社印製 (請先閱讀背面之注意事項再填寫本頁) 其壓力係75m托、其高頻功率係10〇w、其流量係 1 00 s c cm而其下面的電極溫度傜一30 °C,而此條 件將會因每一試驗之目的而被改變,其結果顯示出:一垂 直形狀圖案之形成在使用這些氣體之適當的條件下係可能 的,又亦可能藉使用主要包括諸如SFe、NF3或卩2 之含氟氣體或包括此氣體;及蝕刻矽化鎢之第一步驟中之 Cj?2 SHBr之一混合氣體;及主要包括諸如Ci?2、 本紙張尺度遑用中國Η家標準(CNS)甲4規格(210x297公龙) '81. 5. 20.000(H) A6 B6 28^)501 五、發明説明¢8) CCi2<或在蝕刻多晶矽之第二步驟中之Βγ2之一無氣 鹵氣之一氣體進行成功的蝕刻。 (請先閱讀背面之注意事項再填寫本頁) 又,藉著將諸如He、 Ar、 Kr或Xe等惰性氣體 導入上述氣體中,其蝕刻速度之齊一性即可被增進,縱使 此試驗俗指向矽化鶴之蝕刻,此試驗之蝕刻方法亦可被提 供至具有諸如矽化鋳及矽化鈦;或多晶矽及氣化矽薄膜之 這些材料之高熔點矽化金屬之一多層結構之一晶片,根據 欲被蝕刻之一材料、蝕刻氣體之組合及蝕刻條件,其下面 電極之溫度可於一 1 2 Ot:及5 0°C間之範圍内被改變。 經濟部中央標準局員工消費合作社印製 被使用於此例中之無功離子蝕刻裝置係具有平行之平 坦的屏極之磁控管型態,然而,本發明並未受限於此種型 態之各裝置,該型態中之微波傜被用感應ECR放電,或 ,此型態中之一電壓傜被提供至藉提供微波或可被使用之 電子束而産生之放電電漿中之一欲被蝕刻之基體,圖14 及1 5顯示可被應用於本發明之蝕刻裝置,更特別地,圖 1 4顯示其電漿蝕刻模態之一乾蝕刻裝置,其結構中,蝕 刻室1 0之一側上設有一閘極閥2 1 ,而一下面電極1 2 則設於位在底側上之一未處理的基體1 1上,一高頻功率 源1 4則經一阻隔二極體1 3連接至電極1 2以提供一 13. 56MHz之高頻功率至電極12,又,根據本結 構,其冷卻管1 5即如同圖1中所示之裝置般地被連接至 下面電極1 2 ,以將下面電極1 2冷卻至一預定溫度,下 面電極12之頂面上方則設有包含一上面電極51而面對 下面電極12之一電極體52,其上面電極51及電極體 本紙張尺度逍用中國Η家標準(CNS)甲4規格(210X297公釐) 一 30 - 81. 5. 20.000(H) Λ 6 Β6 經濟部中央標準局貝工消费合作社印製 五、發明説明¢9) 5 2間尚有些許空間5 3,一氣體供應管54即被連接至 此空間5 3 , —反應氣體即經該氣體供應管5 4而可從外 側氣體源被提供至該空間5 3 ,被提供至該空間5 3之反 應氣體則可經被形成於上面電極5 1内之若干孔5 5而被 導入蝕刻室1 0内,一高頻功率源1 4則經一電容器1 3 而被連接至上面電極5 1。 其間,圖1 5顯示一無功離子蝕刻模態之一乾蝕刻裝 置,此外,一高頻電極1 4傜被連接至一下面電極1 2, 相同的元件即被標示以與圖1 4中所示者相同之號碼,且 其解釋因而被省略。 被顯示於圖14及15中之每一裝置均可根據圖1中 所示之裝置之相同的程序予以操作。 然而,在第一步驟中,鎢原子之發射光譜係被監控以 偵測蝕刻之终點,但亦可為諸如被監控之氟化錆之一錆化 合物之發射光譜,在第二步驟中,氯之發射光譜則被監控 以偵測蝕刻之终點,但亦可為諸如被監控之四氯化矽之一 矽化合物之發射光譜,除了這些之外,蝕刻之终點可藉監 控反應結果之壓力改變或電漿阻抗之改變而予以偵測。 如上述,根據本發明即提供有處理包括被形成於諸如 氣化矽及多晶矽之一絶緣薄膜上之一金屬矽化物(或高熔 點金屬)之一多層結構之一薄膜的方法,該方法偽被分成 用以藉使用主要包括SF«、NF3或厂2之一氣體蝕刻 金屬矽化物之第一步驟及用以藉使用諸如C β 2之無氣鹵 氣X蝕刻多晶矽薄膜之第二步驟(前述步驟係於控制基體 (請先閱讀背面之注意事項再填寫本頁) 裝· 訂 線· 本紙張尺度逍用中國Β家標準(CNS)甲4規格(210X297公釐) 31 - 81. 5. 20.000(H) A 6 B6 五、發明説明(30) 之溫度下進行),因此,一高品質之圖案即形成,而無邊 側蝕刻發生且其氧化矽可被保存於一良好狀態。 額外的優點及修正將快速地發生於該項技術的這些技 ϋ中,因此,本發明之廣泛的涵蓋面使其並未受限於其所 描述的細節及所顯示之實施例,故各種修飾均可在未脱離 由所附之申請專利範圍及其等效産品所界定之一般性的發 明觀念之精神及領域下進行。 (請先閲讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消f合作社印製 本紙張尺度通用中a Β家樣毕(CNS)曱4規格(210x297公釐) -32 - 81. 5. 20.000(H)

Claims (1)

  1. A7 B7 C7 D7 經濟部中央標準局A工消費合作杜印製 六、申請專利範困 第81105118號專利申請案 中文申請專利範圍修正本 民國8 3年4月修正 1 · 一種乾蝕刻方法,其中,包括擇自由鎢、鉬、矽 化鎢及矽化鉬所組成之族群之一多層薄膜係作爲其第一層 ,而在下面之作爲第二層之多晶矽係被形成於氧化矽絕綠 薄膜上,藉著於該多層薄膜上形成一屛蔽圖案所預備之一 被處理的基體被置放於一眞空容器內,一蝕刻氣體被導入 該眞空容器內,且放電藉著提供一電場至該眞空容器而發 生,因此根據該屛蔽圖#各向異性地蝕刻該多層薄膜,包 括: 零::乂、 藉著使用擇自由氟、化硫及三氟化氮所組成之族V# 群之第一氣體:或包括該第k氣體及擇自由氯化氫、溴化 氫、氯、溴及四氯化碳所組成之族群之第二氣體之一混合 氣體作爲蝕刻氣體來蝕刻該第一層之第一蝕刻步驟,在將 該基體維持於一1 〇°C到一1 2 o°c的溫度範圍的同時, 該第一蝕刻步驟被執行;及 藉著使用該第二氣體:或包括該第二氣體及擇自由包 含氮氣之惰氣、氧氣、四氯化矽氣體及一氧化碳氣體所組 成之族群之第三氣體之一混合氣體作爲蝕刻氣體來蝕刻該 第二層之第二蝕刻步驟,在將該基體維持於一 1 o°c到 —1 2 0eC的溫度範圍的同時,該第二蝕刻步驟被執行。 2 ·根據申請專利範圍第1項中所述之乾蝕刻方法, (請先閲面之注4W*項再場寫本頁) i裝· 訂 " 表紙張尺度適用中囲困家標準(CNS)甲4规格(21〇 X 297公釐) A7 B7 C7 D7 經濟部中央標準局R工消费合作社印製 六、申請專利範園 其中,在其第一蝕刻步驟中,包含一混合比率爲4 : 6至 7 : 3之氣及六氟化硫之一混合氣體係被作爲蝕刻氣體, 而一氣體流量及一高頻功率密度則分別被設定在2 0 -I50sccm及 0 · 4-0 . 9w/cm2,藉以触刻其 第一層。 3 ·根據申請專利範圍第1項中所述之乾蝕刻方法, 其中,在其第二蝕刻步驟中,其第三氣體係擇自由一惰氣 、氮氣、氧氣、四氯化矽氣體及一氧化碳氣體所組成之族 群,而被混合入其第二氣體者係整個蝕刻氣體之〇 — 1 〇 %容稹。 4 ·根據申請專利範圍第1項中所述之乾蝕刻方法, 其中,在其第一蝕刻步驟中,其第三氣體係擇自由惰氣、 氮氣、氧氣、四氯化矽氣體及一氧化碳氣體所組成之族群 ,更以整個蝕刻氣體之一 0 - 1 0 %之容積被加入蝕刻氣 體內。 5 ·根據申請專利範圍第1項中所述之乾蝕刻方法, 其中,其第一及第二蝕刻步驟係於將欲被處理之基髏之溫 度維持於一1 0及一 1 2 0°C間之範圍時被執行。 6 ·根據申請專利範圍第1項中所述之乾蝕刻方法, 其中,其第一及第二蝕刻步驟係於將欲被處理之基體之溫 度維持於一 3 0及一 6 0°C間之範圍內時被執行。 7 ·根據申請專利範圍第1項中所述之乾蝕刻方法, 其中,其第一蝕刻步驟係當藉監控器偵測一蝕刻終點時予 以實施。 衣紙張尺度適用中囲困家標準(CNS)甲4规格(210 X 297公釐) {請先聞讀背面之注意事项再填寫本頁) 丨裝_ 訂. X線· A7 B7 C7 D7 六、申請專利範囲 8 ·根據申請專利範園第1項中所述之乾蝕刻方法, 其中,其第二蝕刻步驟係當藉監控器偵測一蝕刻終點時予 以實施。 9 ·根據申請專利範圍第1項中所述之乾蝕刻方法, 其中,縱使於蝕刻其第一層之後,其蝕刻可被持嫌以蝕刻 下面的多晶矽。 1 〇 ·根據申請專利範圍第1項中所述之乾蝕刻方法 ,其中,其第一及第二蝕刻步驟係藉一磁控管型態或放電 電漿型態之無功離子蝕刻裝置予以賁施。 1 1 ·根據申請專利範圍第1項中所述之乾蝕刻方法 ,其中,其第一及第二蝕刻步驟係藉一電漿型態蝕刻裝置 予以實施。 1 2 ·—種乾蝕刻方法,其中,包括擇自由鎢、鉬、 矽化鎢及矽化鉬所組成之族群之金屬或金屬化合物之一薄 膜係被形成於氧化矽絕緣薄膜上而作爲第一層,藉著於該 薄膜上形成一屛蔽圖案所預備之一欲被處理之基體被置放 於一眞空容器內,一蝕刻氣體被導入該眞空容器內,且藉 著提供一電場至該眞空容器而感應一放電,因此根據該屛 蔽圖案而各向異性地蝕刻該薄膜,其特徵在於在將欲被處 理之基體溫度維持於一 1 0及一 1 2 0°C間之範圍內的同 時,該第一層被蝕刻,係使用: 包含擇自由氟,六氟化硫及三氟化氮所組成之族群之 第一氣體,及擇自由氯化氫,溴化氫,氯,溴及四氯化碳 所組成之族群之第二氣體的混合氣體: -3 - (請先閲讀背面之注$項再填寫本頁) 1 丨裝· 訂· 線· 本紙張A度適用中困國家標準(CNS)甲4规格(210 X 2耵公董) ^8^501 A7 B7 C7 _D7 六、申請專利範園 或包含該第一及第二氣體及擇自包括氮氣之惰氣,氧 氣,四氯化矽氣體及一氧化碳氣體之第三氣體的混合氣體 或包含該第一氣體及該第三氣體的混合氣體,作爲触 刻氣體。 (請先閱讀背面之注意事項再塡寫本頁) 丨裝· 訂 線. 經濟部中央標準局員工消费合作社印製 本紙張尺度適用中國國家標準(CNS)甲4规格(210 X 297公釐) 4
TW081105118A 1991-05-29 1992-06-29 TW282561B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP12429791A JP3210359B2 (ja) 1991-05-29 1991-05-29 ドライエッチング方法

Publications (1)

Publication Number Publication Date
TW282561B true TW282561B (zh) 1996-08-01

Family

ID=14881849

Family Applications (1)

Application Number Title Priority Date Filing Date
TW081105118A TW282561B (zh) 1991-05-29 1992-06-29

Country Status (6)

Country Link
US (1) US5259923A (zh)
EP (1) EP0516043B1 (zh)
JP (1) JP3210359B2 (zh)
KR (1) KR0170412B1 (zh)
DE (1) DE69229814T2 (zh)
TW (1) TW282561B (zh)

Families Citing this family (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06151382A (ja) * 1992-11-11 1994-05-31 Toshiba Corp ドライエッチング方法
JP3181741B2 (ja) * 1993-01-11 2001-07-03 富士通株式会社 半導体装置の製造方法
US5421957A (en) * 1993-07-30 1995-06-06 Applied Materials, Inc. Low temperature etching in cold-wall CVD systems
US5759922A (en) * 1993-08-25 1998-06-02 Micron Technology, Inc. Control of etch profiles during extended overetch
US5354417A (en) * 1993-10-13 1994-10-11 Applied Materials, Inc. Etching MoSi2 using SF6, HBr and O2
JP3172758B2 (ja) * 1993-11-20 2001-06-04 東京エレクトロン株式会社 プラズマエッチング方法
JPH07221076A (ja) * 1994-02-07 1995-08-18 Nec Corp エッチング方法及びこれに用いられる装置
JPH07331460A (ja) * 1994-06-02 1995-12-19 Nippon Telegr & Teleph Corp <Ntt> ドライエッチング方法
JP2792459B2 (ja) * 1995-03-31 1998-09-03 日本電気株式会社 半導体装置の製造方法
JP3028927B2 (ja) * 1996-02-16 2000-04-04 日本電気株式会社 高融点金属膜のドライエッチング方法
US5698113A (en) * 1996-02-22 1997-12-16 The Regents Of The University Of California Recovery of Mo/Si multilayer coated optical substrates
US5854137A (en) * 1996-04-29 1998-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method for reduction of polycide residues
US5874363A (en) * 1996-05-13 1999-02-23 Kabushiki Kaisha Toshiba Polycide etching with HCL and chlorine
US5910452A (en) * 1996-05-13 1999-06-08 Winbond Electronics Corporation Method for reducing antenna effect during plasma etching procedure for semiconductor device fabrication
TW409152B (en) 1996-06-13 2000-10-21 Samsung Electronic Etching gas composition for ferroelectric capacitor electrode film and method for etching a transition metal thin film
KR100259609B1 (ko) * 1996-06-13 2000-08-01 우성일 전이금속 박막의 식각방법
US5880033A (en) * 1996-06-17 1999-03-09 Applied Materials, Inc. Method for etching metal silicide with high selectivity to polysilicon
US6008139A (en) * 1996-06-17 1999-12-28 Applied Materials Inc. Method of etching polycide structures
JP2904167B2 (ja) * 1996-12-18 1999-06-14 日本電気株式会社 半導体装置の製造方法
JPH10223608A (ja) * 1997-02-04 1998-08-21 Sony Corp 半導体装置の製造方法
US5866483A (en) * 1997-04-04 1999-02-02 Applied Materials, Inc. Method for anisotropically etching tungsten using SF6, CHF3, and N2
US6322714B1 (en) 1997-11-12 2001-11-27 Applied Materials Inc. Process for etching silicon-containing material on substrates
US6797188B1 (en) 1997-11-12 2004-09-28 Meihua Shen Self-cleaning process for etching silicon-containing material
US6136211A (en) * 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
US6872322B1 (en) 1997-11-12 2005-03-29 Applied Materials, Inc. Multiple stage process for cleaning process chambers
KR100259352B1 (ko) * 1998-01-09 2000-08-01 김영환 반도체 소자의 다층막 건식각 방법
JP4013308B2 (ja) * 1998-01-21 2007-11-28 ヤマハ株式会社 配線形成方法
JP3171161B2 (ja) * 1998-03-20 2001-05-28 日本電気株式会社 プラズマエッチング方法及びプラズマエッチング装置
US6067999A (en) * 1998-04-23 2000-05-30 International Business Machines Corporation Method for deposition tool cleaning
JP2000252259A (ja) * 1999-02-25 2000-09-14 Sony Corp ドライエッチング方法及び半導体装置の製造方法
US6660643B1 (en) * 1999-03-03 2003-12-09 Rwe Schott Solar, Inc. Etching of semiconductor wafer edges
TW501199B (en) 1999-03-05 2002-09-01 Applied Materials Inc Method for enhancing etching of TiSix
JP2000353804A (ja) * 1999-06-11 2000-12-19 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6306312B1 (en) * 1999-06-30 2001-10-23 Lam Research Corporation Method for etching a gold metal layer using a titanium hardmask
US6613682B1 (en) * 1999-10-21 2003-09-02 Applied Materials Inc. Method for in situ removal of a dielectric antireflective coating during a gate etch process
JP2001237218A (ja) 2000-02-21 2001-08-31 Nec Corp 半導体装置の製造方法
US6527968B1 (en) 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
US6544887B1 (en) 2000-03-31 2003-04-08 Lam Research Corporation Polycide etch process
US6350699B1 (en) * 2000-05-30 2002-02-26 Sharp Laboratories Of America, Inc. Method for anisotropic plasma etching using non-chlorofluorocarbon, fluorine-based chemistry
US6225202B1 (en) * 2000-06-21 2001-05-01 Chartered Semiconductor Manufacturing, Ltd. Selective etching of unreacted nickel after salicidation
US6423644B1 (en) 2000-07-12 2002-07-23 Applied Materials, Inc. Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures
US6440870B1 (en) 2000-07-12 2002-08-27 Applied Materials, Inc. Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures
US6461974B1 (en) 2000-10-06 2002-10-08 Lam Research Corporation High temperature tungsten etching process
US6905800B1 (en) 2000-11-21 2005-06-14 Stephen Yuen Etching a substrate in a process zone
US6852242B2 (en) 2001-02-23 2005-02-08 Zhi-Wen Sun Cleaning of multicompositional etchant residues
WO2002086957A1 (fr) * 2001-04-19 2002-10-31 Tokyo Electron Limited Procede de gravure a sec
WO2003019590A1 (en) * 2001-08-21 2003-03-06 Seagate Technology Llc Enhanced ion beam etch selectivity of magnetic thin films using carbon-based gases
US6479383B1 (en) 2002-02-05 2002-11-12 Chartered Semiconductor Manufacturing Ltd Method for selective removal of unreacted metal after silicidation
US20030235995A1 (en) * 2002-06-21 2003-12-25 Oluseyi Hakeem M. Method of increasing selectivity to mask when etching tungsten or tungsten nitride
US7098141B1 (en) * 2003-03-03 2006-08-29 Lam Research Corporation Use of silicon containing gas for CD and profile feature enhancements of gate and shallow trench structures
US7141505B2 (en) * 2003-06-27 2006-11-28 Lam Research Corporation Method for bilayer resist plasma etch
DE10358025A1 (de) * 2003-12-11 2005-07-21 Infineon Technologies Ag Verfahren zum Ätzen von Wolfram mit einer kontrollierten Seitenwandpassivierung und mit hoher Selektivität zu Polysilizium
US20050252529A1 (en) * 2004-05-12 2005-11-17 Ridgeway Robert G Low temperature CVD chamber cleaning using dilute NF3
JP2007036018A (ja) * 2005-07-28 2007-02-08 Toshiba Corp 半導体装置の製造方法
JP4593402B2 (ja) * 2005-08-25 2010-12-08 株式会社日立ハイテクノロジーズ エッチング方法およびエッチング装置
US7544621B2 (en) * 2005-11-01 2009-06-09 United Microelectronics Corp. Method of removing a metal silicide layer on a gate electrode in a semiconductor manufacturing process and etching method
JP2007266466A (ja) * 2006-03-29 2007-10-11 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置、コンピュータ記憶媒体及び処理レシピが記憶された記憶媒体
US7754610B2 (en) * 2006-06-02 2010-07-13 Applied Materials, Inc. Process for etching tungsten silicide overlying polysilicon particularly in a flash memory
EP2104948A2 (en) 2007-02-20 2009-09-30 Qualcomm Mems Technologies, Inc. Equipment and methods for etching of mems
US7985681B2 (en) * 2007-06-22 2011-07-26 Micron Technology, Inc. Method for selectively forming symmetrical or asymmetrical features using a symmetrical photomask during fabrication of a semiconductor device and electronic systems including the semiconductor device
JP2011501874A (ja) * 2007-09-14 2011-01-13 クォルコム・メムズ・テクノロジーズ・インコーポレーテッド Mems製造において使用されるエッチングプロセス
US8118946B2 (en) 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
JP5932599B2 (ja) * 2011-10-31 2016-06-08 株式会社日立ハイテクノロジーズ プラズマエッチング方法
TWI497586B (zh) * 2011-10-31 2015-08-21 Hitachi High Tech Corp Plasma etching method
JP6725176B2 (ja) * 2016-10-31 2020-07-15 株式会社日立ハイテク プラズマエッチング方法
CN110571151B (zh) * 2019-09-02 2021-10-26 武汉新芯集成电路制造有限公司 多晶硅层的制作方法、闪存及其制作方法
WO2022039849A1 (en) * 2020-08-18 2022-02-24 Applied Materials, Inc. Methods for etching structures and smoothing sidewalls

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3216823A1 (de) * 1982-05-05 1983-11-10 Siemens AG, 1000 Berlin und 8000 München Verfahren zum herstellen von strukturen von aus metallsilizid und polysilizium bestehenden doppelschichten auf integrierte halbleiterschaltungen enthaltenden substraten durch reaktives ionenaetzen
US4680086A (en) * 1986-03-20 1987-07-14 Motorola, Inc. Dry etching of multi-layer structures
US4713141A (en) * 1986-09-22 1987-12-15 Intel Corporation Anisotropic plasma etching of tungsten
DE3854561T2 (de) * 1987-07-02 1996-05-02 Toshiba Kawasaki Kk Verfahren zum Trockenätzen.
JPH0383335A (ja) * 1989-08-28 1991-04-09 Hitachi Ltd エッチング方法
DE69126149T2 (de) * 1990-01-22 1998-01-02 Sony Corp Trockenätzverfahren
US5169487A (en) * 1990-08-27 1992-12-08 Micron Technology, Inc. Anisotropic etch method
US5094712A (en) * 1990-10-09 1992-03-10 Micron Technology, Inc. One chamber in-situ etch process for oxide and conductive material

Also Published As

Publication number Publication date
KR0170412B1 (ko) 1999-03-30
US5259923A (en) 1993-11-09
DE69229814D1 (de) 1999-09-23
DE69229814T2 (de) 2000-01-20
JP3210359B2 (ja) 2001-09-17
EP0516043A3 (en) 1993-10-06
JPH04350932A (ja) 1992-12-04
KR920022416A (ko) 1992-12-19
EP0516043B1 (en) 1999-08-18
EP0516043A2 (en) 1992-12-02

Similar Documents

Publication Publication Date Title
TW282561B (zh)
US6670278B2 (en) Method of plasma etching of silicon carbide
CN105190840B (zh) 用于多图案化应用的光调谐硬掩模
US5302240A (en) Method of manufacturing semiconductor device
JP4579611B2 (ja) ドライエッチング方法
JP2019046994A (ja) エッチング方法
US7413990B2 (en) Method of fabricating a dual damascene interconnect structure
US6930048B1 (en) Etching a metal hard mask for an integrated circuit structure
JP5577530B2 (ja) 六フッ化硫黄(sf6)および炭化水素ガスを用いた反射防止層のパターニング方法
KR101569938B1 (ko) 임계 치수 바이어스가 감소된 실리콘 함유 반사 방지 코팅층의 에칭 방법
US20040171272A1 (en) Method of etching metallic materials to form a tapered profile
TWI487027B (zh) Plasma oxidation treatment method
JPH0590224A (ja) 半導体装置の製造方法
EP1062694A1 (en) Process for copper etch back
JP2010153852A (ja) 空隙を充填するべく低抵抗率のタングステン膜を堆積させる方法
US9653320B2 (en) Methods for etching a hardmask layer for an interconnection structure for semiconductor applications
CN109964303A (zh) 经由物理气相沉积沉积非晶硅层或碳氧化硅层的方法
TWI785110B (zh) 用於自對準多重圖案化之選擇性氧化物蝕刻方法
US20160079088A1 (en) Method for etching a hardmask layer for an interconnection structure for semiconductor applications
JPH10178014A (ja) 半導体装置の製造方法
JP3238563B2 (ja) 半導体装置の製造方法
US20050009342A1 (en) Method for etching an organic anti-reflective coating (OARC)
TWI794289B (zh) 用於自對準多重圖案化之選擇性氮化物蝕刻方法
US20240162047A1 (en) Etching method, method for manufacturing semiconductor device, etching program, and plasma processing apparatus
JP4436463B2 (ja) 3つの独立制御電極を具備したエッチングチャンバ装置

Legal Events

Date Code Title Description
MK4A Expiration of patent term of an invention patent