TWI785110B - 用於自對準多重圖案化之選擇性氧化物蝕刻方法 - Google Patents

用於自對準多重圖案化之選擇性氧化物蝕刻方法 Download PDF

Info

Publication number
TWI785110B
TWI785110B TW107131476A TW107131476A TWI785110B TW I785110 B TWI785110 B TW I785110B TW 107131476 A TW107131476 A TW 107131476A TW 107131476 A TW107131476 A TW 107131476A TW I785110 B TWI785110 B TW I785110B
Authority
TW
Taiwan
Prior art keywords
etching method
plasma
substrate
chemical mixture
processing gas
Prior art date
Application number
TW107131476A
Other languages
English (en)
Other versions
TW201921489A (zh
Inventor
索南 D 夏爾巴
艾洛克 蘭傑
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW201921489A publication Critical patent/TW201921489A/zh
Application granted granted Critical
Publication of TWI785110B publication Critical patent/TWI785110B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)

Abstract

本文描述蝕刻的方法。該方法包含藉由電漿激發第一處理氣體而形成第一化學混合物,該第一處理氣體包含惰性氣體及選自由He和H2 所組成之群組的至少一額外氣體,及將基板上的第一材料曝露於第一化學混合物以改質第一材料的第一區域。之後,該方法包含藉由電漿激發第二處理氣體而形成第二化學混合物,該第二處理氣體包含惰性氣體和含有C、H、和F的額外氣體,及將基板上的第一材料曝露於經電漿激發之第二處理氣體以相對於第二材料選擇性地蝕刻包含矽氧化物的第一材料,並自基板的第一區域移除經改質的第一材料。

Description

用於自對準多重圖案化之選擇性氧化物蝕刻方法
本發明關於用於蝕刻的方法,且尤其關於針對電子元件應用用於蝕刻薄膜的精密蝕刻技術。
[相關申請案]
本專利申請案主張於2017年9月13日申請、標題為“SELECTIVE OXIDE ETCHING METHOD FOR SELF-ALIGNED MULTIPLE PATTERNING之美國臨時專利申請案第62/558,195號的權利,其全部內容於此藉由參照納入本案揭示內容。
本發明關於製造諸如積體電路及用於積體電路的電晶體及電晶體元件之半導體元件的方法。在半導體元件的製造中(特別是在微觀尺度上),執行諸多製造程序,諸如成膜沉積、蝕刻遮罩產生、圖案化、材料蝕刻和移除、及摻雜處理,其係重複地執行以在基板上形成期望的半導體元件。歷史上,微製程的情況下,電晶體產生在一平面中,而配線/金屬化在上方形成,且因而以二維(2D)電路或2D製造為特徵。縮放上的努力已大幅增加2D電路中每單位面 積之電晶體的數量,然而隨著縮放進入單位數奈米半導體元件製造節點,縮放上的努力遭遇更大的挑戰。隨著EUV(極紫外線)微影術的延誤,半導體元件製造者已使用自對準多重圖案化技術擴展習知的微影術。
當元件結構緻密化及垂直地發展時,對於精密材料蝕刻的需求變得更加強烈。在選擇性、輪廓、ARDE(深寬比相依的蝕刻)、及電漿蝕刻製程中的均勻性之間的權衡變得難以管理。目前藉由平衡這些權衡而進行圖案化及圖案轉移的方法係無法長期保持的。這些權衡的根本原因係無法獨立控制離子能量、離子通量、及自由基通量。然而,諸如原子層蝕刻(ALE)的自限制製程提供可行的途徑,以藉由將蝕刻製程分成表面改質及改質之表面區域的移除之連續步驟而避開這些權衡,從而允許自由基通量和離子通量和能量之作用的分離。
此處技術關於使用精密蝕刻技術的元件製造。
描述蝕刻的方法。該方法包含藉由電漿激發第一處理氣體而形成第一化學混合物,該第一處理氣體包含惰性氣體及選自由He和H2所組成之群組的至少一額外氣體,及將基板上的第一材料曝露於第一化學混合物以改質第一材料的第一區域。之後,該方法包含藉由電漿激發第二處理氣體而形成第二化學混合物,該第二處理氣體包含惰性氣體和含有C、H、和F的額外氣體,及將基板上的第一材料曝露於經電漿激發之第二處理氣體以相對於第二材料選擇性地蝕刻包含矽氧化物的第一材料,並自基板的第一區域移除經改質的第一材料。
當然,如本文描述之不同步驟的討論順序已為了清楚起見而呈現。通常,這些步驟可以任何適當的順序執行。此外,雖然本文各個不同的特徵、技術、配置等可在本揭示內容的不同地方討論,但吾人欲使各概念可彼此獨立或彼此結合而實行。因此,本發明可以許多不同的方式體現及審視。
注意此發明內容章節未明確指出本揭示內容或所請發明的所有實施例及/或增加的新穎實施態樣。取而代之的是,此發明內容僅提供不同實施例的初步討論、及優於習知技術的新穎性對應點。對於本發明及實施例的額外細節及/或可能的看法,讀者可參照下方進一步討論的實施方式章節及本揭示內容之相對應的圖式。
100:第一材料
101:第一區域
102:序列
103:第二區域
104:序列
110:第二材料
120:基板
200:流程圖
210:步驟
220:步驟
230:步驟
240:步驟
250:步驟
在隨附圖式中:圖1根據實施例描繪蝕刻基板上之薄膜的方法的示意圖;圖2根據實施例提供說明蝕刻基板之方法的流程圖;圖3根據實施例提供蝕刻基板的示例數據;及圖4A至4D根據諸多實施例提供用於執行蝕刻的方法之電漿處理系統的示意圖。
本文的技術關於使用精密蝕刻技術的元件製造。一些例子顯示在半導體製造中之前段製程(FEOL,例如電晶體製造)及後段製程(BEOL,例 如互連線製造)兩者中,其中氧化物及氮化物膜(通常本質上為含矽的)需要以高精度蝕刻。
半導體製造中的諸多製造序列需要用於2D(二維)及3D(三維)元件結構兩者的精密蝕刻技術。儘管如此,三層蝕刻遮罩(例如包含光阻、抗反射塗層(ARC)、有機碳膜)仍作為將遮罩圖案化至下方層中的主力。目前的挑戰涉及在沒有加載人造物、垂直側壁輪廓、及方形底部的情況下以對於中間層之極大選擇性獲得圖案轉移。連續的電漿蝕刻製程不允許針對如此挑戰的解決方案。且進一步而言,當前的挑戰涉及執行關鍵蝕刻步驟(包含間隔件蝕刻)以使心軸對準的多重圖案顯露。
根據諸多實施例,描述使用包含低分子量稀有氣體、氫、及基於C、H、及F之化學品的化學品之精密循環式電漿蝕刻技術以供蝕刻矽氧化物膜(例如SiOx或SiO2)。當應用至自對準多重圖案化方案時,間隔件蝕刻需要對於下方膜及心軸材料的非等向性及選擇性,例如,保形地施加的矽氧化物層應相對於其他材料在心軸的頂部及心軸圖案間之開放空間的底部上較快地蝕刻。
根據幾個實施例,圖1及2說明蝕刻薄膜的方法。描繪成流程圖200的方法包含:在步驟210中,提供具有包含矽氧化物材料的第一材料100及不同於第一材料100之第二材料110的基板120。基板120可包含平面工件,諸如半導體工件或面板。基板120亦可包含具有諸多組成之額外的層及/或結構。在一些實施例中,矽氮化物(例如Si3O4)層可設置在第一及第二材料100、110與基板120之間。
該方法更包含:在步驟220中,藉由電漿激發第一處理氣體而形成第一化學混合物,該第一處理氣體包含惰性氣體及選自由He及H2所組成之群 組的至少一額外氣體;及在步驟230中,將基板120上的第一材料100曝露於第一化學混合物以改質第一材料100的第一區域101,其組合描繪作圖1中的序列102。例如所示,第一區域101可包含大致與基板平面平行定向之第一材料100的表面(例如垂直於入射離子通量的表面)。在序列102期間,將第一材料100之曝露表面的第一區域101改質至有限深度以形成經改質的子層。經改質的子層可不穿透第一材料100的整個厚度。
之後,該方法包含:在步驟240中,藉由電漿激發第二處理氣體而形成第二化學混合物,該第二處理氣體包含惰性氣體及含有C、H、和F的額外氣體;及在步驟250中,將基板上的第一材料100曝露於經電漿激發之第二處理氣體以相對於第二材料選擇性地蝕刻第一材料100,並自基板120的第一區域101移除經改質的第一材料,其組合描繪作圖1中的序列104。在序列104期間,使第一材料100中之經改質的子層曝露於第二化學混合物並加以移除或蝕刻。由於移除,所以第一材料100的第二區域103保留。例如所示,第二區域103可包含大致與基板平面垂直定向之第一材料100的表面(例如與入射離子通量平行的表面)。
該方法可更包含重複形成第一化學混合物(220)、將第一材料100曝露於第一化學混合物(230)、形成第二化學混合物(240)、及將第一材料100曝露於第二化學混合物(250)的步驟,以逐漸移除第一材料100的額外部分。在序列104(見圖1)期間,可在未於步驟250中移除的第二材料110之曝露表面上形成保護層。接著,在隨後曝露於序列102(見圖1)中之第一化學混合物期間,可部分或完全地移除第二材料110上的保護層。
如圖1所示,第二材料110可包含基板120上形成的心軸圖案,其中心軸圖案包含自基板120突出、由開放空間分隔開的特徵部,而第一材料100保形地施加在心軸圖案之上。基板120的第一區域101可包含心軸圖案中之特徵部的頂部表面及心軸圖案中之開放空間的底部表面,其中經改質的第一材料自心軸圖案的移除使第一材料100保留在心軸圖案的側壁上。上述製程序列可用以在符合自對準多重圖案化製程之目標規範的心軸圖案上產生側壁特徵部。
第一材料100可包含矽氧化物,該矽氧化物可保形地施加至心軸圖案。可使用氣相沉積製程、或其他已知製程沉積矽氧化物。第二材料110可包括含碳之軟或硬遮罩、矽、或Si、Ge、或金屬(M)、及選自由O、N、C、F、Cl、Br、及S所組成之群組之選用性的一或更多元素。舉例而言,第二材料110可包含矽、矽氧化物、矽碳化物、金屬、金屬氧化物、金屬氮化物、金屬碳化物、或金屬合金、或其組合。此外,舉例而言,第二材料110可包括含Si抗反射塗層(ARC)、矽、或矽氧化物。
第一處理氣體可包含兩不同的稀有氣體元素、或稀有氣體元素和含氫氣體。第一處理氣體可包含Ar和He、或H2及選用性之稀有氣體的處理氣體。或者,第一處理氣體可實質上由Ar和He、或Ar和H2所組成。又或者,第一處理氣體可由Ar和He、或Ar和H2所組成。
第二處理氣體可包含部分取代的鹵矽烷,諸如CxHyFz形式的化合物,且x、y、及z係大於0的整數。在一實施例中,第二處理氣體包含CHF3、及選用性的惰性氣體。或者,第二處理氣體由CHF3和Ar所組成。
作為示例,圖3說明在指定的蝕刻時間期間受蝕刻之矽氧化物的量。在310中,矽氧化物層僅曝露於氫(H2)電漿。在320中,矽氧化物層曝露 於如上所述的兩步驟序列,其中第一步驟包含氫(H2)電漿,而第二步驟包含CHF3電漿。在330中,矽氧化物層僅曝露於CHF3電漿。其他製程條件(包含壓力、溫度、電漿/偏壓功率等)保持固定。本發明人已在多步驟製程(即320)中觀察到顯著較高的蝕刻速率。
第一處理氣體及/或第二處理氣體的電漿激發可原位(即,該第一及/或第二化學混合物在鄰近接觸基板的氣相真空環境內形成)、或異地(即,該第一及/或第二化學混合物在相對於基板遠程配置之氣相的真空環境內形成)執行。圖4A至4D提供可用以促進電漿激發處理氣體的若干電漿產生系統。圖4A描繪電容式耦合電漿(CCP)系統,其中電漿在上板電極(UEL)與下板電極(LEL)之間靠近基板而形成,該下電極亦作為靜電夾頭(ESC)以支撐及固持基板。電漿係藉由將射頻(RF)功率耦合至該等電極的其中至少一者而形成。如圖4A所示,RF功率耦合至上及下電極兩者,且功率耦合可包含不同的RF頻率。或者,多個RF功率源可耦合至相同的電極。此外,直流(DC)功率可耦合至上電極。
圖4B描繪感應式耦合電漿(ICP)系統,其中電漿在感應元件(例如平面的、或螺線管/螺旋的線圈)與下板電極(LEL)之間靠近基板而形成,該下電極亦作為靜電卡盤(ESC)以支撐及固持基板。電漿係藉由將射頻(RF)功率耦合至感應耦合元件而形成。如圖4B所示,RF功率耦合至感應元件及下電極兩者,且功率耦合可包含不同的RF頻率。
圖4C描繪表面波電漿(SWP)系統,其中電漿在開槽孔平面天線(slotted plane antenna)與下板電極(LEL)之間靠近基板而形成,該下電極亦作為靜電夾頭(ESC)以支撐及固持基板。電漿係藉由將在微波頻率的射頻(RF) 功率經由波導及同軸線耦合至開槽孔平面天線而形成。如圖4C所示,RF功率耦合至開槽孔平面天線及下電極兩者,且功率耦合可包含不同的RF頻率。
圖4D描繪遠程電漿系統,其中電漿形成在遠離基板且藉由濾波器與基板分隔的區域中,該濾波器配置成阻止帶電粒子從遠程電漿源至靠近基板之處理區域的傳送。基板藉由下板電極(LEL)支撐,該下板電極(LEL)亦作為靜電夾頭(ESC)以固持基板。電漿係藉由將射頻(RF)功率耦合至毗鄰位於遠程區域的電漿產生裝置而形成。如圖4D所示,RF功率耦合至毗鄰遠程區域的電漿產生裝置及下電極兩者,且功率耦合可包含不同的RF頻率。
圖4A至4D的電漿處理系統係意圖描繪用於實施所描述的步驟式離子/自由基製程的諸多技術。其他實施例係設想包含所描述之系統的組合及變化兩者。
當藉由電漿激發第一處理氣體而形成第一化學混合物、且將基板上的第一材料曝露於該第一化學混合物時,用於曝露步驟的氣體壓力可小於或等於1000毫托。舉例而言,氣體壓力的範圍可自10毫托至100毫托。此外,可藉由將RF功率耦合至下板電極(LEL)而對基板施以電性偏壓。RF功率亦可不施加至電漿產生裝置。
當藉由電漿激發第二處理氣體而形成第二化學混合物、且將基板上的第二材料曝露於該第二化學混合物時,用於曝露步驟的氣體壓力可小於或等於1000毫托。舉例而言,氣體壓力的範圍可自10毫托至100毫托。此外,可藉由將RF功率耦合至下板電極(LEL)而對基板施以電性偏壓。RF功率亦可不施加至電漿產生裝置。
當藉由電漿激發第一處理氣體而形成第一化學混合物、且將基板上的第一材料曝露於該第一化學混合物時,及當藉由電漿激發第二處理氣體而形成第二化學混合物時,本發明人已觀察到循環式電漿蝕刻,其導致心軸圖案側壁上形成之間隔件特徵部的精確輪廓控制。
在以下的申請專利範圍中,任何附屬項的限制可依附於任何獨立請求項。
在先前的描述中已說明具體細節,諸如處理系統的特殊幾何結構及其中使用的諸多元件與製程的描述。然而應理解,本文技術可在背離這些具體細節的其他實施例中實行,且此等細節係以解釋而非限制為目的。本文揭示的實施例已參考隨附圖式描述。同樣地,為了解釋的目的,已說明特定的數字、材料、及配置以提供完整的理解。儘管如此,實施例可在無如此具體細節的情況下實施。具有實質上相同功能性結構的元件以類似的參考符號表示,且因此可省略任何冗餘的描述。
為了有助於理解諸多實施例,將諸多技術以多個分立操作描述。不應將所述之順序理解成暗示該等操作必定為順序相依。尤其,該等操作不需以敘述的順序執行。所述之操作可以不同於所述實施例的順序執行。在額外的實施例中,可執行諸多額外操作及/或可省略所述之操作。
如本文使用的「基板」或「目標基板」泛指根據本發明所處理的物件。基板可包含元件(尤其是半導體或其他電子元件)的任何材料部分或結構,且例如可為基底基板結構,諸如半導體晶圓、倍縮光罩、或基底基板結構之上或覆蓋基底基板結構的一層(諸如薄膜)。因此,基板不限於任何特定的基底結構、下方層或覆蓋層、圖案化或未圖案化,而是設想為包含任何如此的 層或基底結構、及層及/或基底結構的任何組合。此描述可能論及特定類型的基板,但此僅用於說明之目的。
精於本項技術之人士亦將理解對於以上所述技術的操作,可做出許多變化,且仍達到本發明的相同目標。如此變化意圖由本揭示內容的範圍所包含。因此,本發明之實施例的先前描述非意圖為限制性的。更準確地說,本發明之實施例的任何限制係呈現於以下申請專利範圍中。
100‧‧‧第一材料
101‧‧‧第一區域
102‧‧‧序列
103‧‧‧第二區域
104‧‧‧序列
110‧‧‧第二材料
120‧‧‧基板

Claims (20)

  1. 一種蝕刻的方法,包含:提供一基板,該基板具有包含矽氧化物材料的第一材料及不同於該第一材料的第二材料;藉由電漿激發第一處理氣體而形成第一化學混合物,該第一處理氣體包含惰性氣體及選自由He及H2所組成之群組的至少一額外氣體;將該基板上的該第一材料曝露於該第一化學混合物,以改質該第一材料的第一區域;之後,藉由電漿激發第二處理氣體而形成第二化學混合物,該第二處理氣體包含惰性氣體及含有C、H、和F的額外氣體;及將該基板上的該第一材料曝露於經電漿激發之該第二處理氣體,以相對於該第二材料選擇性地蝕刻該第一材料,並自該基板的該第一區域移除經改質的該第一材料。
  2. 如申請專利範圍第1項之蝕刻的方法,其中該第一材料保形地施加至形成在該基板上的心軸圖案,該心軸圖案包含自該基板突出、由開放空間分隔開的複數特徵部。
  3. 如申請專利範圍第2項之蝕刻的方法,其中該基板的該第一區域包含該心軸圖案中之該等特徵部的頂部表面、及該心軸圖案中之該開放空間的底部表面,且其中經改質的該第一材料自該心軸圖案的移除使該第一材料保留在該心軸圖案的側壁上。
  4. 如申請專利範圍第1項之蝕刻的方法,其中該第一處理氣體由Ar和He、或Ar和H2所組成。
  5. 如申請專利範圍第1項之蝕刻的方法,其中該第二處理氣體包含CxHyFz形式的化合物,且x、y、及z係大於0的整數。
  6. 如申請專利範圍第1項之蝕刻的方法,其中該第二處理氣體包含CHF3
  7. 如申請專利範圍第1項之蝕刻的方法,其中該第二處理氣體由CHF3和Ar所組成。
  8. 如申請專利範圍第1項之蝕刻的方法,其中該第一材料包含保形地施加至圖案心軸的矽氧化物。
  9. 如申請專利範圍第1項之蝕刻的方法,其中該第二材料包括含碳的軟或硬遮罩。
  10. 如申請專利範圍第1項之蝕刻的方法,其中該第二材料包含矽。
  11. 如申請專利範圍第1項之蝕刻的方法,其中該第二材料包含Si、Ge、或金屬(M)、及選自由O、N、C、F、Cl、Br、及S所組成之群組之選用性的一或更多元素。
  12. 如申請專利範圍第1項之蝕刻的方法,其中該第二材料包含矽、矽氧化物、矽碳化物、金屬、金屬氧化物、金屬氮化物、金屬碳化物、或金屬合金、或其組合。
  13. 如申請專利範圍第1項之蝕刻的方法,其中該第二材料包括含Si抗反射塗層(ARC)、矽、或矽氧化物。
  14. 如申請專利範圍第1項之蝕刻的方法,更包含:在曝露於該第一化學混合物期間,將該第一材料之曝露表面改質至有限深度,以在該第一材料中形成經改質的子層;及在曝露於該第二化學混合物期間,蝕刻該第一材料中之該經改質的子層。
  15. 如申請專利範圍第14項之蝕刻的方法,更包含:在曝露於該第二化學混合物期間,在該第二材料上形成保護層。
  16. 如申請專利範圍第15項之蝕刻的方法,更包含:在曝露於該第一化學混合物期間,移除該第二材料上的該保護層。
  17. 如申請專利範圍第1項之蝕刻的方法,其中該電漿激發該第一處理氣體或該第二處理氣體的步驟,包括使用包含一上板電極及支撐該基板之一下板電極的一電容式耦合電漿源產生電漿。
  18. 如申請專利範圍第1項之蝕刻的方法,其中該電漿激發該第一處理氣體或該第二處理氣體的步驟,包括使用包含一感應元件及支撐該基板之一下板電極的一感應式耦合電漿源產生電漿。
  19. 如申請專利範圍第1項之蝕刻的方法,其中該電漿激發該第一處理氣體或該第二處理氣體的步驟,包含使用一遠程電漿源產生電漿。
  20. 如申請專利範圍第1項之蝕刻的方法,更包含:重複形成該第一化學混合物、將該第一材料曝露於該第一化學混合物、形成該第二化學混合物、及將該第一材料曝露於該第二化學混合物的步驟,以逐漸移除該第一材料的額外部分。
TW107131476A 2017-09-13 2018-09-07 用於自對準多重圖案化之選擇性氧化物蝕刻方法 TWI785110B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762558195P 2017-09-13 2017-09-13
US62/558,195 2017-09-13
US16/120,554 US10658192B2 (en) 2017-09-13 2018-09-04 Selective oxide etching method for self-aligned multiple patterning
US16/120,554 2018-09-04

Publications (2)

Publication Number Publication Date
TW201921489A TW201921489A (zh) 2019-06-01
TWI785110B true TWI785110B (zh) 2022-12-01

Family

ID=65632005

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107131476A TWI785110B (zh) 2017-09-13 2018-09-07 用於自對準多重圖案化之選擇性氧化物蝕刻方法

Country Status (3)

Country Link
US (1) US10658192B2 (zh)
KR (1) KR102412439B1 (zh)
TW (1) TWI785110B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10607852B2 (en) * 2017-09-13 2020-03-31 Tokyo Electron Limited Selective nitride etching method for self-aligned multiple patterning
CN113140458B (zh) * 2020-01-17 2024-03-01 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US20240055270A1 (en) * 2022-08-11 2024-02-15 Tokyo Electron Limited Substrate processing with material modification and removal

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080146029A1 (en) * 2005-12-22 2008-06-19 Heidi Lee Baks Method of forming an interconnect structure
US20110253670A1 (en) * 2010-04-19 2011-10-20 Applied Materials, Inc. Methods for etching silicon-based antireflective layers
TW201501201A (zh) * 2013-05-09 2015-01-01 Applied Materials Inc 蝕刻基板之方法
US20150371889A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Methods for shallow trench isolation formation in a silicon germanium layer

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5398853B2 (ja) * 2012-01-26 2014-01-29 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
FR2993576B1 (fr) * 2012-07-20 2018-05-18 Nanoplas Dispositif de traitement d'un objet par plasma
JP6096438B2 (ja) * 2012-08-27 2017-03-15 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US9165785B2 (en) * 2013-03-29 2015-10-20 Tokyo Electron Limited Reducing bowing bias in etching an oxide layer
US9406522B2 (en) * 2014-07-24 2016-08-02 Applied Materials, Inc. Single platform, multiple cycle spacer deposition and etch
US9673059B2 (en) * 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
US9472414B2 (en) * 2015-02-13 2016-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned multiple spacer patterning process
US9478433B1 (en) * 2015-03-30 2016-10-25 Applied Materials, Inc. Cyclic spacer etching process with improved profile control
US10312102B2 (en) * 2016-08-29 2019-06-04 Tokyo Electron Limited Method of quasi-atomic layer etching of silicon nitride
KR102537742B1 (ko) * 2017-02-23 2023-05-26 도쿄엘렉트론가부시키가이샤 자가 정렬 블록 구조물들의 제조를 위한 실리콘 질화물 맨드렐의 이방성 추출 방법
US10431470B2 (en) * 2017-02-23 2019-10-01 Tokyo Electron Limited Method of quasi-atomic layer etching of silicon nitride
US10504742B2 (en) * 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10490406B2 (en) * 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080146029A1 (en) * 2005-12-22 2008-06-19 Heidi Lee Baks Method of forming an interconnect structure
US20110253670A1 (en) * 2010-04-19 2011-10-20 Applied Materials, Inc. Methods for etching silicon-based antireflective layers
TW201501201A (zh) * 2013-05-09 2015-01-01 Applied Materials Inc 蝕刻基板之方法
US20150371889A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Methods for shallow trench isolation formation in a silicon germanium layer

Also Published As

Publication number Publication date
US20190080925A1 (en) 2019-03-14
KR102412439B1 (ko) 2022-06-22
KR20190030182A (ko) 2019-03-21
TW201921489A (zh) 2019-06-01
US10658192B2 (en) 2020-05-19

Similar Documents

Publication Publication Date Title
CN107431011B (zh) 用于原子层蚀刻的方法
US20180158684A1 (en) Method of processing target object
TWI784967B (zh) 矽氮化物之準原子層蝕刻方法
US10535531B2 (en) Method of cyclic plasma etching of organic film using carbon-based chemistry
US10541146B2 (en) Method of cyclic plasma etching of organic film using sulfur-based chemistry
TWI746622B (zh) 用以製造自對準塊體結構之矽氮化物心軸的不等向性抽出方法
TWI785110B (zh) 用於自對準多重圖案化之選擇性氧化物蝕刻方法
TWI773767B (zh) 使用硫基化學品之電漿蝕刻含矽有機膜的方法
TWI761461B (zh) 用於製造自對準塊體結構之矽氮化物心軸的異向性抽出方法
KR102537097B1 (ko) 실리콘 질화물의 유사 원자층 에칭 방법
TWI810181B (zh) 使用硫及/或碳基化學品之有機膜循環電漿蝕刻方法
TWI794289B (zh) 用於自對準多重圖案化之選擇性氮化物蝕刻方法
WO2020051063A2 (en) Surface modification process