CN109964303A - 经由物理气相沉积沉积非晶硅层或碳氧化硅层的方法 - Google Patents

经由物理气相沉积沉积非晶硅层或碳氧化硅层的方法 Download PDF

Info

Publication number
CN109964303A
CN109964303A CN201780071488.9A CN201780071488A CN109964303A CN 109964303 A CN109964303 A CN 109964303A CN 201780071488 A CN201780071488 A CN 201780071488A CN 109964303 A CN109964303 A CN 109964303A
Authority
CN
China
Prior art keywords
gas
layer
substrate
vapor deposition
deposition chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201780071488.9A
Other languages
English (en)
Other versions
CN109964303B (zh
Inventor
伟民·郑
勇·曹
丹尼尔·李·迪尔
慧雄·戴
科伊·潘
克里斯托弗·恩加伊
汪荣军
唐先明
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN109964303A publication Critical patent/CN109964303A/zh
Application granted granted Critical
Publication of CN109964303B publication Critical patent/CN109964303B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0036Reactive sputtering
    • C23C14/0057Reactive sputtering using reactive gases other than O2, H2O, N2, NH3 or CH4
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/228Gas flow assisted PVD deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3485Sputtering using pulsed power to the target
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/042Coating on selected surface areas, e.g. using masks using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

在一些实施方式中,在物理气相沉积工艺腔室中处理设置在基板支撑件的顶部上的基板的方法包括以下步骤:(a)在物理气相沉积腔室的处理区域内由工艺气体形成等离子体,其中工艺气体包含惰性气体和含氢气体,以在物理气相沉积腔室的处理区域内从靶材的表面溅射硅;和(b)在基板上的第一层的顶部上沉积非晶硅层,其中调整含氢气体的流动速率以调节经沉积的非晶硅层的光学性质。

Description

经由物理气相沉积沉积非晶硅层或碳氧化硅层的方法
技术领域
本公开内容的实施方式一般涉及经由物理气相沉积沉积非晶硅膜以及碳氧化硅膜的方法。
背景技术
集成电路部件的整体尺寸受到可被蚀刻至基板中的最小几何特征(临界尺寸(CD))所限制。将特征蚀刻至基板中使用各种材料用于不同的目的。
举例而言,非晶硅膜可用于各种半导体制造应用中,例如作为自对准双重图案化(SADP)工艺或自对准四重图案化(SAQP)工艺中的牺牲层。典型地,可以经由化学气相沉积(CVD)工艺形成此种非晶硅膜。然而,本发明人已发现到经由化学气相沉积(CVD)工艺沉积的非晶硅膜会有气泡及剥离发生,且几乎没有光学可调性。
作为另一个实例,硅基抗反射涂层(Si-ARC)经常被用作为多层抗蚀剂的一部分,以连同例如光刻胶层一起用于蚀刻特征至基板中。通常经由旋转涂布式的方法形成硅基抗反射涂层(Si-ARC)。然而,一旦移除硅基抗反射涂层(Si-ARC),则会在下层上留下颗粒残留物。
因此,本发明人提供了经由物理气相沉积工艺沉积非晶硅膜的改良方法以及多层抗蚀剂内的硅基抗反射涂层(Si-ARC)的改良替代物。
发明内容
本公开内容的实施方式包括处理基板的方法。在一些实施方式中,处理基板的方法包括:一种在物理气相沉积工艺腔室中处理设置在基板支撑件的顶部上的基板的方法,该方法包括以下步骤:(a)在物理气相沉积腔室的处理区域内由工艺气体形成等离子体,其中工艺气体包含惰性气体和含氢气体,以在物理气相沉积腔室的处理区域内从靶材的表面溅射硅;和(b)在基板上的第一层的顶部上沉积非晶硅层,其中调整含氢气体的流动速率(flow rate)以调节经沉积的非晶硅层的光学性质。
在一些实施方式中,一种在物理气相沉积工艺腔室中处理设置在基板支撑件的顶部上的基板的方法包括以下步骤:(a)在物理气相沉积腔室的处理区域内由工艺气体形成等离子体,其中工艺气体包含惰性气体和含氢气体,以在物理气相沉积腔室的处理区域内从靶材的表面溅射源材料;和(b)在基板的顶部上沉积一层碳(C)、氧化铝(AlOx)、氮化铝(AlN)、氮氧化铝(AlON)、二氧化硅(SiO2)、氮化硅(SiN)、氮氧化硅(SiON)、碳氧化硅(SiOC)或氮化钛(TiN)中的一者,其中调整含氢气体的流动速率以调节经沉积的层的光学性质、应力、膜形态和表面性质。在一些实施方式中,(b)的沉积步骤进一步包括以下步骤:在基板的顶部上沉积一层碳、金属氧化物、氧化铝(AlOx)、氮化铝(AlN)、氮氧化铝(AlON)、二氧化硅(SiO2)、氮化硅(SiN)、氮氧化硅(SiON)、碳氧化硅(SiOC)、氧化钽(TaOx)、氧化锡(SnOx)、氧化锡硅(SnSiOx)或氮化钛(TiN)中的一者,其中调节含氢气体的流动速率以调节经沉积的层的光学性质、应力、膜形态和表面性质。
在一些实施方式中,一种在物理气相沉积工艺腔室中处理设置在基板支撑件的顶部上的基板的方法包括以下步骤:(a)在物理气相沉积腔室的处理区域内由工艺气体形成等离子体,其中工艺气体包含惰性气体和一氧化碳(CO)气体,以在物理气相沉积腔室的处理区域内从靶材的表面溅射硅;和(b)通过调节物理气相沉积腔室的压力和温度中的至少一者,以在基板的顶部上沉积具有介于1.67与2.3g/cm3之间的密度的碳氧化硅(SiOC)层。
下文描述本公开内容的其他以及进一步的实施方式。
附图说明
通过参考附图中所描绘的本公开内容的说明性实施方式可理解上文简要概述且在下文中更详细论述的本公开内容的实施方式。然而,附图仅说明本公开内容的典型实施方式,且因此并非限制本公开内容的范畴,对于本公开内容而言,其可接受其他相同的等效实施方式。
图1描绘根据本公开内容的一些实施方式的用于处理基板的方法中的工艺腔室的示意性截面图。
图2描绘根据本公开内容的一些实施方式的处理基板的方法的流程图。
图3A至3I描绘根据本公开内容的一些实施方式的处理基板的阶段。
图4A至4B描绘根据本公开内容的一些实施方式的所形成的非晶硅层的k值及n值的曲线图。
图5描绘根据本公开内容的一些实施方式的在设置于物理气相沉积工艺系统中的基板的顶部上沉积碳氧化硅(SiOC)层的方法的流程图。
图6描绘根据本公开内容的一些实施方式的在氧气(O2)的流动速率变化下具有偏压以及不具有偏压的情况下所形成的碳氧化硅(SiOC)层的膜应力的曲线图。
图7描绘根据本公开内容的一些实施方式的在工艺温度以及一氧化碳(CO)的流动速率变化下所形成的碳氧化硅(SiOC)层的密度的曲线图。
为了促进理解,已尽可能使用相同的参考标记代表诸图中共有的相同元件。各图并未按比例绘制且为了清晰加以简化。一个实施方式中的元件及特征可有益地并入其他实施方式,而无需进一步叙述。
具体实施方式
本公开内容涉及经由物理气相沉积工艺沉积非晶硅层或非晶硅膜的方法。在至少一些实施方式中,本文中描述的发明方法有利地沉积非晶硅层,且该非晶硅层在随后的SADP(自对准双重图案化)或SAQP(自对准四重图案化)处理期间没有气泡或剥离的情形发生。在至少一些实施方式中,本文中描述的发明方法进一步有利地提供非晶硅膜的光学性质的调节。本公开内容进一步涉及经由物理气相沉积工艺沉积碳氧化硅(SiOC)层或碳氧化硅(SiOC)膜的方法。在至少一些实施方式中,本文中描述的发明方法进一步有利地提供碳氧化硅(SiOC)层的密度及光学性质的调节。
图1描绘根据本公开内容的一些实施方式的说明性物理气相沉积(PVD)处理系统100的经简化的截面图。图2描绘用于在基板的顶部上沉积非晶硅膜的方法200的流程图,该基板设置在图1中所描述类型的物理气相沉积工艺系统中。下文中描述的方法200与图3A至3I中所描绘的处理基板的阶段有关。适于执行本文中描述的方法200的PVD腔室的实例,包括可购自加州圣克拉拉市的应用材料公司的CIRRUSTM、AVENIRTM及IMPULSE的PVD处理腔室。
图1中描绘的物理气相沉积工艺腔室(工艺腔室104)包含基板支撑件106、靶材组件114以及源材料113,靶材组件114具有可选的背板组件160,源材料113被设置在背板组件160的面向基板支撑件的一侧上。工艺腔室104进一步包含射频功率源182以向靶材组件114提供射频能量。下文论述与说明性PVD处理系统100有关的额外细节。
可以在设置于工艺腔室104内的示例性基板108上执行该方法。基板108可以是任何适当的具有任何适当的几何形状(例如,圆形晶片、方形、矩形或类似者)的基板。基板108可以包含任何适当的材料,例如硅(Si)、氧化硅(SiO2)、氮化硅(SiN)、玻璃、其他介电材料或类似者中的一者或多者。在一些实施方式中,基板108可以是半导体晶片(例如,200mm、300mm或类似者的硅晶片)。在一些实施方式中,基板108可以包括附加层,例如介电层。在一些实施方式中,基板可以是部分制造的半导体装置(例如,逻辑、DRAM或闪存存储器装置)。另外,在基板108的一层或多层中可以形成例如沟槽、过孔(via)或类似特征的特征。
在一些实施方式中,如图3A所描绘,基板108具有第一层300,该第一层300设置于基板108的顶部上。在实施方式中,第一层300直接位于基板108的顶部上。在一些实施方式中,第一层300是介电层(例如,具有化学式Si(OC2H5)4)的正硅酸乙酯(TEOS)层或氮化硅或氧化硅)。
方法200从202开始,通过在工艺腔室104的处理区域120内由工艺气体形成等离子体。在一些实施方式中,工艺气体包含惰性气体以及含氢气体。在一些实施方式中,工艺气体由惰性气体以及含氢气体所组成,或基本上由惰性气体以及含氢气体所组成。在一些实施方式中,惰性气体是稀有气体(例如,氩、氦、氖或氙)。在一些实施方式中,含氢气体是氢(H2)气体、氨(NH3)或碳氢化合物(例如,具有化学式CnH2n+2的烷烃(例如,CH4、C2H6、C3H8))。在一些实施方式中,含氢气体是氢(H2)气体、氨(NH3)或碳氢化合物(例如,具有化学式CnH2n+2的烷烃(例如,CH4、C2H6、C3H8))中的至少一者。在一些实施方式中,含氢气体是氢(H2)气体、氨(NH3)、碳氢化合物(例如,具有化学式CnH2n+2的烷烃(例如,CH4、C2H6、C3H8))以及上述的组合。含氢气体不是氢及卤素的化合物(例如,HCl、HBr或HF)。
将惰性气体以约50sccm至约1000sccm的流动速率提供至工艺腔室104的处理区域120。将含氢气体以约2至约100sccm的流动速率提供至工艺腔室104的处理区域120。在一些实施方式中,工艺气体包含约1%至约50%的氢以及剩余补足量(balance)的惰性气体。
可以通过耦合来自功率源的足够能量以点燃上文所述的工艺气体形成等离子体,以将工艺气体形成等离子体。功率源可以以连续波(CW)或脉冲模式来操作。功率源可以包括直流(DC)功率、脉冲化直流功率或射频(RF)功率。在一些实施方式中,功率源可以说明性地在适当的频率(例如,约13.56MHz)提供约500W至约6kW(例如,约2kW)的射频功率以形成等离子体。在一些实施方式中,功率源可以在约100至约250kHz的脉冲频率以及约10%至约40%的工作周期(例如,在一个给定的周期内,开启时间在开启时间和关闭时间的总时间中的百分比)提供脉冲化直流功率。
接着,在步骤204,将非晶硅层302沉积于基板108上的第一层300的顶部上。本文中使用的非晶硅是指硅的非晶形式。在一些实施方式中,如图3B所描绘,将非晶硅层302直接形成在第一层300的顶部上。在一些实施方式中,将非晶硅层302沉积到达适于各种半导体工艺中的图案化工艺的厚度。例如,可以将非晶硅层302沉积到达约200至约550埃的厚度。
步骤202中所形成的等离子体促进于从靶材组件114溅射源材料113(例如,硅源材料),使材料沉积于基板108的顶部上的第一层300上,而形成非晶硅层302。本发明人已观察到经由本文中描述的发明方法的非晶硅层302的沉积,有利于沉积非晶硅层302,非晶硅层302在随后的SADP(自对准双重图案化)或SAQP(自对准四重图案化)处理期间不会有如在经CVD沉积的非晶硅层中可发现的气泡或剥离。
另外,引入含氢气体来沉积非晶硅层302允许调节非晶硅层302的光学性质。具体而言,调整含氢气体的流动速率,以调节或调整非晶硅层的k值介于约0.1与0.41之间,并调节或调整非晶硅层的n值介于约4.22与3.54之间。本文中使用的n值是指材料的折射率,而本文中使用的k值是指材料的消光系数。
图4A描绘曲线图400,该曲线图400示出在0sccm的H2、5sccm的H2和10sccm的氢(H2)气体的流动速率且在1.25kW、2kW和3kW的源功率变化下所形成的非晶硅层的k值。线402示出以0sccm的H2气体流动速率所形成的非晶硅层的k值。线404示出以5sccm的H2气体流动速率所形成的非晶硅层的k值。线406示出以10sccm的H2气体流动速率所形成的非晶硅层的k值。从曲线图400可以看出,在恒定的源功率下,增加氢(H2)气体的流动速率,非晶硅层的k值会下降。例如,在1.25kW的源功率下,H2气体流动速率为0sccm、5sccm和10sccm的k值分别为0.39、0.19和0.09。因此,增加H2气体的流动速率会使非晶硅层的k值发生约77%的下降。在2kW和3kW的源功率下,以增加的H2气体流动速率所形成的非晶硅层的k值分别同样地示出约70%和63%的下降。尽管在保持恒定的H2气体流动速率并降低源功率时也示出有k值的下降,但与调整H2气体流动速率并保持恒定的源功率相比,其下降量不明显。例如,线406示出将H2气体的流动速率保持恒定在10sccm同时将源功率从3kW降低至1.25kW,仅使非晶硅层的k值有约40%的下降。本领域技术人员将认知到,通过常规实验可获得具体的k值,以确定适当的H2气体流动速率和源功率的组合。
图4B描绘曲线图408,该曲线图408示出在0sccm的H2、5sccm的H2和10sccm的氢(H2)气体的流动速率且在1.25kW、2kW和3kW的源功率变化下所形成的非晶硅层的2值。线410示出以0sccm的H2气体流动速率所形成的非晶硅层的n值。线412示出以5sccm的H2气体流动速率所形成的非晶硅层的n值。线414示出以10sccm的H2气体流动速率所形成的非晶硅层的n值。从曲线图408可以看出,在恒定的源功率下,增加氢(H2)气体的流动速率,非晶硅层的n值会下降。例如,在1.25kW的源功率下,H2气体流动速率为0sccm、5sccm和10sccm的n值分别为4.05、3.74和3.52。因此,增加H2气体的流动速率会使非晶硅层的n值有约13%的下降。在2kW和3kW的源功率下,以增加的H2气体流动速率所形成的非晶硅层的n值分别同样地示出有约11%和9%的下降。尽管在保持恒定的H2气体流动速率并降低源功率时也示出有n值的下降,但与调整H2气体流动速率并保持恒定的源功率相比,其下降量不明显。例如,线414示出将H2气体的流动速率保持恒定在10sccm同时将源功率从3kW降低至1.25kW,仅使非晶硅层的k值有约8%的下降。本领域技术人员将认知到,通过常规实验可获得具体的n值,以确定适当的H2气体流动速率和源功率的组合。
降低非晶硅层的光学性质(即,n值及k值)会增加非晶硅层的透明度(即,允许光穿过材料而没有散射的光学性质),此有益于后续的光刻(lithographic)、对准和覆盖(overlay)的工艺。本发明人也已观察到,方法200可以调节或调整非晶硅层的其他膜性质,如膜应力、膜形态(即,膜的结晶度)以及膜的表面性质(即,物理表面性质(如,表面粗糙度)以及化学表面性质(如,表面键合位置的调节))。例如,可以降低经沉积的非晶硅层的应力,(即,通过在约350与400摄氏度之间的工艺温度沉积经沉积的非晶硅层而使经沉积的非晶硅层的应力接近中性。
沉积非晶硅层的一般工艺条件包括将工艺腔室的压力维持在约3毫托至约10毫托以及将工艺腔室的温度维持在约25至约400摄氏度。
在一些实施方式中,在经由上述的方法200沉积非晶硅层302之后,基板可以进行进一步的处理(例如,自对准双重图案化(SADP)工艺)。为了说明的目的选择本文中描述的自对准双重图案化(SADP)工艺。本公开内容的概念同样可适用于可以在例如NAND闪存应用、DRAM应用或CMOS应用的各种半导体工艺中的图案化工艺中使用非晶硅层的其他工艺、单一或双重图案化方案(例如,过孔/孔收缩工艺、自对准三重图案化(SATP)工艺或自对准四重图案化(SAQP)工艺)等。
在一些实施方式中,如图3C所描绘,在非晶硅层302的顶部上形成经图案化的掩模层306。在一些实施方式中,经图案化的掩模层306可以是硬掩模层。经图案化的掩模层306可以包含适于提供模板的任何材料以促进蚀刻特征至下面的非晶硅层302中。例如,经图案化的掩模层306是硬掩模的实施方式中,经图案化的掩模层306可以包含氧化物(如,二氧化硅(SiO2)、氮氧化硅(SiON)、碳氧化硅(SiOC)或类似者)、或氮化物(如,氮化钛(TiN)、氮化硅(SiN)或类似者)、硅化物(如,硅化钛(TiSi)、硅化镍(NiSi)或类似者)、或硅酸盐(如,硅酸铝(AlSiO)、硅酸锆(ZrSiO)、硅酸铪(HfSiO)或类似者)中的至少一者。在一些实施方式中,经图案化的掩模层306是包含一种或多种的金属氧化物(例如,氧化钽(TaOx)、氧化锡(SnOx)、氧化锡硅(SnSiOx)或类似者)的硬掩模。在一些实施方式中,经图案化的掩模层306可以包含非晶碳,如可从位于加州圣克拉拉市的应用材料公司获得的高阶图案化膜(APF),或三层抗蚀剂(resist)(例如,光刻胶层、富硅抗反射涂层(ARC)、及富碳ARC、或底部ARC(BARC)层)、介电性的抗反射涂层(DARC)或类似者、旋涂式的硬掩模(SOH)或类似者。
如图3D所示,使用标准光刻和蚀刻技术在第一层300上产生由非晶硅层302所形成的经图案化的特征310。经图案化的特征310有时被称为占位符(placeholder)、心轴或核心,并且基于所使用的硬掩模材料而具有具体的线宽度和/或间距。在将图案转移至非晶硅层302中后,使用适当的去光刻胶工艺以移除任何残留的光刻胶和硬掩模材料。
如图3E所示,随后在经图案化的非晶硅302心轴上沉积硬掩模材料308(例如,氧化硅或氮化硅)的共形层。如图3F所示,接着通过利用各向异性等离子体蚀刻优先从水平表面蚀刻硬掩模材料308,以敞开沉积在经图案化的非晶硅302心轴的顶部上的硬掩模材料308并且移除沉积在经图案化的非晶硅302心轴的两个侧壁之间的底部处的硬掩模材料308,而在经图案化的非晶硅302心轴的侧面上形成硬掩模间隔物312。如图3G所示,接着可以移除经图案化的非晶硅302心轴,留下硬掩模间隔物312。如图3H所示,硬掩模间隔物312可用以作为蚀刻掩模以用于将图案转移至第一层300。如图3I所示,随后将硬掩模间隔物312移除。因此,在第一层300中所形成的图案的密度是经光刻图案化的非晶硅302心轴的密度的两倍,且在第一层300中所形成的图案的间距是经光刻图案化的非晶硅302心轴的间距的一半。
上文描述的方法200与非晶硅层有关。然而,方法200也可以用于沉积非晶硅以外的材料,例如碳、金属氧化物、氧化铝(AlOx)、氮化铝(AlN)、氮氧化铝(AlON)、二氧化硅(SiO2)、氮化硅(SiN)、氮氧化硅(SiON)、碳氧化硅(SiOC)或氮化钛(TiN)。适当的用于沉积的金属氧化物的非限制性实例包括氧化钽(TaOx)、氧化锡(SnOx)、氧化锡硅(SnSiOx)以及上述的组合。另外,可以在物理气相沉积腔室的处理区域内自工艺气体形成等离子体。工艺气体包含惰性气体以及含氢气体,以在物理气相沉积腔室的处理区域内从靶材的表面溅射源材料。工艺气体也可以包含氧气和/或氮气,此取决于待沉积的材料。然而,类似于上文所述的非晶硅层,含氢气体的流动速率的调节或调整允许调整特定的经沉积材料的光学性质、应力、膜形态和表面性质。
图5描绘用于在基板的顶部上沉积碳氧化硅(SiOC)层的方法500的流程图,该基板设置在图1中所描述类型的物理气相沉积工艺系统中。适于执行本文中描述的方法200的PVD腔室的实例,包括可购自加州圣克拉拉市的应用材料公司的CIRRUSTM、AVENIRTM及IMPULSE的PVD工艺腔室。
可以在设置于工艺腔室104内的示例性基板108上执行该方法。基板108可以是任何适当的具有任何适当的几何形状(例如,圆形晶片、方形、矩形或类似者)的基板。基板108可以包含任何适当的材料,例如硅(Si)、氧化硅(SiO2)、氮化硅(SiN)、玻璃、其他介电材料或类似者中的一者或多者。在一些实施方式中,基板108可以是半导体晶片(例如,200mm、300mm或类似者的硅晶片)。在一些实施方式中,基板108可以包括附加层,例如介电层。在一些实施方式中,基板可以是部分制造的半导体装置(例如,逻辑、DRAM或闪存装置)。另外,可以在基板108的一层或多层中形成例如沟槽、过孔或类似者的特征。
方法500从502开始,通过在物理气相沉积腔室的处理区域内由工艺气体形成等离子体。工艺气体包含惰性气体以及一氧化碳(CO)气体或二氧化碳(CO2)气体。在一些实施方式中,工艺气体由惰性气体以及一氧化碳(CO)气体或二氧化碳(CO2)气体所组成,或基本上由惰性气体以及一氧化碳(CO)气体或二氧化碳(CO2)气体所组成。在一些实施方式中,惰性气体是稀有气体(例如,氩、氦、氖或氙)。
在一些实施方式中,工艺气体包含惰性气体、一氧化碳(CO)气体或二氧化碳(CO2)气体以及氧(O2)气体。在一些实施方式中,工艺气体由惰性气体、一氧化碳(CO)气体或二氧化碳(CO2)气体以及氧(O2)气体所组成,或基本上由惰性气体、一氧化碳(CO)气体或二氧化碳(CO2)气体以及氧(O2)气体组成。氧气的流动速率相对于一氧化碳或(CO)气体或二氧化碳(CO2)气体的流动速率调节或调整碳氧化硅(SiOC)层的光学性质(n值及k值)。另外,如下文所论述,偏压功率与氧气流动速率配合的应用会影响膜应力。
可以通过耦合来自功率源的足够的能量以点燃上文所述的工艺气体形成等离子体,以将工艺气体形成等离子体。功率源可以以连续波(CW)或脉冲模式操作。功率源可以包括直流(DC)功率、脉冲化直流功率或射频(RF)功率。在一些实施方式中,功率源可以说明性地在适当的频率(例如,约13.56MHz)提供约500W至约6kW(例如,约2kW)的射频功率以形成等离子体。在一些实施方式中,功率源可以在约100至约250kHz的脉冲频率以及在约10%至约40%的工作周期(例如,在一个给定的周期内,开启时间在开启时间和关闭时间的总时间中的百分比)提供脉冲化直流功率。
将惰性气体以约10sccm至约200sccm的流动速率提供至工艺腔室104的处理区域120。将一氧化碳(CO)气体或二氧化碳(CO2)气体以约10至约200sccm的流动速率提供至工艺腔室104的处理区域120。将氧(O2)气体以约0至约200sccm的流动速率提供至工艺腔室104的处理区域120。惰性气体的流动速率与一氧化碳(CO)气体或二氧化碳(CO2)气体的流动速率的比例为1:1。一氧化碳(CO)气体或二氧化碳(CO2)气体与氧(O2)气体的流动速率的比例为1:0至1:1。
在一些实施方式中,可以将偏压功率施加至基板108,以促进将来自等离子体的离子导向基板108并且将碳氧化硅(SiOC)层的表面粗糙度从不具有偏压的约10埃减少到具有偏压的低于约2埃。例如,在一些实施方式中,偏压功率可为约100至约1000瓦。图6示出在氧气(O2)流动速率的变化下具有偏压(Wb)以及不具有偏压(NO Wb)下所形成的膜的膜应力的曲线图600。在20sccm(曲线图600上标记为O2-2)及30sccm(曲线图600上标记为O2-3)的低氧气(O2)流动速率,具有偏压和不具有偏压下所形成的膜的膜应力存在显著差异。然而,在30sccm至60sccm的较高氧气(O2)流动速率,示出偏压对膜应力的影响较小。图1所示的偏压功率源134可为耦接至基板支撑件106的射频偏压功率源以在处理区域120内形成等离子体。
接着,在504处,将碳氧化硅(SiOC)层沉积于基板108的顶部上。在一些实施方式中,将碳氧化硅(SiOC)层形成于基板108的顶部上的一个或多个附加层的顶部上。在一些实施方式中,将碳氧化硅(SiOC)层沉积到达适于各种半导体工艺中的图案化工艺的厚度。例如,可以将碳氧化硅(SiOC)层沉积到达约50至约500埃的厚度。
工艺腔室104的处理区域120内的压力在沉积碳氧化硅(SiOC)层的期间为约3毫托至约20毫托。工艺腔室104的处理区域120内的温度在沉积碳氧化硅(SiOC)层的期间为约25至约375摄氏度。本发明人已观察到通过调整处理区域120内的温度和压力,可调节经沉积的碳氧化硅(SiOC)层的密度介于1.67与2.3g/cm3之间。本发明人已观察到增加处理区域120内的压力,经沉积的碳氧化硅(SiOC)层的密度会减少,而增加处理区域120内的温度,经沉积的碳氧化硅(SiOC)层的密度会增加。经沉积的碳氧化硅(SiOC)层的密度影响着碳氧化硅(SiOC)层的蚀刻速度。较低的蚀刻速度等同于较高的蚀刻选择性,以及较高的蚀刻速度等同于较低的蚀刻选择性。
图7示出曲线图700,其中在室温(RT)(例如,25摄氏度)、在120摄氏度和在200摄氏度,以及以20sccm(SiOC-20)、40sccm(SiOC-40)、70sccm(SiOC-70)和100sccm(SiOC-100)的一氧化碳气体的流动速率,沉积碳氧化硅(SiOC)层。曲线图700还示出,在恒定的一氧化碳气体的流动速率下,经沉积的碳氧化硅(SiOC)层的密度会随着温度升高而减少。
本发明人已观察到除了调节经沉积的碳氧化硅(SiOC)层的密度之外,可通过调整一氧化碳(CO)气体的流动速率与氧(O2)气体的流动速率来调节碳氧化硅(SiOC)层的光学性质,以提供类似于Si-ARC层的光学性质。Si-ARC层的n值及k值分别为1.72及0.25。表1示出一氧化碳(CO)气体的流动速率相对于氧(O2)气体的流动速率如何影响碳氧化硅(SiOC)层的n值及k值。例如,第2列示出由40sscm的一氧化碳(CO)气体相对于20sscm的氧(O2)气体的流动速率所形成的碳氧化硅(SiOC)层具有1.86的n值以及0.647的k值。如表1的第2列所示,增加相对于一氧化碳(CO)气体的氧(O2)气体的流动速率,碳氧化硅(SiOC)层的n值及k值会下降,并且能提供类似于Si-ARC层的光学性质。表1中所论述的碳氧化硅(SiOC)层,在50摄氏度、具有3kW的源功率、200瓦的偏压功率、栅极开路(gate open,GO)压力以及40sccm的氩气下形成。
表1
上述方法500中描述的碳氧化硅(SiOC)层有利地取代了Si-ARC层作为多层抗蚀剂的一部分,同时具有类似的光学性质以及可调节的密度,并且在移除后下层上不会留下颗粒残留物。在一些实施方式中,在形成碳氧化硅(SiOC)层之后,在碳氧化硅(SiOC)层的顶部上形成光刻胶层。光刻胶层以及碳氧化硅(SiOC)层形成多层抗蚀剂,适于蚀刻图案至在多层抗蚀剂下面的任何适当的半导体制造工艺的材料层中。
上文描述的方法500与碳氧化硅(SiOC)层有关。然而,方法500也可以用于沉积碳氧化硅(SiOC)层以外的材料,例如,具有类似的密度以及光学性质可调性的氮氧化铝(AlON)或氮氧碳化铝(AlONC)。
回到图1,第二能量源183视情况耦接至靶材组件114,可向靶材组件114提供直流功率以朝向靶材组件114导引等离子体。在一些实施方式中,直流功率可处于约200W至约20千瓦(kW)的范围内,但所施加直流功率的量可取决于腔室几何形状(例如,靶材尺寸或类似者)而变化。在一些实施方式中,也可以以与上文对于射频功率所描述的相同方式,在靶材寿命期间调节直流功率。可调节直流功率以控制经溅射的金属原子在基板上的沉积速率。例如,增加直流功率可导致等离子体与源材料113相互作用的增加以及从靶材组件114溅射金属原子的增加。
PVD处理系统100包括腔室盖102,腔室盖102可移除地设置于工艺腔室104的顶部上。腔室盖102可包括靶材组件114以及接地组件103。工艺腔室104含有用于接收基板108的基板支撑件106。基板支撑件106可位于下接地壳体壁110内,该下接地壳体壁110可为工艺腔室104的腔室壁。下接地壳体壁110可电耦接至腔室盖102的接地组件103,以向设置于腔室盖102上的射频功率源182提供射频回程路径(RF return path)。射频功率源182可将射频能量提供至如下文所论述的靶材组件114。替代地或组合地,直流功率源可类似地耦接至靶材组件114。
PVD处理系统100可包括源分配板158,源分配板与靶材组件114的背侧相对且沿靶材组件114的周边边缘电耦接至靶材组件114。PVD处理系统100可包括腔体170,腔体700设置于靶材组件114的背侧与源分配板158之间。腔体170可至少部分地容纳放如下文所论述的磁控管组件196。腔体170至少部分地由导电支撑环164的内表面、源分配板158的面向靶材的表面以及靶材组件114(或背板组件160)的面向源分配板的表面(例如,背侧)来界定。
PVD处理系统100进一步包括磁控管组件。磁控管组件在靶材组件114的附近提供旋转磁场以辅助工艺腔室104内的等离子体处理。磁控管组件包括设置于腔体170内的可旋转磁体组件148。可旋转磁体组件148围绕工艺腔室104的中心轴186旋转。
在一些实施方式中,磁控管组件包括马达176、马达轴(motor shaft)174、齿轮组件178以及可旋转磁体组件148。可旋转磁体组件148包括多个磁体150,且经配置以围绕如下文描述的中心轴186旋转多个磁体150。马达176可为电动马达、气动或液压驱动机或可提供适当的扭矩的任何其他工艺兼容的机构。尽管本文描述一个说明性实施方式来说明可如何旋转可旋转磁体组件148,但也可使用其他的配置。
在使用中,磁控管组件使可旋转磁体组件148在腔体170内旋转。例如,在一些实施方式中,可提供马达176、马达轴174以及齿轮组件178以旋转可旋转磁体组件148。在一些实施方式中,电极154与工艺腔室104的中心轴186对准,且磁控管的马达轴174可被设置成穿过接地板(ground plate)156中的偏心开口。将从接地板156突出的马达轴174的端部耦接至马达176。马达轴174被进一步设置成穿过源分配板158中的偏心开口,并耦接至齿轮组件178。
可通过任何适当的手段(例如,由被耦接至源分配板158的底表面)来支撑齿轮组件178。可通过由介电材料制造齿轮组件178的至少上表面,或通过将绝缘体层(未示出)插入齿轮组件178与源分配板158之间或类似方式,或通过用适当的介电材料构成马达轴174,而使齿轮组件178与源分配板158绝缘。将齿轮组件178进一步耦接至可旋转磁体组件148,以将由马达176所提供的旋转运动传送至可旋转磁体组件148。可通过使用滑轮、齿轮或传送由马达176所提供的旋转运动的其他适当的手段,将齿轮组件178耦接至可旋转磁体组件148。
基板支撑件106具有面向靶材组件114的主表面的材料接收表面,并且在与靶材组件114的主表面相对的平面位置中支撑待溅射涂布的基板108。基板支撑件106可在工艺腔室104的处理区域120内支撑基板108。处理区域120被界定为处理期间基板支撑件106上的区域(例如,当处于处理位置中时,靶材组件114与基板支撑件106之间的区域)。
在一些实施方式中,基板支撑件106可为可垂直移动的,以允许通过工艺腔室104的下部中的负载锁定阀(load lock valve)(未示出)将基板108传送到基板支撑件106上,并随后升高至沉积或处理位置。可提供连接至底部腔室壁124的波纹管(bellows)122,以将工艺腔室104的内部空间与工艺腔室104的外部大气保持分离,同时促进基板支撑件106的垂直移动。一种或多种气体自气源126可通过质量流量控制器128供应至工艺腔室104的下部中。
气源126可为气体盒(gas box),该气体盒经由耦接至工艺腔室104的一个或多个气体管线以提供上文所描述的方法中所使用的气体。例如,可将第一气体管线从气源126提供至工艺腔室104,以向工艺腔室104提供氢(H2)。可将第二气体管线从气源126提供至工艺腔室104,以向工艺腔室104提供氧(O2)、氮(N2)、一氧化碳(CO)或氩(Ar)中的一者或多者。可将第三气体管线从气源126提供至工艺腔室104,以向基板支撑件106提供背侧气体(例如,氩及氢或其他适当的背侧气体的混合物)。
可提供排气口130,并经由阀门132将排气口130耦接至泵(未示出),以便排空工艺腔室104的内部并促进维持工艺腔室104内的适当压力。
工艺腔室104进一步包括工艺配件屏蔽件(process kit shield)或屏蔽件138以围绕工艺腔室104的处理空间或中央区域并保护其他的腔室部件免受来自处理的损坏和/或污染。在一些实施方式中,屏蔽件138可连接至工艺腔室104的上接地壳体壁116的突出部分(ledge)140。如图1中所示,腔室盖102可静置在上接地壳体壁116的突出部分140上。类似于下接地壳体壁110,上接地壳体壁116可提供在下接地壳体壁116与腔室盖102的接地组件103之间的射频回程路径的一部分。然而,其他的射频回程路径(例如,经由接地屏蔽件138)也是可能的。
屏蔽件138向下延伸且可包括具有大致恒定直径的大致管状部分,该大致管状部分大体上围绕处理区域120。屏蔽件138沿上接地壳体壁116和下接地壳体壁110的壁向下延伸至基板支撑件106的顶表面下方,并且向上返回直到到达基板支撑件106的顶表面,(例如,形成屏蔽件138的底部处的U形部分)。当基板支撑件106处于下部的装载位置中时,盖环146静置在屏蔽件138的向上延伸内部部分的顶部上,但当基板支撑件处于上部的沉积位置中时,盖环146静置在基板支撑件106的外部周边上以保护基板支撑件106免受溅射沉积。额外的沉积环(未示出)可用于保护基板支撑件106的边缘避免基板108的边缘周围的沉积。
在一些实施方式中,磁体152可围绕工艺腔室104而设置以便在基板支撑件106与靶材组件114之间选择性提供磁场。例如,如图1所示,当处于处理位置中时,磁体152可在刚好位于基板支撑件106上方的区域中围绕壳体壁110外部而设置。在一些实施方式中,磁体152可另外或替代地设置在其他位置(例如,相邻上接地壳体壁116的位置)中。磁体152可为电磁体且可经耦接至功率源(未示出)以便控制由电磁体所产生的磁场的幅值(magnitude)。
腔室盖102大体上包括接地组件103,该接地组件103围绕靶材组件114而设置。接地组件103可包括具有第一表面157的接地板156,该接地板可大体上平行于靶材组件114的背侧且与靶材组件114的背侧相对。接地屏蔽件112可从接地板156的第一表面157延伸且围绕靶材组件114。接地组件103可包括支撑构件175以支撑接地组件103内的靶材组件114。
在一些实施方式中,支撑构件175可在支撑构件175的外部周边边缘附近耦接至接地屏蔽件112的下端,且支撑构件175可向内径向延伸以支撑密封环181以及靶材组件114。密封环181可为环或具有适当的截面的其他环形。密封环181可包括两个相对的平坦且大致平行的表面,以促进在密封环181的第一侧上与靶材组件114(例如,背板组件160)界面连接并在密封环181的第二侧上与支撑构件175界面连接。密封环181可由介电材料(例如,陶瓷)制成。密封环181可使靶材组件114与接地组件103绝缘。
支撑构件175可为具有中央开口以容纳靶材组件114的大致平坦的构件。在一些实施方式中,支撑构件175可为圆形或圆盘状形状,但该形状可根据腔室盖的相对应形状和/或PVD处理系统100中待处理的基板的形状而改变。
靶材组件114可包含在溅射期间待沉积在基板(例如,基板108)上的源材料113(例如,金属、金属氧化物、金属合金或类似材料)。在一些实施方式中,靶材组件114可实质上由源材料113制造,而不具有任何的背板来支撑源材料113。在一些实施方式中,靶材组件114包括背板组件160来支撑源材料113。如图1中图示,源材料113可设置在背板组件160的面向基板支撑件的一侧上。背板组件160可包含导电材料(例如,铜锌、铜铬或与靶材相同的材料),以使得射频及直流功率可经由背板组件160而耦接至源材料113。替代地,背板组件160可为非导电的且可包括导电元件(未示出),例如电馈通件(electrical feedthrough)或类似者。
在一些实施方式中,背板组件160包括第一背板161和第二背板162。第一背板161和第二背板162可为圆盘形、矩形、方形或可由PVD处理系统100所容纳的任何其他形状。第一背板161的前侧经配置以支撑源材料113,以使得源材料的前表面与基板108(当存在时)相对。可以以任何适当的方式将源材料113耦接至第一背板161。例如,在一些实施方式中,可将源材料113扩散接合至第一背板161。
多组通道169可设置在第一背板161与第二背板162之间。第一背板161和第二背板162可耦接在一起以形成实质上防水密封(例如,第一背板与第二背板之间的流体密封),以防止提供至多组通道169的冷却剂泄漏。在一些实施方式中,靶材组件114可进一步包含中央支撑构件192以支撑工艺腔室104内的靶材组件114。
在一些实施方式中,导电支撑环164可设置在源分配板158与靶材组件114的背侧之间,以将射频能量从源分配板传播至靶材组件114的周边边缘。导电支撑环164可为圆柱形,具有第一端166及第二端168,第一端166在源分配板158的周边边缘附近耦接至源分配板158的面向靶材的表面,以及第二端168在靶材组件114的周边边缘附近耦接至靶材组件114的面向源分配板的表面。在一些实施方式中,第二端168在背板组件160的周边边缘附近耦接至背板组件160的面向源分配板的表面。
在接地板156与源分配板158、导电支撑环164及靶材组件114(和/或背板组件160)的外表面之间提供绝缘间隙180。可用空气或一些其他适当的介电材料(例如,陶瓷、塑料或类似材料)填充绝缘间隙180。接地板156与源分配板158之间的距离取决于接地板156与源分配板158之间的介电材料。在介电材料主要为空气的情况下,接地板156与源分配板158之间的距离可介于约15mm与约40mm之间。
接地组件103和靶材组件114可由密封环181而电隔离,且可由设置在接地板156的第一表面157与靶材组件114的背侧(例如,源分配板158的非面向靶材的一侧)之间的多个绝缘体(未示出)中的一者或多者而电隔离。
PVD处理系统100具有连接至电极154的射频功率源182(例如,射频馈送结构(RFfeed structure))。电极154可穿过接地板156并且耦接至源分配板158。射频功率源182可包括射频产生器以及匹配电路,例如以最小化在操作期间反射回射频产生器的反射射频能量。例如,由射频功率源182所供应的射频能量可处于约13.56MHz至约162MHz或高于162MHz的频率范围内。例如,可使用如13.56MHz、27.12MHz、40.68MHz、60MHz或162MHz的非限制性频率。
在一些实施方式中,PVD处理系统100可包括第二能量源183以在处理期间向靶材组件114提供额外的能量。在一些实施方式中,第二能量源183可为直流功率源或脉冲直流功率源以提供直流能量,例如以增强靶材材料的溅射速率(并因此增强基板上的沉积速率)。在一些实施方式中,第二能量源183可为类似于射频功率源182的第二射频功率源,以例如在第二频率下提供射频能量,该第二频率不同于由射频功率源182所提供的射频能量的第一频率。在第二能量源183为直流功率源的实施方式中,可在任何适于将直流能量电耦接至靶材组件114的位置(例如,电极154或一些其他导电构件(例如,下文所论述的源分配板158))中,将第二能量源耦接至靶材组件114。在第二能量源183为第二射频功率源的实施方式中,可经由电极154将第二能量源耦接至靶材组件114。
电极154可为圆柱形或为棒状,且可与工艺腔室104的中心轴186对准(例如,电极154可在与靶材的中心轴重合的一点处耦接至靶材组件,靶材的中心轴与中心轴186重合)。与工艺腔室104的中心轴186对准的电极154促进以轴对称的方式将来自射频功率源182的射频能量施加至靶材组件114(例如,电极154可在与PVD腔室的中心轴对准的“单点(singlepoint)”处将射频能量耦接至靶材)。电极154的中央位置有助于消除或减小基板沉积工艺中的沉积不对称性。电极154可具有任何适当的直径。例如,尽管可使用其他的直径,但是在一些实施方式中,电极154的直径可为约0.5至约2英寸。电极154可大体上取决于PVD腔室的配置而具有任何适当的长度。在一些实施方式中,电极可具有约0.5至约12英寸之间的长度。电极154可由任何适当的导电材料(例如,铝、铜、银或类似者)制成。替代地,在一些实施方式中,电极154可为管状。在一些实施方式中,管状的电极154的直径可为适当的,例如便于为磁控管提供中心轴。
电极154可穿过接地板156并耦接至源分配板158。接地板156可包含任何适当的导电材料(例如,铝、铜或类似者)。一个或多个绝缘体(未示出)之间的开放空间允许沿源分配板158的表面的射频波传播。在一些实施方式中,一个或多个绝缘体可相对于PVD处理系统的中心轴186而对称地设置。此定位可促进沿源分配板158的表面的对称射频波传播,并且最终传播到耦接至源分配板158的靶材组件114。与传统的PVD腔室相比,至少部分地由于电极154的中央位置,而可以以更对称且均匀的方式提供射频能量。
PVD处理系统100进一步包含基板支撑阻抗电路,例如自动电容调谐器(autocapacitance tuner)136,该基板支撑阻抗电路耦接至基板支撑件106以调整基板108上的电压。例如,自动电容调谐器136可用于控制基板108上的电压,并因此控制基板电流(例如,在基板水平处的离子能量)。
可提供控制器194并将其耦接至PVD处理系统100的各种部件以控制各种部件的操作。控制器194包括中央处理单元(CPU)118、存储器172和支持电路173。控制器194可直接或经由与特定的工艺腔室和/或支持系统部件相关联的计算机(或控制器)来控制PVD处理系统100。控制器194可为任何形式的通用计算机处理器中的一者,该通用计算机处理器可用于工业环境中以便控制各种腔室以及子处理器。控制器194的存储器172或计算机可读介质可为可易于取得的存储器(例如,随机存取存储器(RAM))、只读存储器(ROM)、软盘、硬盘、光学存储介质(例如,光盘(compact disc)或数字视频光盘)、闪存驱动器或任何其他形式的本地或远程的数字存储器中的一者或多者。支持电路173耦接至CPU 118以便以传统的方式支持处理器。这些电路包括高速缓冲存储器(cache)、功率源、时钟电路、输入/输出电路系统(circuitry)和子系统和类似者。可在存储器264中将如本文中所描述的发明方法(例如,方法200)存储为软件程序(software routine),该软件程序可经执行或调用来以本文中所描述的方式来控制PVD处理系统100的操作。也可由第二CPU(未示出)存储和/或执行软件程序,该第二CPU与由CPU 118控制的硬件远程地定位。
尽管上文涉及本公开内容的特定实施方式,但可在不脱离本公开内容的基本范围的情况下设计出本公开内容的其他和进一步的实施方式。

Claims (15)

1.一种在物理气相沉积腔室中处理基板的方法,所述基板设置在基板支撑件的顶部上,所述方法包含以下步骤:
(a)在所述物理气相沉积腔室的处理区域内由工艺气体形成等离子体,其中所述工艺气体包含惰性气体和含氢气体,以在所述物理气相沉积腔室的所述处理区域内从靶材的表面溅射硅;和
(b)在所述基板上的第一层的顶部上沉积非晶硅层,其中调整所述含氢气体的流动速率以调节经沉积的非晶硅层的光学性质。
2.如权利要求1所述的方法,其中只调整所述含氢气体的流动速率,以调节以下至少一者:
所述非晶硅层的消光系数(k值)介于约0.1与0.41之间;或
所述非晶硅层的折射率(n值)介于约4.22与3.54之间。
3.如权利要求1所述的方法,其中所述工艺气体由所述惰性气体和所述含氢气体所组成。
4.如权利要求1所述的方法,其中所述工艺气体基本上由所述惰性气体和所述含氢气体所组成。
5.如权利要求1至5中任一项所述的方法,其中以下至少一者:
以约50至约1000sccm的流动速率提供所述惰性气体;或
以约2至约100sccm的流动速率提供所述含氢气体。
6.如权利要求1至5中任一项所述的方法,其中所述含氢气体是氢(H2)气体、氨(NH3)或具有化学式CnH2n+2的烷烃中的一者。
7.如权利要求1至5中任一项所述的方法,其中以下至少一者:
所述物理气相沉积腔室的所述处理区域内的压力在沉积所述非晶硅层的期间为约3至约10毫托;或
所述物理气相沉积腔室的所述处理区域内的温度在沉积所述非晶硅层的期间为约25至约400摄氏度。
8.如权利要求1至5中任一项所述的方法,其中由工艺气体形成等离子体的步骤进一步包含以下步骤:将来自功率源的源功率施加至所述物理气相沉积腔室以点燃所述工艺气体。
9.如权利要求8所述的方法,其中所述功率源以约100至约250kHz的脉冲频率以及约10%至约40%的工作周期提供脉冲化直流功率。
10.一种在物理气相沉积腔室中处理基板的方法,所述基板设置在基板支撑件的顶部上,所述方法包含以下步骤:
(a)在所述物理气相沉积腔室的处理区域内由工艺气体形成等离子体,其中所述工艺气体包含惰性气体和含氢气体,以在所述物理气相沉积腔室的所述处理区域内从靶材的表面溅射源材料;和
(b)在所述基板的顶部上沉积一层碳、金属氧化物、氧化铝(AlOx)、氮化铝(AlN)、氮氧化铝(AlON)、二氧化硅(SiO2)、氮化硅(SiN)、氮氧化硅(SiON)、碳氧化硅(SiOC)、氧化钽(TaOx)、氧化锡(SnOx)、氧化锡硅(SnSiOx)或氮化钛(TiN)中的一者,其中调整所述含氢气体的流动速率以调节经沉积的层的光学性质、应力、膜形态和表面性质。
11.一种在物理气相沉积腔室中处理基板的方法,所述基板设置在基板支撑件的顶部上,所述方法包含以下步骤:
(a)在所述物理气相沉积腔室的处理区域内由工艺气体形成等离子体,其中所述工艺气体包含惰性气体和一氧化碳(CO)气体,以在所述物理气相沉积腔室的所述处理区域内从靶材的表面溅射硅;和
(b)通过调节所述物理气相沉积腔室的压力和温度中的至少一者,以在所述基板的顶部上沉积碳氧化硅(SiOC)层,所述碳氧化硅层具有介于1.67与2.3g/cm3之间的密度。
12.如权利要求11所述的方法,进一步包含以下步骤:在所述碳氧化硅(SiOC)层的顶部上形成光刻胶层,其中所述光刻胶层和所述碳氧化硅(SiOC)层形成多层抗蚀剂,所述多层抗蚀剂适于蚀刻图案至所述多层抗蚀剂下面的第一层中。
13.如权利要求11所述的方法,其中所述工艺气体进一步包含氧(O2)气体。
14.如权利要求13所述的方法,其中调整一氧化碳(CO)气体的流动速率与氧(O2)气体的流动速率的比例,以调节以下至少一者:
所述碳氧化硅(SiOC)层的消光系数(k值)介于约0.65与0之间;或
所述碳氧化硅(SiOC)层的折射率(n值)介于约1.86与1.60之间。
15.如权利要求11至14中任一项所述的方法,进一步包含以下至少一者:
在沉积所述碳氧化硅(SiOC)层的期间施加偏压功率至所述基板;
维持所述物理气相沉积腔室的压力在沉积所述碳氧化硅(SiOC)层的期间为约3毫托至约20毫托;或
维持所述物理气相沉积腔室的温度在沉积所述碳氧化硅(SiOC)层的期间为约25至约375摄氏度。
CN201780071488.9A 2016-11-18 2017-11-16 经由物理气相沉积沉积非晶硅层或碳氧化硅层的方法 Active CN109964303B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662424323P 2016-11-18 2016-11-18
US62/424,323 2016-11-18
PCT/US2017/061946 WO2018094000A1 (en) 2016-11-18 2017-11-16 Methods for depositing amorphous silicon layers or silicon oxycarbide layers via physical vapor deposition

Publications (2)

Publication Number Publication Date
CN109964303A true CN109964303A (zh) 2019-07-02
CN109964303B CN109964303B (zh) 2023-08-29

Family

ID=62144343

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780071488.9A Active CN109964303B (zh) 2016-11-18 2017-11-16 经由物理气相沉积沉积非晶硅层或碳氧化硅层的方法

Country Status (4)

Country Link
US (1) US11313034B2 (zh)
CN (1) CN109964303B (zh)
TW (1) TWI804477B (zh)
WO (1) WO2018094000A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113097067A (zh) * 2021-03-29 2021-07-09 长江存储科技有限责任公司 半导体器件及其制备方法

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10975464B2 (en) * 2018-04-09 2021-04-13 International Business Machines Corporation Hard mask films with graded vertical concentration formed using reactive sputtering in a radio frequency deposition chamber
JP7322175B2 (ja) * 2019-04-11 2023-08-07 アプライド マテリアルズ インコーポレイテッド 光学デバイスのための多重深度膜
US11646237B2 (en) 2020-01-19 2023-05-09 Applied Materials, Inc. Methods and apparatuses for depositing amorphous silicon atop metal oxide
US20210319989A1 (en) * 2020-04-13 2021-10-14 Applied Materials, Inc. Methods and apparatus for processing a substrate
US11915918B2 (en) 2021-06-29 2024-02-27 Applied Materials, Inc. Cleaning of sin with CCP plasma or RPS clean

Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4365013A (en) * 1980-07-28 1982-12-21 Hitachi, Ltd. Electrophotographic member
JP2004171904A (ja) * 2002-11-20 2004-06-17 Sanyo Electric Co Ltd リチウム二次電池用電極の製造方法
US6841044B1 (en) * 2002-08-28 2005-01-11 Novellus Systems, Inc. Chemically-enhanced physical vapor deposition
US20060076233A1 (en) * 2004-10-07 2006-04-13 Hitachi Global Storage Technologies Netherlands B.V. Magnetic recording medium and method for production thereof
US20070031633A1 (en) * 2005-07-08 2007-02-08 Kabushiki Kaisha Toshiba Sputtering target, optical thin film and manufacturing method thereof using the sputtering target, and optical recording medium
JP2007088314A (ja) * 2005-09-26 2007-04-05 Nissin Electric Co Ltd 結晶性シリコン薄膜の形成方法及び装置
US20070248785A1 (en) * 2006-04-21 2007-10-25 Tsukasa Nakai Optical recording medium, information recording and reproducing apparatus, and information recording and reproducing method
US20080020570A1 (en) * 2006-07-18 2008-01-24 Applied Materials, Inc. Dual damascene fabrication with low k materials
KR20080024565A (ko) * 2006-09-14 2008-03-19 삼성전자주식회사 박막 트랜지스터 기판의 제조 방법
CN101165205A (zh) * 2006-10-18 2008-04-23 甘国工 在晶体硅太阳能电池片上镀抗反射钝化膜的方法及设备
CN101834233A (zh) * 2010-04-01 2010-09-15 河北大学 一种低温高速沉积氢化非晶硅太阳能电池薄膜的方法
JP2011208270A (ja) * 2009-11-24 2011-10-20 Central Glass Co Ltd 透明誘電体薄膜の形成方法
US20110284068A1 (en) * 2010-04-23 2011-11-24 Solexel, Inc. Passivation methods and apparatus for achieving ultra-low surface recombination velocities for high-efficiency solar cells
US20110299167A1 (en) * 2010-06-07 2011-12-08 General Atomics Reflective coating, pigment, colored composition, and process of producing a reflective pigment
CN102569533A (zh) * 2012-03-21 2012-07-11 中山大学 一种在晶体硅太阳电池前表面制备钝化减反射膜的方法
CN102804397A (zh) * 2009-06-12 2012-11-28 应用材料公司 用于微结构受控制的薄膜沉积的离子化物理汽相沉积
CN103329250A (zh) * 2011-01-24 2013-09-25 应用材料公司 通过高密度等离子体化学气相沉积(hdp-cvd)形成的多晶硅薄膜
CN105977379A (zh) * 2016-05-17 2016-09-28 浙江师范大学 一种碳氧化硅薄膜及阻变存储器
CN106663609A (zh) * 2014-08-22 2017-05-10 应用材料公司 用以实现高密度高sp3含量层的高功率脉冲磁控溅镀工艺

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3000167A1 (de) 1980-01-04 1981-07-09 Agfa-Gevaert Ag, 5090 Leverkusen Lichtempfindliches aufzeichnungsmaterial
US6352910B1 (en) 1995-07-11 2002-03-05 Applied Komatsu Technology, Inc. Method of depositing amorphous silicon based films having controlled conductivity
US20020115269A1 (en) 1995-07-11 2002-08-22 Applied Materials, Inc. Method of depositing amorphous silicon based films having controlled conductivity
US5716131A (en) 1996-06-28 1998-02-10 Breeding; Kenny D. Portable four cycle backpack pendulous vibrator
US6814814B2 (en) 2002-03-29 2004-11-09 Applied Materials, Inc. Cleaning residues from surfaces in a chamber by sputtering sacrificial substrates
US6843881B2 (en) 2002-04-02 2005-01-18 Applied Materials, Inc. Detecting chemiluminescent radiation in the cleaning of a substrate processing chamber
US7432201B2 (en) 2005-07-19 2008-10-07 Applied Materials, Inc. Hybrid PVD-CVD system
US7589031B2 (en) 2006-12-01 2009-09-15 Applied Materials, Inc. Method of avoiding haze formation on surfaces of silicon-containing PECVD-deposited thin films
US8101525B2 (en) 2009-02-13 2012-01-24 Applied Materials, Inc. Method for fabricating a semiconductor device having a lanthanum-family-based oxide layer
WO2010144551A2 (en) 2009-06-10 2010-12-16 Applied Materials, Inc. Carbon nanotube-based solar cells
CN102892922A (zh) 2010-03-17 2013-01-23 应用材料公司 用于远程等离子体源辅助的含硅膜沉积的方法和装置
WO2011156454A2 (en) 2010-06-08 2011-12-15 Board Of Trustees Of The University Of Arkansas Crystallization of multi-layered amorphous films
US20130161629A1 (en) 2011-12-27 2013-06-27 Applied Materials, Inc. Zero shrinkage smooth interface oxy-nitride and oxy-amorphous-silicon stacks for 3d memory vertical gate application
CN106169415B (zh) 2013-05-03 2020-02-14 应用材料公司 用于多图案化应用的光调谐硬掩模
US9818606B2 (en) 2013-05-31 2017-11-14 Applied Materials, Inc. Amorphous silicon thickness uniformity improved by process diluted with hydrogen and argon gas mixture
US9543163B2 (en) * 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
US9299577B2 (en) * 2014-01-24 2016-03-29 Applied Materials, Inc. Methods for etching a dielectric barrier layer in a dual damascene structure
WO2016025114A1 (en) * 2014-08-14 2016-02-18 Applied Materials, Inc. Method for critical dimension reduction using conformal carbon films
US20160111342A1 (en) * 2014-10-17 2016-04-21 Lam Research Corporation Method and apparatus for characterizing metal oxide reduction
US9633839B2 (en) 2015-06-19 2017-04-25 Applied Materials, Inc. Methods for depositing dielectric films via physical vapor deposition processes
US9773665B1 (en) 2016-12-06 2017-09-26 Applied Materials, Inc. Particle reduction in a physical vapor deposition chamber

Patent Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4365013A (en) * 1980-07-28 1982-12-21 Hitachi, Ltd. Electrophotographic member
US6841044B1 (en) * 2002-08-28 2005-01-11 Novellus Systems, Inc. Chemically-enhanced physical vapor deposition
JP2004171904A (ja) * 2002-11-20 2004-06-17 Sanyo Electric Co Ltd リチウム二次電池用電極の製造方法
US20060076233A1 (en) * 2004-10-07 2006-04-13 Hitachi Global Storage Technologies Netherlands B.V. Magnetic recording medium and method for production thereof
US20070031633A1 (en) * 2005-07-08 2007-02-08 Kabushiki Kaisha Toshiba Sputtering target, optical thin film and manufacturing method thereof using the sputtering target, and optical recording medium
JP2007088314A (ja) * 2005-09-26 2007-04-05 Nissin Electric Co Ltd 結晶性シリコン薄膜の形成方法及び装置
US20070248785A1 (en) * 2006-04-21 2007-10-25 Tsukasa Nakai Optical recording medium, information recording and reproducing apparatus, and information recording and reproducing method
US20080020570A1 (en) * 2006-07-18 2008-01-24 Applied Materials, Inc. Dual damascene fabrication with low k materials
KR20080024565A (ko) * 2006-09-14 2008-03-19 삼성전자주식회사 박막 트랜지스터 기판의 제조 방법
CN101165205A (zh) * 2006-10-18 2008-04-23 甘国工 在晶体硅太阳能电池片上镀抗反射钝化膜的方法及设备
CN102804397A (zh) * 2009-06-12 2012-11-28 应用材料公司 用于微结构受控制的薄膜沉积的离子化物理汽相沉积
JP2011208270A (ja) * 2009-11-24 2011-10-20 Central Glass Co Ltd 透明誘電体薄膜の形成方法
CN101834233A (zh) * 2010-04-01 2010-09-15 河北大学 一种低温高速沉积氢化非晶硅太阳能电池薄膜的方法
US20110284068A1 (en) * 2010-04-23 2011-11-24 Solexel, Inc. Passivation methods and apparatus for achieving ultra-low surface recombination velocities for high-efficiency solar cells
US20110299167A1 (en) * 2010-06-07 2011-12-08 General Atomics Reflective coating, pigment, colored composition, and process of producing a reflective pigment
CN103329250A (zh) * 2011-01-24 2013-09-25 应用材料公司 通过高密度等离子体化学气相沉积(hdp-cvd)形成的多晶硅薄膜
CN102569533A (zh) * 2012-03-21 2012-07-11 中山大学 一种在晶体硅太阳电池前表面制备钝化减反射膜的方法
CN106663609A (zh) * 2014-08-22 2017-05-10 应用材料公司 用以实现高密度高sp3含量层的高功率脉冲磁控溅镀工艺
CN105977379A (zh) * 2016-05-17 2016-09-28 浙江师范大学 一种碳氧化硅薄膜及阻变存储器

Non-Patent Citations (5)

* Cited by examiner, † Cited by third party
Title
P.希弗特 E.克瑞梅尔: "《硅技术的发展和未来》", 28 February 2009, 冶金工业出版社, pages: 109 - 110 *
严一心 林鸿海: "《薄膜技术》", 31 October 1994, 兵器工业出版社, pages: 124 - 125 *
赵青南 等: "不同氢气分压下氢化非晶硅薄膜的制备与表征", 《第十一届中国光伏大会暨展览会会议论文集》 *
赵青南 等: "不同氢气分压下氢化非晶硅薄膜的制备与表征", 《第十一届中国光伏大会暨展览会会议论文集》, 14 June 2011 (2011-06-14), pages 436 - 442 *
黄汉尧 等: "《半导体器件工艺原理》", 30 June 1980, 国防工业出版社, pages: 202 - 204 *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113097067A (zh) * 2021-03-29 2021-07-09 长江存储科技有限责任公司 半导体器件及其制备方法
CN113097067B (zh) * 2021-03-29 2024-04-02 长江存储科技有限责任公司 半导体器件及其制备方法

Also Published As

Publication number Publication date
CN109964303B (zh) 2023-08-29
TWI804477B (zh) 2023-06-11
US11313034B2 (en) 2022-04-26
US20180142343A1 (en) 2018-05-24
WO2018094000A1 (en) 2018-05-24
TW201830483A (zh) 2018-08-16

Similar Documents

Publication Publication Date Title
CN109964303A (zh) 经由物理气相沉积沉积非晶硅层或碳氧化硅层的方法
US9633839B2 (en) Methods for depositing dielectric films via physical vapor deposition processes
KR100861260B1 (ko) 플라즈마 에칭 방법 및 컴퓨터판독 가능한 기억 매체
TWI714553B (zh) 透過靶壽命控制一或多個薄膜性質的自動電容調節器電流補償
TW201703112A (zh) 用以達成次10奈米圖案化之材料處理
US20130199925A1 (en) HIGH DENSITY TiN RF/DC PVD DEPOSITION WITH STRESS TUNING
CN112376024B (zh) 一种氧化物薄膜的制备方法
US11462412B2 (en) Etching method
WO2010004890A1 (ja) 薄膜の成膜方法
US20200135480A1 (en) Workpiece processing method
TW201703074A (zh) 蝕刻磁性層之方法
TW201705265A (zh) 蝕刻方法
TW201602650A (zh) 光波分離網格與形成光波分離網格的方法
JPH0864540A (ja) 薄膜形成方法及び装置
US10242873B2 (en) RF power compensation to control film stress, density, resistivity, and/or uniformity through target life
JP2023159347A (ja) 基板処理方法および基板処理装置
WO2018084255A1 (ja) 被処理体を処理する方法
JP4128365B2 (ja) エッチング方法及びエッチング装置
US9461137B1 (en) Tungsten silicide nitride films and methods of formation
JP2019102508A (ja) ボロン系膜の形成方法および形成装置
US20230343598A1 (en) Method For Improving Etch Rate And Critical Dimension Uniformity When Etching High Aspect Ratio Features Within A Hard Mask Layer
KR20200121238A (ko) 기판 처리 방법 및 기판 처리 장치
TW202027225A (zh) 清潔膜堆疊中之氧化物層以消除下游處理期間之電弧放電之方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant