JP5815967B2 - 基板洗浄装置及び真空処理システム - Google Patents

基板洗浄装置及び真空処理システム Download PDF

Info

Publication number
JP5815967B2
JP5815967B2 JP2011080098A JP2011080098A JP5815967B2 JP 5815967 B2 JP5815967 B2 JP 5815967B2 JP 2011080098 A JP2011080098 A JP 2011080098A JP 2011080098 A JP2011080098 A JP 2011080098A JP 5815967 B2 JP5815967 B2 JP 5815967B2
Authority
JP
Japan
Prior art keywords
substrate
gas
cluster
wafer
nozzle
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2011080098A
Other languages
English (en)
Other versions
JP2012216636A (ja
Inventor
土橋 和也
和也 土橋
健介 井内
健介 井内
清水 昭貴
昭貴 清水
健太 安田
健太 安田
吉野 裕
裕 吉野
相田 敏広
敏広 相田
武彦 妹尾
武彦 妹尾
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Iwatani Corp
Original Assignee
Tokyo Electron Ltd
Iwatani Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd, Iwatani Corp filed Critical Tokyo Electron Ltd
Priority to JP2011080098A priority Critical patent/JP5815967B2/ja
Priority to US13/429,720 priority patent/US9214364B2/en
Priority to CN2012100867864A priority patent/CN102728580A/zh
Priority to KR1020120033295A priority patent/KR101671555B1/ko
Publication of JP2012216636A publication Critical patent/JP2012216636A/ja
Application granted granted Critical
Publication of JP5815967B2 publication Critical patent/JP5815967B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45582Expansion of gas before it reaches the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Description

本発明は、基板の周縁部を洗浄する技術に関する。
半導体デバイスの製造プロセスにおいて、半導体ウエハ(以下、ウエハという。)の上に多層膜を積層してこの上にレジストマスクを形成し、当該レジストマスクを用いて単一のエッチング装置にて各膜に応じたエッチングガスにより前記多層膜にホールやトレンチを形成する工程が検討されている。この一連の工程においては、ウエハに多層膜が成膜された後、周縁部の膜が湿式洗浄装置により除去され、その後多層膜上にレジストパターンが形成される。このため、当該ウエハがエッチングされるときには、ウエハの周縁は基材であるシリコンが露出している。このため、ウエハの周縁部のシリコン部分が、エッチング工程に曝されて表面が削られ、針状の鋭角な突起群が密集した表面状態に変形する。また、ウエハの裏面側の周縁部にはプラズマが照射されないので、ウエハの表面にてプラズマと膜とが反応して生成された例えばポリマーなどの反応生成物が付着、堆積し、好ましくないパーティクルの発生要因となる。
ウエハの表面側の周縁部に形成されたシリコンの鋭角状の凹凸部分(針状突起群)は、プラズマクリーニングで除去しようとしても、クリーニングガスのプラズマは異方性があるので除去が困難であり、またブラシ洗浄を利用する場合には、突起群Tを擦り取ることができない。更にまた、CMP(Chemical Mechanical Polishing)を用いる手法では、突起群を削り落とすことができるが、ウエハの表面を汚染する懸念がある。更にまた、ウエハの裏面側の周縁部に付着した付着物については、複数の材料により構成されているので、ドライクリーニング(プラズマクリーニング)を行おうとするとクリーニングガスを膜の材料に応じて変えていく必要があるが、ウエハの周縁部に限定して処理することが難しく、ウエハのデバイスエリアを損傷してしまうという問題がある。また、ウェット洗浄においても同様の問題があり、更に除去した付着物が再付着する問題がある。
一方、特許文献1には、ガスクラスターをイオン化させずに半導体基板に照射することにより、半導体基板やその表面に形成された薄膜層のエッチングや平坦化を行うことが記載されているが、ウエハの周縁部を洗浄することについては記載されていない。
国際公開2010/021265号
本発明はこのような背景の下になされたものであり、その目的は基板の周縁部の不要部位を、基板の有効領域への悪影響を抑えた状態で良好に洗浄することができる技術を提供することにある。
本発明の基板洗浄装置は、シリコンウエハ上の複数種の積層膜をエッチングした後の当該ウエハである基板の表面側の周縁部のベベル部に形成された、不要部位に相当する針状のシリコンの突起群を除去する装置であって、
排気口を有する処理室内に設けられ、基板を保持するための保持部と、
前記保持部に保持された基板の周縁部の不要部位を物理的衝撃及び化学反応の両作用により除去するために、ガスクラスターを前記突起群に直接照射するためのノズル部と、
前記ガスクラスターの照射時に、前記保持部を鉛直軸の周りに回転させるための回転機構と、を備え、
前記ノズル部は、平面で見たときに前記ベベル部よりもウエハの中央寄りに配置されると共に当該ベベル部の面に対して斜めにガスクラスターを照射するように設定され、前記処理室内の圧力よりも高い圧力の洗浄ガスを吐出することにより断熱膨張させて洗浄ガスの原子または分子の集合体であるガスクラスターを形成するものであることを特徴とする。



また、本発明の真空処理システムは、
真空雰囲気下で基板を搬送する真空搬送室と、
この真空搬送室に仕切りバルブを介して接続され、基板の表面に形成された薄膜をドライエッチングするかまたは基板に薄膜を成膜するための真空処理モジュールと、
前記真空搬送室に仕切りバルブを介して接続され、前記真空処理モジュールにて真空処理された基板の周縁部を洗浄するための、上述した基板洗浄装置と、を備える。
本発明は、基板の周縁部における不要部位を除去するにあたり、基板をノズル部に対して相対的に移動または回転させながら、ノズル部内よりも圧力の低い処理雰囲気中にノズル部から洗浄ガスを吐出することにより形成される洗浄ガスのクラスター(原子あるいは分子の集合体)を前記不要部位に供給するようにしている。ガスクラスターは局所的に照射することができることから、除去対象としている不要部位の洗浄を行っても、基板のデバイス領域などの有効領域には影響を与えずに済み、基板の汚染を抑えた状態で基板の周縁部を良好に洗浄することができる。
本発明の実施形態に係る真空処理システムの全体を示す平面図である。 前記実施形態に用いられる基板洗浄装置の概要を示す縦断側面図である。 前記基板洗浄装置の概要を示す平面図である。 前記基板洗浄装置に設けられるクラスターノズルを示す縦断側面図である。 前記クラスターノズルの概要を示す縦断側面図である。 前記クラスターノズルのガス供給系の概要を説明する配管図である。 前記実施形態におけるウエハ上に形成された多層膜のエッチングの概要を示す縦断側面図である。 本実施形態における第1のクラスターノズルによるウエハの周縁部における針状突起群の平坦化を説明する作用図である。 本実施形態における第2のクラスターノズルによる付着物の除去を説明する作用図である。 本実施形態の変形例における前記基板洗浄装置の概要を示す平面図である。 本発明の実施例における針状突起群が表面に形成されたウエハに対するガスクラスター照射前及び照射後のウエハの表面のSEM写真である。
図1は、本発明の実施形態である基板洗浄装置4を有する真空処理システムを示す図である。この真空処理システムは、平面形状が長方形である大気搬送室1を備えている。大気搬送室1における一方の長辺側には、半導体(シリコン)ウエハWを搬入出するための搬入出ポートが設けられている。搬入出ポートは、複数のウエハWを収納した、搬送容器であるFOUPが載置される複数の搬入出ステージ13と、各搬入出ステージ13に設けられたドア14と、を備えている。
また大気搬送室1における搬入出ステージ13とは反対側には、左右に配置された2つのロードロック室15(予備真空室)を介して例えば平面形状6角形の真空搬送室2が接続されている。大気搬送室1における短辺側には、ウエハWの位置合わせを行うためのオリエンタを備えたアライメントモジュール16が接続されている。大気搬送室1内にはウエハWを搬入出ステージ13、ロードロック室15及びアライメントモジュール16の間で受け渡すための搬送機構12が備えられている。
真空搬送室2は、図示しない真空ポンプにより室内が真空雰囲気に保たれており、エッチング装置3の処理雰囲気を構成する第1の真空室31及び基板洗浄装置4の処理雰囲気を構成する第2の真空室41が接続されている。また、この真空搬送室2には、ロードロック室15、アライメントモジュール16、エッチング装置3及び基板洗浄装置4の間でウエハWを受け渡すための搬送機構22を備えている。なお、図1中のG1〜G3は、仕切りバルブをなすゲートバルブである。
また、この真空処理システムは、制御部9を備え、この制御部9の記憶部に記憶されたプログラム及び処理レシピを含むソフトウエアにより、ウエハWの搬送、各ゲートバルブG1〜G3及びドア14の開閉そして各真空室31、41における処理及び真空度の調整を行っている。
エッチング装置3としては、容量結合型プラズマ方式や誘電コイルプラズマ方式などの周知の装置を用いることができ、容量結合型プラズマ方式の場合、真空室31内に上部電極、下部電極を対向させ、両電極間に高周波を印加して処理ガスをプラズマ化し、そのプラズマ中のイオンを、下部電極に印加したバイアスにより下部電極上のウエハWに引き込んでウエハWの表面をエッチングするように構成される。
基板洗浄装置4の第2の真空室41は、図2に示すように、ウエハWを水平姿勢で吸着保持するための静電チャック42を備えている。この静電チャック42は、回転軸43を介して第2の真空室41の底部に固定された移動機構である回転機構44に支持されており、吸着保持したウエハWを鉛直軸回りに回転させることができる。
第2の真空室41の底部の側壁付近には、図3に示すように、水平(図3中X方向)に伸びるガイド51が設けられると共に、このガイド51にガイドされながら図示しないボールネジ機構により駆動されて移動する移動体52が設けられている。この移動体52の上部には、図4に示すように、鉛直上方(図中Z方向)に伸び、更にその先で直角に曲がりガイドの延伸方向と直交するように水平(図中Y方向)に伸びる支持部材53が設けられている。この支持部材53の先端部には、角度調整機構54を介して、第1のクラスターノズル5が静電チャック42に吸着保持されるウエハWの上方に位置するように設けられている。この角度調整機構54は、Y方向に伸びる回転軸54aを有するモータを含む駆動機構により構成され、第1のクラスターノズル5はこの回転軸54aに設けられているため、角度調整機構54によりガスクラスターの照射角度の調整が可能である。
この第1のクラスターノズル5は、図5に示すように、円筒状の圧力室50を備えており、この第1のクラスターノズル5の基端側に、各々配管からなる第1のガス流路55a及び第2のガス流路55bが接続されている。第1のガス流路55aの基端側には、三フッ化塩素(ClF3)ガス供給源56が接続され、例えばマスフローメータからなる流量調整部59a及びバルブが介設されている。また、第2のガス流路55bの基端側には、アルゴン(Ar)ガス供給源57が接続され、例えばマスフローメータからなる流量調整部59b及びバルブが介設されている。更に、図示していないが、圧力室50内の圧力を検出する圧力計が設けられ、流量調節部59a、59b及び圧力計によって、圧力室50内の圧力と、ClF3ガス及びArガスの流量比と、を調整できるようになっている。
ClF3ガスは、第1のクラスターノズル5から照射されるガスクラスターCの主成分を成すものであり、ウエハWの周縁部におけるシリコンの針状突起群Tを物理的及び化学的に削り平坦化することができる。また、不活性ガスであるArガスは、第1のクラスターノズル5の供給領域側(圧力室50内)の圧力を高めることでガスクラスターCの吐出速度を増加させ、その指向性や局所加工性をよりよくするための昇圧用ガスとして用いている。また第1のクラスターノズル5の供給領域側の圧力が高くなることにより、第2の真空室41内の圧力も前記供給領域に対応して高くすることができ、装置に要求される真空性能が緩和されるなど有利である。なお、図2では、第1のガス流路55a及び第2のガス流路55bを合わせて配管55と記載し、ClF3ガス供給源56、Arガス供給源57、そしてそれらに接続されている流量調整部59a、59b、バルブ及び圧力計を合わせて洗浄ガス供給系8と記載している。この洗浄ガス供給系8には、後述する第2のクラスターノズル6で使用する洗浄ガスの供給系も含まれる。
また、第1のクラスターノズル5の先端側は、図5に示すように、ラッパ状に広がっている。そして、この拡開部の根元部位に相当する第1のクラスターノズル5の吐出口はオリフィス形状となっており、ウエハWの表面からの高さ位置及びガスクラスターCを照射するウエハWの周縁までの水平距離は夫々、例えば10mm及び10mmに設定されている。後述のように、この第1のクラスターノズル5から吐出されたガスは、急激な減圧に晒されることで断熱膨張し、処理ガスの原子や分子Gがファンデルワールス力により結合して集合体(ガスクラスター)CとなりウエハWの周縁部に形成された針状突起群Tに照射される。
一方、第2の真空室41内におけるウエハWの下方位置には、ウエハWの周縁部に向けてガスクラスターを照射できるように、第2のクラスターノズル6が設けられている。この第2のクラスターノズル6用のガイド61は、図3に示すように、静電チャック42を挟んでガイド51と対向するように、そして平行に設けられている。そして、このガイド61にガイドされながら図示しないボールネジ機構により駆動されて移動する移動体62が設けられている。この移動体62の上部には、鉛直上方(図2中Z方向)に伸び、更にその先で直角に曲がりガイドの延伸方向と直交するように水平(図3中Y方向)に伸びる支持部材63が設けられている。この支持部材63の先端部には、角度調整機構64を介して、第2のクラスターノズル6が静電チャック42に吸着保持されるウエハWの下方に位置するように設けられている。この角度調整機構64は、Y方向に伸びる回転軸64aを有するモータを含む駆動機構であり、第2のクラスターノズル6はこの回転軸64aに設けられているため、角度調整機構64によりガスクラスターCの照射角度の調整が可能である。
第2のクラスターノズル6の構造は、第1のクラスターノズル5と同様である。但し、第2のクラスターノズル6では、洗浄ガスとしてオゾン(O3)ガス、フッ化水素(HF)ガス、そしてClF3ガスの3種類の洗浄ガスを使用する。そのため、第2のクラスターノズル6に接続している配管の基端側は、図6に示すように、各洗浄ガスの供給系82、83、84に夫々分岐して接続されており、これら各洗浄ガスのガス供給系82、83、84のうち使用する洗浄ガス供給系はバルブにより切り替えて使い分けられ、Arガスと混合して照射される。図中81は、Arガス供給系である。
また、第2の真空室41内、図2及び図3に示すように、ウエハWの上方側にはパージガスノズル7が設けられており、このノズル7は例えばArガスなどのパージガスの気流をガスクラスターCの照射箇所においてウエハWの中心部から外周部に向けて形成するように構成されている。このパージガスノズル7は、支持部材73及び移動体72を介してガイド71に沿って図3中X方向に水平移動可能となっており、静電チャック42に吸着保持されたウエハWの表面に対して一定の角度をつけてパージガスを吹き出すように構成されている。なお、パージガスノズル7にも、角度調整機構を設けてもよい。このパージガスノズル7は、配管を介して、第2の真空室41の外に設けられたパージガス供給系80に接続されている。このパージガス供給系80は、パージガス供給源、流量調整部及びバルブよりなる。
第2の真空室41の底部の排気ポート45には、排気管49が接続され、この排気管49には圧力調整部46を介して真空ポンプ47が設けられ、第2の真空室41内の圧力調整が可能となっている。
続いて、上述実施形態の作用について説明する。先ずウエハWが収納された例えばFOUPからなる搬送容器が搬入出ステージ13に載置され、搬送容器の蓋体と一緒にドア14が開かれる。次いで搬送容器内のウエハWが大気搬送室1内の搬送機構12によりアライメントモジュール16に搬送され、ここでウエハWの向きが予め設定した向きに調整される。その後、ウエハWは、搬送機構12、ロードロック室15、真空搬送室2内の搬送機構22を介してエッチング装置3の真空室31内に搬入される。
ウエハWの表面には、図7(a)に示すように、多層膜が積層され、更にその上にレジストマスク100が形成され、ウエハWの周縁部はレジスト及び多層膜が除去されていてウエハWの基材であるシリコンが露出している。多層膜は、下側から例えばシリコン酸化膜層101、ポリシリコン層102、例えばチタンやタングステン等の金属層103そして有機膜104がこの順に積層されて構成されている。なお、この多層膜の例は、発明の理解を容易にするためのモデルとして挙げた一例に過ぎない。エッチング装置3では、前記多層膜を、膜種に応じて処理ガスや圧力などの処理条件を切り替えながらプラズマにより順次エッチングして、レジストマスク100のパターンに応じた凹部が形成される。図7(b)は、エッチング終了後のウエハWを示す模式図である。同図に示すように、ウエハWの周縁部における表面側のベベル部には不要部位をなす針状の突起群Tが形成され、裏面側のベベル部にはエッチング時に生成された反応生成物などからなる不要部位である付着物Pが付着している。この付着物Pは、多層膜の各膜に応じた反応生成物の積層体であり、この例では、ウエハWの板面側から有機系、金属系、シリコン系そしてフッ化炭素系(CF系)の順に各反応生成物などが積層されている。
次いで、このウエハWは、基板洗浄装置4の第2の真空室41内に搬入され、静電チャック42に吸着保持され、回転機構により回転する。そして、第2の真空室41内を圧力調整部46により例えば1Pa〜100Paの真空雰囲気に維持し、ガス流路55a、55bから夫々ClF ガス及びArガスを例えば流量調整部59a、59bにより0.3MPa〜2.0MPaの圧力で、第1のクラスターノズル5に供給する。このときのClF ガスの濃度は、流量調整部59a、59bにより、例えば数体積%に設定される。上述のような高圧状態で第1のクラスターノズル5内に供給されたClF ガス及びArガスは、当該第1のクラスターノズル5内から第2の真空室41の真空雰囲気内に一気に放出されるため断熱膨張してガスの温度が凝縮温度以下になり、この例ではAr原子及びClF分子がファンデルワールス力により結合して、原子及び分子Gの集合体であるガスクラスターCを形成する。
このガスクラスターCは、第1のクラスターノズル5から当該第1のクラスターノズル5の軸方向に直進して放出され(図8(a))、ウエハWの周縁部に形成された針状突起群Tに向かってベベル部の面に対して斜めの方向から衝突する。すると、ガスクラスターCは個別のガス分子及び原子Gに分解し、この解離したガス分子及び原子Gは、ガスクラスターの照射角度よりも更に表面に対して平行に近い角度で弾き飛ばされる(図8(b)及び(c))。そして、このガス分子及び原子Gが表面に形成されている針状突起群Tの突起と衝突してシリコンと反応し、こうして物理的衝撃と化学反応との両作用により、針状突起群Tの突起の先端が削られ、ウエハWの周縁部のベベル部の表面側が平滑化される(図8(d))。このとき、ウエハWの表面部からはシリコン微粒子が飛散するが、パージガスノズル7より吹き出されているパージガスによりウエハWの外周方向に吹き出され、ウエハWに衝突して分解したガスの原子や分子と共に排気管49から排気される。図8は、ガスクラスターCによりウエハWの周縁部に形成された針状突起群Tが平坦化される様子を示すイメージ図である。このように、ガスクラスターCを照射することにより針状突起群Tが平坦化されることは、後述の実験において確認している。
その一方で、第2のクラスターノズル6による付着物Pの除去も、上述の針状突起群Tの平坦化と同時に行われる。この第2のクラスターノズル6における、第2の真空室41の気圧、第2のクラスターノズル6内の圧力及び洗浄ガスの体積濃度などのガスクラスターの照射条件は、これから述べるいずれのガス種においても前述の第1のクラスターノズル5の条件と同じである。まず、図9(a)に示すように、フッ化炭素系付着物(CF系付着物)P4の除去を行う。例えばO3ガスが、流量調整部により所定の流量に調整され第2のクラスターノズル6に導入される。一方、不活性ガス例えばArガスも流量調整部により流量調整された上で第2のクラスターノズル6に導入される。O3ガスは、第2のクラスターノズル6内にてArガスと混合し、希釈される。そして、第2のクラスターノズル6からO3ガスのクラスターCを、ウエハWの裏面の周縁部に付着した付着物Pに照射し、衝突させる。このとき、O3ガスは、付着物Pの中のCF系付着物P4と化学反応すると共に物理的衝撃による作用も相まって、CF系付着物P4をウエハWから遊離させる。この遊離した反応生成物は、パージガス及び真空ポンプ47の吸引に導かれて排気ポート45を介して第2の真空室41の外に排出される。このようにして、CF系付着物P4はウエハWの周縁部から除去される。
次に、図9(b)に示すように、シリコン系付着物P3の除去を行う。まず、洗浄ガス供給系を切り替えて、シリコン系付着物に対応する洗浄ガスである例えばフッ化水素(HF)ガスを、流量調整部により所定の流量に調整して第2のクラスターノズル6に導入する。一方、不活性ガス例えばArガスも流量調整部により流量調整された上で第2のクラスターノズル6に導入する。以下、前述のCF系付着物P4の除去の場合と同様に、HFガスのクラスターCを付着物Pに照射することにより、物理的及び化学的な作用によりシリコン系付着物P3が除去される。
今度は、図9(c)に示すように、前述のCF系付着物P4及びシリコン系付着物P3の除去と同じように、金属系付着物に対応する洗浄ガスである例えばClF3ガスのガスクラスターCを付着物Pに照射することにより、金属系付着物P2が除去される。
最後に、図9(d)に示すように、有機系付着物に対応する洗浄ガスである例えばO3ガスのクラスターCを付着物Pに照射して、有機系付着物P1を除去する。このようにして、ウエハWの裏面側の周縁部に付着した付着物Pの除去が完了する。
本実施形態では、CF系付着物P4及び有機系付着物P1に対する洗浄ガスとしてO3ガスを使用したが、それ以外に例えばアルコール類のガス、フッ化炭素系ガス(CF系ガス)、六フッ化硫黄(SF6)ガスなどの有機系付着物と化学反応を起こし除去できるものであればよい。また同様に、シリコン系付着物P3に対する洗浄ガスとしては、HFガス以外に例えばF2ガスなどでもよいし、金属系付着物P2に対する洗浄ガスとしては、ClF3ガス以外に例えばHFガス、F2ガス、塩化水素(HCl)ガス、塩素(Cl2)ガスなどでもよい。
ガスクラスターCによりウエハWのベベル部を洗浄する工程中において、ウエハWの温度は、例えば常温で行うことができ、特に温度については限定されるものではないが、プロセス制御性の理由から例えば0℃から100℃であることが好ましい。
こうしてウエハWの周縁部の洗浄終了後、ゲートバルブG3が開かれ、当該真空搬送室2の搬送機構22により第2の真空室41から搬出される。
上述実施の形態によれば、ウエハWの表面の周縁部における針状突起群Tの平坦化及びウエハWの裏面の周縁部に付着した複合膜からなる付着物Pの除去を行うにあたり、真空雰囲気中でウエハWを回転させながら、夫々の洗浄処理に対応した洗浄ガスのクラスターCをウエハWの周縁部に照射するようにしている。このため、ガスクラスターCのスパッタ効果による物理的な作用と反応性ガスによる化学的な作用の相乗効果により、後述の実施例にて裏付けられるように、シリコンの針状突起群Tを削って平坦化し、複合膜である付着物Pを除去することができる。
このとき、パージガスを照射箇所にウエハWの外周方向に向けて吐出することで、洗浄により生じた飛散物のウエハWへの再付着をより一層抑えることができる。また、ガスクラスターCは、局所的に照射することができることから、不要部位(除去対象部位)だけの洗浄処理を実施しても、ウエハWのデバイス領域などの有効領域には影響を与えないことから、ウエハWの汚染を抑えた状態でウエハWの周縁部を良好に洗浄することができる。仮に、プラズマを局所的に照射しようとすると、プラズマ供給ノズル自体が損傷し汚染源となってしまう虞があるが、ガスクラスターCではその心配がなく、また装置構造が簡便で安価である。
上述の実施形態では、第1及び第2のクラスターノズル5、6には角度調整機構54、64が組み合わせられているため、これを利用して、ガスクラスターCを吐出する時間帯を複数に分割し、例えば初めの第1の時間帯では第1の角度でガスクラスターCを吐出し、次の第2の時間帯では第2の角度で吐出するといった具合に、段階的に角度を切り替えるようにしてもよい。あるいは、クラスターノズル5、6が洗浄工程中に言わば首振り動作を繰り返すように、第1の角度と第2の角度との間で連続的に角度を変えるようにしてもよい。
更にまた、第1、第2のクラスターノズル5、6は、X方向に移動できることから、前記第1の時間帯と第2の時間帯とでウエハWの径方向における照射位置を変えるようにしてもよいし、連続的にクラスターノズル5、6の前記径方向位置を変えるようにしてもよく、例えばクラスターノズル5、6を第1の位置と第2の位置との間で往復移動させてもよい。そしてまた、既述のようなクラスターノズル5、6の角度の変更と、ウエハWの径方向位置の変更とを組み合わせて行うようにしてもよい。
上述の実施形態では、第2のクラスターノズル6を1個設けて、ガス種の切り替えを行っているが、本発明は、図10に示すように、例えばガス種毎に複数の第2のクラスターノズル6a、6bが設けられていてもよい。図10では、図面が繁雑にならないように、第1のクラスターノズル5及びパージガスノズル7とそれらの支持部材53、73等については省略し、それらのガイド51、71のみ示している。
また、上述の実施形態では、ウエハWの周縁部に付着した付着物Pの構成成分を全て除去したが、付着物Pの除去については、次工程で必要とされる状態であれば良く、場合によっては全ての成分を除去せず一部の成分のみの除去にとどめてもよい。
上述の実施形態では、付着物Pにおける複数の構成成分に対応する各洗浄ガスを同一のクラスターノズルから順次照射したが、各洗浄ガス専用の複数のクラスターノズルにより各ガス種のガスクラスターCを同時に同一箇所に照射してもよい。
また、上述の実施形態では、針状突起群T及び付着物Pの両方をガスクラスターにより洗浄処理したが、針状突起群Tのみガスクラスターを適用し、付着物Pについては例えばウェット洗浄などの他の方法により別途除去してもよい。
上述の実施形態では、ウエハWの周縁部の針状突起群T及び付着物Pの洗浄処理に対して本発明を適用しているが、本発明はこれに限定されるものではなく、例えばCVD処理によりウエハ上に形成された薄膜に対してそのうちのウエハ周縁部の薄膜のみ除去する場合などについて適用してもよい。
上述の実施形態では、真空雰囲気中においてガスクラスタ−により洗浄処理をしたが、当該洗浄処理雰囲気はクラスターノズル内の圧力に比べて低い雰囲気であればよく、常圧雰囲気であってもよい。
被処理基板としてはウエハWのように円形基板に限られるものではなく、例えばフラットパネルディスプレイ(FPD)基板などの角形の基板でもよい。この場合、クラスターノズル5、6を基板の周縁に沿ってその一辺の一端から他端へと基板に対して相対的に移動させながら処理を行うといった手法を採用することができる。
ここからは、本発明によるシリコンの針状突起群の平坦化及び裏面側の付着物の除去に関する効果を確認した実験例について述べる。
(シリコンの針状突起群の平坦化)
予めプラズマにより表面に針状突起群を形成したシリコンピースを用意した。処理ガスとしてClF ガス及びArガス(ClF 濃度で6体積%。)を用い、ガスクラスターノズル内の圧力を0.8MPa、真空室の雰囲気を10Paとし、シリコンピースに対するガスクラスターの照射角度を45°に設定して、シリコンピースの表面部にガスクラスターを照射した。図11は、ガスクラスターの照射前及び照射後に、SEMによりこのシリコンピースの表面を観察した観察結果である。この実験の結果、ガスクラスターの照射によりシリコンの針状突起群の先端が削られ平坦化されていることが確認された。
(付着物の除去)
金属系付着物、シリコン系付着物及び有機系付着物を想定して、夫々タングステン膜、SiO2膜及び有機膜を想定してレジスト膜を形成した基板に対して、ガスクラスターを垂直に照射して、その照射痕の有無等を目視により確認し本発明の適用の可否を判断した。使用ガスは、タングステン膜に対しては、Arガスにより希釈した6体積%ClF3ガスを照射し、SiO2膜及びレジスト膜に対しては、Arガスにより希釈した5体積%HFガス及び5体積%O3ガスを夫々照射した。なお、レジスト膜への照射については、基板温度を90℃に加熱した場合と室温の場合について実験を行った。タングステン膜及びSiO2膜については、照射痕が確認できたため、本発明によりエッチング可能であることが確認された。レジスト膜については、基板温度が室温の場合には照射痕が見られなかったが、基板温度が90℃の場合には本発明によりエッチング可能であることを確認した。
C ガスクラスター
G ガスの分子及び原子
P ウエハ周縁部の裏面に付着した付着物
T ウエハ周縁部に形成されたシリコンの針状突起群
W ウエハ
1 大気搬送室
2 真空搬送室
22 真空搬送室内の搬送機構
3 エッチング装置
31 第1の真空室
4 基板洗浄装置
41 第2の真空室
42 静電チャック
45 排気ポート
5 第1のクラスターノズル
54 第1のクラスターノズルの角度調整機構
6 第2のクラスターノズル
64 第2のクラスターノズルの角度調整機構
7 パージガスノズル
8 洗浄ガス供給系
9 制御部

Claims (9)

  1. シリコンウエハ上の複数種の積層膜をエッチングした後の当該ウエハである基板の表面側の周縁部のベベル部に形成された、不要部位に相当する針状のシリコンの突起群を除去する装置であって、
    排気口を有する処理室内に設けられ、基板を保持するための保持部と、
    前記保持部に保持された基板の周縁部の不要部位を物理的衝撃及び化学反応の両作用により除去するために、ガスクラスターを前記突起群に直接照射するためのノズル部と、
    前記ガスクラスターの照射時に、前記保持部を鉛直軸の周りに回転させるための回転機構と、を備え、
    前記ノズル部は、平面で見たときに前記ベベル部よりもウエハの中央寄りに配置されると共に当該ベベル部の面に対して斜めにガスクラスターを照射するように設定され、前記処理室内の圧力よりも高い圧力の洗浄ガスを吐出することにより断熱膨張させて洗浄ガスの原子または分子の集合体であるガスクラスターを形成するものであることを特徴とする基板洗浄装置。
  2. 前記基板の表面側には、前記ガスクラスターの衝突により基板から飛散した飛散物を基板の中心から見て外側に吹き飛ばすためのパージガスを吐出するパージガス供給部を備えていることを特徴とする請求項1に記載の基板洗浄装置。
  3. 前記洗浄ガスは、昇圧用ガスと混合してから吐出することを特徴とする請求項1または2に記載の基板洗浄装置。
  4. 前記ノズル部は、基板に対するガスクラスターの照射角を変更できるように構成されていることを特徴とする請求項1ないし3のいずれか一項に記載の基板洗浄装置。
  5. 前記ノズル部は、基板の中央部と外線とを結ぶ方向に移動可能に構成されていることを特徴とする請求項1ないし4のいずれか一項に記載の基板洗浄装置。
  6. 前記ノズル部は、
    基板と平行な軸の周りに回動することによりガスクラスターの照射角を変更できること、及び基板の中央部と外線とを結ぶ方向に移動可能であること、の少なくとも一方が可能なように構成され、
    基板の周縁部に対するガスクラスターによる処理開始時から処理終了時までの間に、ガスクラスターの照射角の変更及び基板の中央部と外線とを結ぶ方向の移動の少なくとも一方が実施されるように制御する制御部を備えたことを特徴とする請求項1ないし5のいずれか一項に記載の基板洗浄装置。
  7. 前記基板は、裏面の周縁部に不要部位に相当する複数種の膜が積層されており、
    前記ノズル部には、複数種の洗浄ガスを切り替えて吐出できるように複数種のガス供給系が接続されていることを特徴とする請求項1ないし6のいずれか一項に記載の基板洗浄装置。
  8. 前記基板は、裏面の周縁部に不要部位に相当する複数種の膜が積層されており、
    前記ノズル部は複数設けられ、これら複数のノズル部は、互に異なる洗浄ガスが供給されることを特徴とする請求項1ないし6のいずれか一項に記載の基板洗浄装置。
  9. 真空雰囲気下で基板を搬送する真空搬送室と、
    この真空搬送室に仕切りバルブを介して接続され、基板の表面に形成された薄膜をドライエッチングするかまたは基板に薄膜を成膜するための真空処理モジュールと、
    前記真空搬送室に仕切りバルブを介して接続され、前記真空処理モジュールにて真空処理された基板の周縁部を洗浄するための請求項1ないし8のいずれか一項に記載の基板洗浄装置と、を備えた真空処理システム。
JP2011080098A 2011-03-31 2011-03-31 基板洗浄装置及び真空処理システム Active JP5815967B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2011080098A JP5815967B2 (ja) 2011-03-31 2011-03-31 基板洗浄装置及び真空処理システム
US13/429,720 US9214364B2 (en) 2011-03-31 2012-03-26 Substrate cleaning apparatus and vacuum processing system
CN2012100867864A CN102728580A (zh) 2011-03-31 2012-03-28 基板清洗装置以及真空处理系统
KR1020120033295A KR101671555B1 (ko) 2011-03-31 2012-03-30 기판 세정 장치 및 진공 처리 시스템

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2011080098A JP5815967B2 (ja) 2011-03-31 2011-03-31 基板洗浄装置及び真空処理システム

Publications (2)

Publication Number Publication Date
JP2012216636A JP2012216636A (ja) 2012-11-08
JP5815967B2 true JP5815967B2 (ja) 2015-11-17

Family

ID=46925687

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011080098A Active JP5815967B2 (ja) 2011-03-31 2011-03-31 基板洗浄装置及び真空処理システム

Country Status (4)

Country Link
US (1) US9214364B2 (ja)
JP (1) JP5815967B2 (ja)
KR (1) KR101671555B1 (ja)
CN (1) CN102728580A (ja)

Families Citing this family (148)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
JP5815967B2 (ja) * 2011-03-31 2015-11-17 東京エレクトロン株式会社 基板洗浄装置及び真空処理システム
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
JP5857896B2 (ja) * 2012-07-06 2016-02-10 東京エレクトロン株式会社 成膜装置の運転方法及び成膜装置
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
JP5997555B2 (ja) * 2012-09-14 2016-09-28 東京エレクトロン株式会社 エッチング装置およびエッチング方法
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
JP2015026745A (ja) * 2013-07-26 2015-02-05 東京エレクトロン株式会社 基板洗浄方法及び基板洗浄装置
JP6311236B2 (ja) 2013-08-20 2018-04-18 東京エレクトロン株式会社 基板洗浄装置
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
KR20150055655A (ko) * 2013-11-13 2015-05-22 삼성디스플레이 주식회사 기판 세정 장치
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
CN103995434A (zh) * 2014-06-12 2014-08-20 上海华力微电子有限公司 一种掩膜版除尘装置
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
WO2016036739A1 (en) 2014-09-05 2016-03-10 Tel Epion Inc. Process gas enhancement for beam treatment of a substrate
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP6545053B2 (ja) * 2015-03-30 2019-07-17 東京エレクトロン株式会社 処理装置および処理方法、ならびにガスクラスター発生装置および発生方法
JP6618334B2 (ja) * 2015-06-03 2019-12-11 株式会社Screenホールディングス 基板処理装置、膜形成ユニット、基板処理方法および膜形成方法
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2017052958A1 (en) * 2015-09-22 2017-03-30 Applied Materials, Inc. Large area dual substrate processing system
US10786837B2 (en) * 2015-11-30 2020-09-29 Tokyo Electron Limited Method for cleaning chamber of substrate processing apparatus
US11761075B2 (en) * 2015-12-07 2023-09-19 Tokyo Electron Limited Substrate cleaning apparatus
WO2017126196A1 (ja) 2016-01-18 2017-07-27 オリンパス株式会社 内視鏡
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
CN105964621B (zh) * 2016-05-30 2018-05-29 上海华力微电子有限公司 一种除尘系统及除尘方法
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
JP6998664B2 (ja) * 2017-03-23 2022-01-18 東京エレクトロン株式会社 ガスクラスター処理装置およびガスクラスター処理方法
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10510573B2 (en) * 2017-11-14 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Loading apparatus and operating method thereof
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
CN108160628A (zh) * 2017-12-26 2018-06-15 深圳市诺峰光电设备有限公司 一种新型针头清洁装置
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
TWI689357B (zh) * 2018-02-13 2020-04-01 特銓股份有限公司 基板清洗設備以及基板清洗方法
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
KR102099105B1 (ko) * 2018-07-18 2020-05-15 세메스 주식회사 기판 처리 방법 및 기판 처리 장치
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
KR102154486B1 (ko) * 2018-10-11 2020-09-10 주식회사 테스 가스공급유닛
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
KR102620219B1 (ko) * 2018-11-02 2024-01-02 삼성전자주식회사 기판 처리 방법 및 기판 처리 장치
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20210009276A (ko) * 2019-07-16 2021-01-26 도쿄엘렉트론가부시키가이샤 처리액 토출 노즐, 노즐 아암, 기판 처리 장치, 및 기판 처리 방법
KR20210026954A (ko) * 2019-09-02 2021-03-10 삼성전자주식회사 반도체 제조 장치
JP7170608B2 (ja) * 2019-09-09 2022-11-14 三菱電機株式会社 ウエハクリーニング装置および半導体装置の製造方法
KR102649715B1 (ko) * 2020-10-30 2024-03-21 세메스 주식회사 표면 처리 장치 및 표면 처리 방법
US20220301893A1 (en) * 2021-03-19 2022-09-22 Taiwan Semiconductor Manufacturing Company Limited Wafer Transfer System and Method of Use

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3953265A (en) * 1975-04-28 1976-04-27 International Business Machines Corporation Meniscus-contained method of handling fluids in the manufacture of semiconductor wafers
JPS5399762A (en) * 1977-02-12 1978-08-31 Futaba Denshi Kogyo Kk Device for producing compound semiconductor film
CN1019513B (zh) * 1986-10-29 1992-12-16 三菱电机株式会社 化合物薄膜形成装置
JPS63270458A (ja) * 1987-04-27 1988-11-08 Mitsubishi Electric Corp 化合物薄膜形成装置
US4902572A (en) * 1988-04-19 1990-02-20 The Boeing Company Film deposition system
DE4018954A1 (de) * 1989-06-15 1991-01-03 Mitsubishi Electric Corp Trockenaetzgeraet
DE69126122T2 (de) * 1990-09-21 1997-08-28 Fujitsu Ltd Methode und apparat zum wachsen von verbindungshalbleiterkristallen
JP3169151B2 (ja) * 1992-10-26 2001-05-21 三菱電機株式会社 薄膜形成装置
US5679167A (en) * 1994-08-18 1997-10-21 Sulzer Metco Ag Plasma gun apparatus for forming dense, uniform coatings on large substrates
US5967156A (en) * 1994-11-07 1999-10-19 Krytek Corporation Processing a surface
US5931721A (en) * 1994-11-07 1999-08-03 Sumitomo Heavy Industries, Ltd. Aerosol surface processing
JP3530270B2 (ja) * 1995-04-27 2004-05-24 島田理化工業株式会社 精密洗浄装置
US6114254A (en) * 1996-10-15 2000-09-05 Micron Technology, Inc. Method for removing contaminants from a semiconductor wafer
US6164297A (en) * 1997-06-13 2000-12-26 Tokyo Electron Limited Cleaning and drying apparatus for objects to be processed
US7527698B2 (en) * 1998-09-23 2009-05-05 Interuniversitair Microelektronica Centrum (Imec, Vzw) Method and apparatus for removing a liquid from a surface of a substrate
US6424091B1 (en) * 1998-10-26 2002-07-23 Matsushita Electric Works, Ltd. Plasma treatment apparatus and plasma treatment method performed by use of the same apparatus
US6290865B1 (en) * 1998-11-30 2001-09-18 Applied Materials, Inc. Spin-rinse-drying process for electroplated semiconductor wafers
US6267853B1 (en) * 1999-07-09 2001-07-31 Applied Materials, Inc. Electro-chemical deposition system
US6263829B1 (en) * 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
KR100349948B1 (ko) * 1999-11-17 2002-08-22 주식회사 다산 씨.앤드.아이 클러스터를 이용한 건식 세정 장치 및 방법
US6328221B1 (en) * 2000-02-09 2001-12-11 Moore Epitaxial, Inc. Method for controlling a gas injector in a semiconductor processing reactor
JP3405312B2 (ja) * 2000-02-25 2003-05-12 日本電気株式会社 塗布膜除去装置
JP2001345294A (ja) * 2000-05-31 2001-12-14 Toshiba Corp 半導体装置の製造方法
KR100445259B1 (ko) * 2001-11-27 2004-08-21 삼성전자주식회사 세정방법 및 이를 수행하기 위한 세정 장치
US7067097B1 (en) * 2002-02-12 2006-06-27 Wojak Gregory J Process for preparing a diamond substance
US20080010845A1 (en) * 2002-04-26 2008-01-17 Accretech Usa, Inc. Apparatus for cleaning a wafer substrate
US20070066076A1 (en) * 2005-09-19 2007-03-22 Bailey Joel B Substrate processing method and apparatus using a combustion flame
US20080011421A1 (en) * 2002-04-26 2008-01-17 Accretech Usa, Inc. Processing chamber having labyrinth seal
US20080011332A1 (en) * 2002-04-26 2008-01-17 Accretech Usa, Inc. Method and apparatus for cleaning a wafer substrate
US20080017316A1 (en) * 2002-04-26 2008-01-24 Accretech Usa, Inc. Clean ignition system for wafer substrate processing
US6884296B2 (en) * 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
JP3993048B2 (ja) * 2002-08-30 2007-10-17 大日本スクリーン製造株式会社 基板処理装置
US7022193B2 (en) * 2002-10-29 2006-04-04 In Kwon Jeong Apparatus and method for treating surfaces of semiconductor wafers using ozone
US8192555B2 (en) * 2002-12-31 2012-06-05 Micron Technology, Inc. Non-chemical, non-optical edge bead removal process
WO2005015627A1 (en) * 2003-08-07 2005-02-17 Ebara Corporation Substrate processing apparatus, substrate processing method, and substrate holding apparatus
KR101022616B1 (ko) * 2004-07-09 2011-03-16 세키스이가가쿠 고교가부시키가이샤 베이스 외주 처리 방법 및 장치
JP2007088398A (ja) * 2004-12-14 2007-04-05 Realize Advanced Technology Ltd 洗浄装置、この洗浄装置を用いた洗浄システム、及び被洗浄基板の洗浄方法
KR100876050B1 (ko) * 2004-12-28 2008-12-26 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치
US7651585B2 (en) * 2005-09-26 2010-01-26 Lam Research Corporation Apparatus for the removal of an edge polymer from a substrate and methods therefor
US7691278B2 (en) * 2005-09-27 2010-04-06 Lam Research Corporation Apparatus for the removal of a fluorinated polymer from a substrate and methods therefor
US7662253B2 (en) * 2005-09-27 2010-02-16 Lam Research Corporation Apparatus for the removal of a metal oxide from a substrate and methods therefor
US8100081B1 (en) * 2006-06-30 2012-01-24 Novellus Systems, Inc. Edge removal of films using externally generated plasma species
JP4761381B2 (ja) * 2006-08-01 2011-08-31 東京エレクトロン株式会社 薄膜除去装置及び薄膜除去方法
JP5016351B2 (ja) * 2007-03-29 2012-09-05 東京エレクトロン株式会社 基板処理システム及び基板洗浄装置
JP5098019B2 (ja) * 2007-04-27 2012-12-12 ギガフォトン株式会社 極端紫外光源装置
US20080289651A1 (en) * 2007-05-25 2008-11-27 International Business Machines Corporation Method and apparatus for wafer edge cleaning
WO2009036218A1 (en) * 2007-09-13 2009-03-19 Ehd Technology Group, Inc. Apparatus and method for cleaning wafer edge using energetic particle beams
JP5122265B2 (ja) * 2007-10-01 2013-01-16 東京エレクトロン株式会社 基板処理装置および基板処理方法
KR101431197B1 (ko) * 2008-01-24 2014-09-17 삼성전자주식회사 원자층 증착설비 및 그의 원자층 증착방법
WO2010021265A1 (ja) * 2008-08-18 2010-02-25 岩谷産業株式会社 クラスタ噴射式加工方法、半導体素子、微小電気機械素子、及び、光学部品
JP5401089B2 (ja) * 2008-12-15 2014-01-29 東京エレクトロン株式会社 異物除去方法及び記憶媒体
US8981322B2 (en) 2009-02-04 2015-03-17 Tel Epion Inc. Multiple nozzle gas cluster ion beam system
KR101630234B1 (ko) * 2009-11-17 2016-06-15 주성엔지니어링(주) 공정챔버의 세정방법
US8658937B2 (en) * 2010-01-08 2014-02-25 Uvtech Systems, Inc. Method and apparatus for processing substrate edges
EP2553144B1 (en) * 2010-03-29 2016-11-23 Koolerheadz Gas injection device with uniform gas velocity
JP2012061585A (ja) * 2010-09-17 2012-03-29 Tokyo Electron Ltd 真空処理装置、真空処理方法及び微細加工装置
JP5815967B2 (ja) * 2011-03-31 2015-11-17 東京エレクトロン株式会社 基板洗浄装置及び真空処理システム
KR101344921B1 (ko) * 2012-03-28 2013-12-27 세메스 주식회사 기판처리장치 및 방법
JP6317547B2 (ja) * 2012-08-28 2018-04-25 株式会社Screenホールディングス 基板処理方法

Also Published As

Publication number Publication date
US9214364B2 (en) 2015-12-15
US20120247670A1 (en) 2012-10-04
JP2012216636A (ja) 2012-11-08
KR20120112242A (ko) 2012-10-11
KR101671555B1 (ko) 2016-11-01
CN102728580A (zh) 2012-10-17

Similar Documents

Publication Publication Date Title
JP5815967B2 (ja) 基板洗浄装置及び真空処理システム
US9099298B2 (en) Substrate cleaning apparatus and substrate cleaning method
US9960056B2 (en) Substrate cleaning method, substrate cleaning apparatus and vacuum processing system
US9881815B2 (en) Substrate cleaning method, substrate cleaning device, and vacuum processing device
US9837260B2 (en) Cleaning method, processing apparatus, and storage medium
US6787054B2 (en) Two-stage etching process
US9209010B2 (en) Substrate cleaning method and substrate cleaning device
TW201603098A (zh) 用於修改基板表面的掠射角電漿處理
KR100798160B1 (ko) 플라즈마 에칭방법
US10786837B2 (en) Method for cleaning chamber of substrate processing apparatus
KR101419632B1 (ko) 기판의 세정 방법 및 반도체 제조 장치
KR100743275B1 (ko) 플라즈마 처리 방법 및 후처리방법
US20100167552A1 (en) Methods for particle removal during integrated circuit device fabrication
JP2002252206A (ja) プラズマ処理装置
JP2010166092A (ja) プラズマエッチング方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20131226

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150130

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150203

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150406

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150609

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150807

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150901

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150925

R150 Certificate of patent or registration of utility model

Ref document number: 5815967

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250