TW201603098A - 用於修改基板表面的掠射角電漿處理 - Google Patents

用於修改基板表面的掠射角電漿處理 Download PDF

Info

Publication number
TW201603098A
TW201603098A TW104106870A TW104106870A TW201603098A TW 201603098 A TW201603098 A TW 201603098A TW 104106870 A TW104106870 A TW 104106870A TW 104106870 A TW104106870 A TW 104106870A TW 201603098 A TW201603098 A TW 201603098A
Authority
TW
Taiwan
Prior art keywords
substrate
assembly
particle beam
processing
particle
Prior art date
Application number
TW104106870A
Other languages
English (en)
Other versions
TWI690968B (zh
Inventor
葛迪魯多維
葉怡利
奈馬尼史林尼法斯D
迪可森蓋瑞E
瑞斗瓦諾夫史維特拉納B
班德亞當
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201603098A publication Critical patent/TW201603098A/zh
Application granted granted Critical
Publication of TWI690968B publication Critical patent/TWI690968B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

揭露內容的實施例提供使用電漿修改處理而用於將基板表面加以修改的裝置和方法。在一個實施例中,處理一般地包括當基板被設置於粒子束修改裝置之內時藉由使用一高能粒子束而將基板的曝光表面之一部分加以移除與/或再分配。實施例亦可提供電漿修改處理,該電漿修改處理包含一或更多個前平坦化處理步驟與/或一或更多個後平坦化處理步驟,前平坦化處理步驟與後平坦化處理步驟皆可執行於一個處理系統之內。一些實施例可提供用於平坦化基板表面的裝置與方法,該平坦化係藉由在相同處理腔室、相同處理系統內或在兩個或更多個處理系統可找到之處理腔室內執行所有電漿修改處理而達成。

Description

用於修改基板表面的掠射角電漿處理
在此提供的所揭露的實施例一般而言有關於用於將在基板表面上所發現之非均勻表面形貌加以平坦化的裝置和方法。
積體電路典型地藉由依次沉積出導電層、半導體層或絕緣層而形成於基板上(尤其是矽晶圓)。在沉積出每一層之後,將加以蝕刻以產生電路系統特徵。正如一系列的膜層經依次沉積以及蝕刻,基板的外部表面或最上層表面(即基板的曝光表面)會日益呈非平坦狀。第1A圖為形成於基板112上的元件結構100的剖面視圖,基板112具有非平坦表面120。元件結構100可包含圖案化層114以及沉積層116,圖案化層114形成於基板112的表面上,且沉積層116形成於圖案化層114與基板112上方。由於圖案化層114的不同區域中缺少材料,沉積層116的上表面將包含形成部分非平坦化表面120的特徵121。這些非平坦化表面呈現出在積體電路製造處理的光微影步驟中的問題。因此,將需要將基板表面 週期性地平坦化以提供一平坦表面。
化學機械拋光是一種公認的平坦化方法。此平坦化方法典型地需要基板被安裝於支架頭或拋光頭上且需要基板的曝光表面被置於對著旋轉拋光墊或移動拋光帶的位置,該移動拋光帶上設置有拋光液。支架頭提供相對於拋光墊的可控制移動且將負載(即壓力)施加至基板以藉由在基板與拋光墊之間的機械作用將基板上曝光層的一部分加以移除。拋光液(一般將包含至少一種化學反應媒介(例如酸、鹼或甚至是用於氧化物拋光的去離子水))以及研磨粒子(例如用於氧化物拋光的二氧化矽)在CMP處理期間會被施於拋光墊與基板表面以協助藉由機械與化學作用將基板之一部分移除。
在實施習知的平坦化技術(例如CMP)時,要達到高程度的表面均勻性是極度困難的,尤其是從高密度特徵陣列(例如以開放場域為邊界的銅線)延伸而橫跨的表面。在使用CMP處理將基板表面平坦化時,不期望的侵蝕與凹陷通常會出現,且減少表面均勻或平坦化的程度,且挑戰傳統光學微影技術的焦距極限,尤其是與達到次微米尺寸(例如約0.5微米與以下)有關之光學極限。凹陷被定義為形成於介電層(例如,氧化層)中的特徵之側壁高度以及設置於高密度陣列內的特徵(例如銅(Cu)互聯應用、二氧化矽(STI應用))之內的材料之間之高度差。侵蝕被定義為開放場域中的氧化物與高密度陣列之內的氧化物的高度之間的高度差。凹陷與侵蝕的形成係為評估平坦化處理之有效性的最重要的 參數。第1B圖為元件結構100(示於第1A圖中)的剖面視圖,在執行CMP平坦化處理之後,其中拋光元件結構包含平坦化表面119與具有凹陷型缺陷的特徵117。具有一種能減少或整體移除凹陷與侵蝕的平坦化處理仍是較佳的。
由於元件尺寸的縮小以及為執行電子元件中某種量身訂製的功能而對異質材料的需求,平坦化處理的需求在歷年間已增加,該平坦化處理可被執行於超薄膜層上、機械性脆弱的膜層(例如低k值材料以及結構)上,以及包含有毒成分(例如砷(As))的膜層上。由於CMP處理的機械天性,薄且脆弱膜層的平坦化已成為重要的挑戰,尤其是用於包含低k值的多孔或含氣體間隙的結構的半導體元件的平坦化。進一步而言,在產生有毒副產品或有毒廢料的CMP應用中,由於在拋光層中具有有毒材料(例如砷化銦鎵(InGaAs)材料、砷化鎵(GaAs)材料),基板以及受污染的系統構件的處理成為除了安全與/或耗材成本之外的另一個議題。
因此,需要一種用於平坦化基板表面而解決上述問題的方法與裝置。亦需要可平坦化基板表面而不會損害底層膜層且也不會使維修人員暴露在有毒的副產品或有毒廢物中的平坦化處理。
本揭露內容一般包含使用電漿修改處理而用於平坦化基板表面的裝置與方法。電漿平坦化基板表面的處理一般包含在當基板被設置於粒子束修改裝置之內時,藉由使用高能粒子束而將基板的曝光表面之一部分加以移除與/或再分 配。在一些實施例中,基板的曝光表面的一部分的平坦化可由使用粒子束修改處理而加以執行,該粒子束修改處理係在次大氣壓力處理環境下執行。粒子束修改處理可包含傳送高能粒子束,高能粒子束包含在所期望的時間週期內被引導朝向基板表面的高能粒子的空間區域化群組(例如帶電粒子與/或中子)。在一些例子中,粒子束修改處理可包含傳送帶電粒子束,帶電粒子束包含被引導朝向基板表面的電性地帶電粒子的空間區域化群組。
揭露內容的實施例可提供一種平坦化一基板的一表面的裝置,該裝置包括:一基板支撐座,該基板支撐座具有一基板支撐表面、一電漿產生源以及一束取出組件。電漿產生源組件被配置成用以將處理氣體離子化。束取出組件可包含一第一電極,該第一電極具有一第一孔隙,該第一孔隙被定位以將形成於電漿產生區域內的帶電粒子之至少一部分取出;一第二電極,該第二電極具有一第二孔隙,該第二孔隙被定位以接收該等帶電粒子的一粒子流,該粒子流通過該第一孔隙。藉由由位於束取出組件中的電極所產生的電場來完成帶電粒子的取出。所取出的帶電粒子的特性取決於電漿邊界的幾何形狀以及施加於束取出組件中的構件之相關的偏壓。第一功率源一般被配置成用以將第二電極電性地偏壓以增加通過第一孔隙的帶電粒子的動能能量。第一與第二孔隙被定位以在處理期間導引帶電粒子的粒子流流至基板表面。
揭露內容的實施例可進一步提供一種調整一基板的一表面的裝置,該裝置包括:一基板支撐座,該基板支撐座 具有一基板支撐表面,其中一第一方向垂直於該基板支撐表面;一第一束取出組件,該第一束取出組件配置成用以同時地產生:一第一粒子束,該第一粒子束以一第二方向離開該第一束取出組件,其中該第一粒子束被引導朝向該基板支撐表面且該第二方向相對於該第一方向呈一第一掠射角;以及一第二粒子束,該第二粒子束以一第三方向離開該第一束取出組件,其中該第二粒子束被引導朝向該基板支撐表面且該第三方向呈該第一掠射角或相對於該第一方向呈一第二掠射角;以及一致動器,該致動器被配置成用以將該基板支撐表面相對於該第一與該第二粒子束移動。
揭露內容的實施例可進一步提供一種將在一處理腔室的一處理區域中的一基板的一表面平坦化的方法,該方法包含以下步驟:從一粒子束取出組件將一第一粒子束傳送朝向一基板,該基板被設置於一基板支撐座的一基板支撐表面上,其中將所傳送的該第一粒子束以一第一方向提供,該第一方向相對於一第二方向呈一第一掠射角,該第二方向垂直於該基板支撐表面;從該粒子束取出組件將一第二粒子束傳送朝向該基板支撐表面,其中將所傳送的該第二粒子束以一第三方向提供,該第三方向呈該第一掠射角或相對於該第二方向呈一第二掠射角;以及將該基板相對於該第一與該第二粒子束移動,或將該第一與該第二粒子束相對於該基板移動,以減少形成於該基板上的一非平坦表面的非平坦度。
揭露內容的實施例可進一步提供一種用於將一基板的一表面平坦化的系統,該系統包含:一轉移腔室,該轉移 腔室具有一轉移區域;一第一處理腔室,該第一處理腔室耦接於該轉移腔室,一第二處理腔室,該第二處理腔室耦接於該轉移腔室,其中該第二處理腔室配置成用以沉積該基板上的一層;以及一基板轉移機器人,該基板轉移機器人被設置在該轉移區域中,且被配置成用以將設置在第一處理腔室與第二處理腔室內的基板加以負載與卸載。該第一處理腔室可包含:一基板支撐座,該基板支撐座具有一基板支撐表面,其中一第一方向垂直於該支撐表面;一第一束取出組件,該第一束取出組件配置成用以同時地產生:一第一粒子束,該第一粒子束以一第二方向離開該第一束取出組件,其中該第一粒子束被引導朝向該基板支撐表面且該第二方向相對於該第一方向呈一第一掠射角;以及一第二粒子束,該第二粒子束以一第三方向離開該第一束取出組件,其中該第二粒子束被引導朝向該基板支撐表面且該第三方向呈該第一掠射角或相對於該第一方向呈一第二掠射角;以及一致動器,該致動器被配置成用以將基板支撐座的該基板支撐表面相對於該第一與該第二粒子束移動。
揭露內容的實施例可進一步提供一種將在一處理腔室的一處理區域中的一基板的一表面加以修改的方法,該方法包含以下步驟:從一粒子束取出組件將一第一粒子束傳送朝向一基板,該基板被設置於一基板支撐座的一基板支撐表面上,其中將所傳送的該第一粒子束以一第一方向提供,該第一方向相對於一第二方向呈一第一掠射角,該第二方向垂直於該基板支撐表面;將該基板相對於該第一粒子束移動, 或將該第一粒子束相對於該基板移動,以減少形成於該基板上的一非平坦表面的非平坦度,且在當基板相對於所傳送的第一粒子束移動時,將蝕刻氣體傳送至基板的非平坦表面。
200‧‧‧元件結構
201A‧‧‧非平坦表面
201‧‧‧特徵
202‧‧‧材料
205A‧‧‧粒子束
205B‧‧‧粒子束
205‧‧‧高能粒子束
205‧‧‧粒子束
210‧‧‧角度
220‧‧‧處理區域
251‧‧‧基座基板
252‧‧‧沉積層
253‧‧‧圖案化層
270‧‧‧束取出組件
271‧‧‧氣體源
272‧‧‧電漿產生源
273‧‧‧電極組件
300‧‧‧處理腔室
301A‧‧‧晶片
301B‧‧‧特徵
301C‧‧‧非平坦表面
301D‧‧‧基板中心
301‧‧‧基板中心
310‧‧‧處理區域
311‧‧‧幫浦系統
315‧‧‧腔室組件
316‧‧‧側壁
317‧‧‧氣體傳送源
321‧‧‧孔隙
322‧‧‧束傳送元件
330‧‧‧功率源
331‧‧‧天線
332‧‧‧電漿產生區域
335‧‧‧電漿
341‧‧‧氣體源
350‧‧‧束控制器
360‧‧‧偏壓組件
363‧‧‧源
364‧‧‧支撐電極
371‧‧‧基板支撐組件
372‧‧‧所期望間隙
376‧‧‧端點監控系統
390‧‧‧系統控制器
511‧‧‧電漿孔隙電極
512‧‧‧局部接地電極
513‧‧‧轉向電極
514A‧‧‧表面
514‧‧‧第一轉向電極
515A‧‧‧表面
515‧‧‧第二轉向電極
518‧‧‧待用區
521‧‧‧功率源
523‧‧‧功率源
531‧‧‧功率源
532‧‧‧功率源
540‧‧‧電場控制組件
541‧‧‧功率源
542‧‧‧控制元件
551‧‧‧介電材料
552‧‧‧導電元件
560‧‧‧致動器
611‧‧‧電漿孔隙電極
612‧‧‧局部接地電極
613‧‧‧轉向電極
700‧‧‧處理系統
702‧‧‧系統控制器
704‧‧‧處理腔室
706‧‧‧處理腔室
707‧‧‧基板輸送組件
708‧‧‧處理腔室
709‧‧‧處理區域
710‧‧‧處理腔室
711‧‧‧中心軸
712‧‧‧腔室
714‧‧‧機器人
716‧‧‧負載閂鎖腔室
718‧‧‧工廠介面
720‧‧‧網絡機器人
722‧‧‧箱裝載器
724‧‧‧負載閂鎖腔室
728‧‧‧卡匣
730‧‧‧機器人刀片
732‧‧‧基板旋轉組件
744‧‧‧狹縫閥
746‧‧‧狹縫閥
748‧‧‧狹縫閥
750‧‧‧狹縫閥
752‧‧‧容積
800‧‧‧處理系統
802‧‧‧處理腔室
804‧‧‧處理腔室
810‧‧‧基板輸送組件
832‧‧‧基板旋轉組件
900‧‧‧處理系統
902‧‧‧處理腔室
904‧‧‧基板輸送組件
905‧‧‧基板支撐座
910‧‧‧基板輸送組件
1000‧‧‧處理腔室
1020‧‧‧致動器
1100‧‧‧處理系統
1102‧‧‧第一腔室
1104‧‧‧處理腔室
1106‧‧‧處理腔室
1107‧‧‧記憶體
1108‧‧‧處理腔室
1109‧‧‧中央處理單元
1110‧‧‧處理腔室
1111‧‧‧支援電路
1114‧‧‧端
1116‧‧‧端
1118‧‧‧輸入輸送器
1120‧‧‧出口輸送器
1122‧‧‧輸送器
1124‧‧‧埠
1126‧‧‧輥
1131‧‧‧幫浦系統
1161‧‧‧源
1162‧‧‧構件
1171‧‧‧源
1172‧‧‧構件
1200‧‧‧處理系統
1202‧‧‧處理腔室
1204‧‧‧處理腔室
1206‧‧‧處理腔室
1208‧‧‧處理腔室
1212‧‧‧處理腔室
1300‧‧‧處理序列
1302‧‧‧選擇性前平坦化處理步驟
1302‧‧‧步驟
1304‧‧‧步驟
1306‧‧‧步驟
1308‧‧‧步驟
2051‧‧‧粒子束
2052‧‧‧粒子束
2053‧‧‧粒子束
6121‧‧‧局部接地電極
6122‧‧‧局部接地電極
6141‧‧‧轉向電極
B‧‧‧箭頭
藉由參照所附圖式中繪示之本發明的例示實施例,可瞭解在下文更詳細討論且簡短總結於上之本發明的實施例。但是,注意到,所附圖式只例示本發明之一般實施例且因此不視為限制本發明之範圍,因為本發明可容許其他等效實施例。
第1A圖為執行習知平坦化處理之前的形成於基板表面上的元件結構的剖面視圖。
第1B圖為執行習知平坦化處理之後的元件結構的剖面視圖,其中元件結構內的特徵包含具有凹陷型缺陷的特徵。
第2圖為根據在此所述的一實施例的正使用粒子束修改處理加以處理的元件結構的示意性剖面視圖。
第3圖為根據在此所述的一實施例的粒子束修改裝置的示意性剖面側視圖。
第4圖為根據在此所述的一實施例的接收從粒子束修改裝置所產生的粒子束的至少一部分的基板的示意性平面視圖。
第5A圖為根據在此所述的一實施例的粒子束產生組件的一部分的基板的示意性側視圖。
第5B圖為根據在此所述的一實施例的粒子束產生 組件的一部分的基板的示意性側視圖。
第6A圖為根據在此所述的一實施例的粒子束產生組件的一部分的基板的示意性側視圖。
第6B圖為根據在此所述的一實施例的粒子束產生組件的一部分的基板的示意性側視圖。
第6C圖為根據在此所述的一實施例的所形成的粒子束的形狀的示意性平面視圖。
第6D圖為根據在此所述的一實施例的所形成的粒子束的形狀的示意性平面視圖。
第7圖為根據本發明的一個實施例的包含多基板的處理腔室的集群工具的平面視圖。
第8圖為根據在此所述的一個實施例的包含多基板的處理腔室的集群工具的平面視圖。
第9圖為根據在此所述的一實施例的設置於集群工具上的基板處理腔室的一部分的平面視圖。
第10圖為根據在此所述的一實施例的被配置成用以處理基板的處理腔室的側視圖。
第11圖為根據在此所述的一實施例的包含多基板的處理腔室的線型集群工具的側視圖。
第12圖為根據在此所述的一實施例的包含多基板的處理腔室的線型集群工具的平面視圖。
第13圖繪示出根據在此所述的一實施例的可被用以執行電漿修改處理的一或更多個方法步驟。
為了促進瞭解,已經在任何可能的地方使用相同的 元件符號來表示圖式中共用的相同元件。可瞭解到,一實施例的元件與特徵可有利地併入在其他實施例中,而不用另外詳述。
在此提供的所揭露的實施例在此包含使用電漿修改處理而用於將基板表面加以修改的裝置和方法。電漿修改處理可包含電漿平坦化處理,電漿平坦化處理一般而言包含在當基板被設置於粒子束產生裝置之內時藉由使用一或更多個高能粒子束而將基板的曝光表面之一部分加以移除與/或再分配。所揭露的實施例亦可提供電漿修改處理,該電漿修改處理包含一或更多個前平坦化處理步驟與/或一或更多個後平坦化處理步驟,前平坦化處理步驟與後平坦化處理步驟皆可執行於一個處理系統之內。本揭露的一些實施例可提供用於平坦化基板表面的裝置與方法,該平坦化係藉由在相同處理腔室、相同處理系統內或在兩個或更多個處理系統可找到之處理腔室內執行所有電漿修改處理而達成。
在一些實施例中,基板的曝光表面的一部分的平坦化可由使用粒子束修改處理而加以執行,該粒子束修改處理係在次大氣壓力處理環境下執行。一般而言,電漿修改處理包含執行使基板外表面相對平坦與/或平整的一或更多個步驟。在一些實施例中,修改處理被用於移除基板表面上的一些沉積材料覆蓋層,同時亦平坦化基板表面。粒子束修改處理可包含傳送一或更多個高能粒子束,高能粒子束包含在所期望的時間週期內被引導朝向基板表面且相對於基板表面移 動的高能粒子的空間區域化群組。所形成的一或更多個高能粒子束中所發現的粒子可具有幾近相同的動能且從粒子束被引導朝向基板表面,以協助移除材料與/或平坦化基板的曝光表面。在一些例子中,粒子束修改處理可包含傳送帶電粒子束,帶電粒子束包含被引導朝向基板表面的電性帶電粒子的空間區域化群組。處理基板可包含一或更多個曝光區域,曝光區域包含導電材料、半導體材料與/或介電材料。
第2圖圖示出元件結構200的示意性剖面視圖,元件結構200具有非平坦表面201A,非平坦表面201A曝光於一或更多個高能粒子束以平坦化元件結構200的非平坦表面201A。元件結構200可包含圖案化層253(其形成於基座基板251的表面上)以及沉積層252,沉積層252形成於圖案化層253與基座基板251的上方。由於缺少圖案化層253的不同區域中的材料,沉積層253的上表面將包含特徵201(其形成非平坦表面201A之部分),非平坦表面201A將藉由執行在此所述之粒子束修改處理而加以移除。
粒子束修改處理一般而言包含在粒子束205之後將至少一種高能粒子束從粒子束取出組件270傳送至元件結構200的非平坦表面201A以移除材料與/或平坦化基板的曝光表面。一般而言,正如以下進一步所討論,經傳送的粒子束205被使用以修改基板表面且可包含帶電粒子與/或未帶電粒子(例如中子與/或自由基)。粒子束修改處理一般而言被執行於處理區域220內的中等至低壓環境,例如處理壓力為介於約0.01毫托耳(mTorr)與約1托耳(Torr)之間。
粒子束修改處理可包含傳送一或更多個粒子束205以移除與/或重新分配非平坦表面201A之部分,該移除與/或重新分配係藉由使用純物理材料平坦化處理,或在一些例子中係藉由使用物理或化學材料平坦化處理兩者而完成。粒子束修改處理的物理成分一般而言包含基板表面的一部分的高能轟擊,藉此粒子束205內的高能粒子(其由粒子束取出組件270所產生)會導致基板表面上的材料脫落與/或從該表面噴出(如第2圖中之「B」箭頭所示)。由基板表面與粒子束205之交互作用所產生的脫落與/或噴出的材料(例如材料202)將導致材料202被重新分配於基板表面上與/或從基板表面移除。
一般地,若形成粒子束205中的高能粒子的原子與/或分子的質量較高,則粒子束205將材料從基板表面物理性地移除的能力較強。用語「濺射」經常使用以描述粒子束修改處理的物理成分,且用語「濺射產率」在此一般地被使用以描述粒子束中的高能氣體原子(或分子)將原子從基板表面移除的能力。若濺射產率(一般取決於粒子束中的粒子(例如原子或分子)的質量與動能能量)較高,則高能原子能更有效地將材料從基板表面移除。在一些配置中,粒子束包含從電漿形成之高能離子與/或中子,高能離子與/或中子包含一或更多個氣體原子,例如氬(Ar)、氖(Ne)、氪(Kr)、氙(Xe)、氡(Rn)、氮(N)、氦(He)和氫(H),與/或分子,如氮和氫的化合物(NxHy),或它們的組合(例如,氩/氙)。在一些實施例中,粒子束包含從電漿所形成之高能 離子與/或中子,高能離子與/或中子包含從含氣體元素所形成的分子,例如鍺(Ge)、矽(Si)、鎵(Ga)、砷(As)、碘(I)或氣體的原子和分子的組合物(例如,氬氣/三甲基鎵(TMG))。在一個範例中,粒子束205包含氬離子束。
另,可影響粒子束205將基板表面上的材料移除與/或重新分配的能力的因素則是受引導的粒子束的入射角度210所影響,入射角度210一般從垂直於或正交於元件結構200的表面的方向量測。在第2圖中,粒子束2051經定向而正交於基板表面且所示之粒子束2052與元件結構200的表面呈一角度210。通常,粒子束205可以對正交方向呈角度210的方向傳送,角度210可從約0度(例如正交)改變成少於約90度(例如約89.5度)。據相信,呈60度或更大角度(例如70~80度)的粒子束205一般具有好的平緩表現(即粒子束205將基板表面上的表面粗糙度加以平緩的能力)。亦據相信,呈60~70度或更小角度的角度210之粒子束一般將具有好的濺射產率表現。
一般而言,粒子束修改處理的化學材料平坦化成分將包含由粒子束205周圍的處理環境所供應之粒子束205中的離子(或自由基)與基板表面上的材料的氣相(或蒸汽相)化學交互作用,或包含氣相、蒸汽相與/或氣相或蒸汽相的反應物種(例如自由基)與基板表面上的材料的氣相(或蒸汽相)化學交互作用。因此,粒子束205中的化學物種的化學交互作用(或化學物種與粒子束205的交互作用)通常被使用以協助透過使用非物理性方法,將基板表面上的材料移除 與/或重新分配。在一些例子中,化學材料平坦化處理可藉由將氣相或蒸汽相蝕刻劑與基板表面加以反應而發生。因此,在一些實施例中,粒子束205與/或粒子束205周圍的環境包含蝕刻劑材料(包含鹵素氣體(如氯(Cl2)、氟(F2)、溴氣(Br2)、碘(I2),及/或分子(如氨(NH3)))的蝕刻劑氣體)。在一個範例中,粒子束205包含含氯(Cl)或氟(F)離子。在一個範例中,粒子束205包含惰性氣體與蝕刻劑氣體(例如包含有氬和氟或氯的氣體混合物)。在其他範例中,粒子束205包含惰性氣體與與蝕刻劑氣體,其中蝕刻劑氣體可包含氟(F2)、三氟化氮(NF3)、四氟化碳(CF4)、三氟化硼(BF3)、二氟化氙(XeF2)、三氯化硼(BCl2)、三氟甲烷(CHF3)、六氟乙烷(C2F6)、氯(Cl2)或其它碳氟化合物或含氯氣體。在其他範例中,粒子束205包含惰性氣體且基板周圍之處理區域包含蝕刻劑氣體(例如氟或氯)。
粒子束取出組件270一般包含氣體源271、電漿產生源272以及電極組件273。氣體源271一般包含一或更多個能提供處理氣體的氣體原子源、氣相分子源或其他蒸汽傳送源,處理氣體包含氣體原子、分子,或蒸汽,當其被電漿產生源272離子化時可被電極組件273取出以形成粒子束205之一部分。
電漿產生源272一般包含電磁能量源,電磁能量源配置成將能量傳送至電漿產生區域以使用從氣體源271所傳送之處理氣體而在電漿產生區域內形成電漿。一般而言,電漿產生源272可使用一或更多電漿產生技術以在電漿產生區 域內形成電漿。電漿產生技術可包含,例如將電磁能量從電容耦接電漿源、電感耦接電漿源、螺旋型源、電子迴旋共振(ECR)型源傳送至電漿產生區域與/或從微波源將微波能量傳送至電漿產生區域。
電極組件273為一般用以形成且傳送一或更多高能粒子束的元件,該元件被使用以將從電漿產生源272之電漿產生區域內所產生之離子取出,且該高能粒子束之每一者包含一空間定位群組的高能粒子,該等高能粒子被引導朝向基板的表面。一或更多個高能粒子束205可包含圓柱形束、複數個相鄰或重疊之圓柱形束,或帶狀束(例如連續矩形束)。該等一或更多個高能粒子束205在處理期間可相對於非平坦表面201A移動且/或基板在處理期間可相對於高能粒子束205移動以將基板表面平坦化。在一些實施例中(如下將進一步所討論),電極組件273包含一構件,該構件適於將所產生的高能粒子束調整朝向基板表面的彈道(例如角度210),以補償非平坦表面201A上的表面形貌的變化與/或改良粒子束修改處理的結果。
第3圖為處理腔室300示意性剖面視圖,其包含束取出組件270,束取出組件270被定位以將基板301的一部分平坦化,基板301被定位以接收一或更多個高能粒子束205,一或更多個高能粒子束205經產生且被束取出組件270引導至基板表面。處理腔室300一般包含腔室組件315與束取出組件270。腔室組件315一般包含一或更多側壁316,側壁316包圍處理區域310,在粒子束修改處理期間基板301被設置於 處理區域310中。腔室組件315亦將典型地包含系統控制器390、幫浦系統311以及氣體傳送源317,上述各者被結合使用以控制處理區域310內的處理環境。幫浦系統311可包含一或更多個機械幫浦(例如前級泵、渦輪泵),機械幫浦被配置成用以將處理區域310內的壓力控制在所期望壓力下。氣體傳送源317可包含一或更多個源,該等源被配置成將一些量或一流量的惰性氣體與/或反應氣體(例如蝕刻劑氣體)傳送至處理區域310。在一些配置中,腔室組件315亦可包含可由系統控制器390所控制的熱源(未示)(例如燈、輻射加熱器)以在處理期間調整基板301的溫度。在一個範例中,系統控制器390被配置成用以在粒子束修改處理期間控制在處理區域310中的氣體成分、腔室壓力、基板溫度、氣體流或其他有用的處理參數。
腔室組件315亦將典型地包含基板支撐組件371,基板支撐組件371適於在處理期間支撐基板。在一些例子中,基板支撐組件371亦可包含一或更多個致動器(未示),致動器適於在處理期間將基板相對於電極組件273移動或旋轉。在一些需要基板301被移動或旋轉的應用中,一些驅動構件(例如致動器或馬達)被置於處理區域310的外部且被耦接於一些元件,該等元件使用習知的真空饋通或其它類似的機械設備以支撐處理區域310內的基板301。在一些實施例中,一或更多個致動器適於將基板301相對於電極組件273定位以便所期望的間隙372(以第3圖的Z方向加以量測)形成於基板301與電極組件273之間。
如上所示,束取出組件270一般包含氣體源271、 電漿產生源272以及電極組件273。在一種配置中(如第3圖所繪示),氣體源271一般包含一或更多個分開的氣體源341,氣體源341每一者被配置成用以將處理氣體(例如氣體原子、氣相分子或其他含蒸汽材料)傳送至束取出組件270的電漿產生區域332。氣體源341被配置成傳送處理氣體,處理氣體可包含被使用以形成粒子束205的至少一部分的惰性氣體與/或蝕刻劑氣體(如上所述且進一步詳述於下)。
參考第3圖,幫浦系統311亦可分開地連接至處理區域310以及電漿產生區域332以便不同壓力可被維持在每一個區域中。在一個範例中,幫浦系統311、氣體傳送源317與/或氣體源341被配置成一起工作以在處理期間將電漿產生區域332維持在一壓力下,該壓力大於處理區域310的壓力。在一個配置中,電漿產生區域332包含從幫浦系統311分開出來的一幫浦(未示),且被配置成將電漿產生區域332的壓力維持在一所期望的位準。
如上所示,電漿產生源272一般包含電磁能量源,電磁能量源被配置成使用從一或更多個氣體源341所傳送的處理氣體以在電漿產生區域332內形成電漿335。電漿產生源272可包含功率源330與天線331,該等二者與電漿產生區332電性相通。在一個非限制性的範例中,當射頻能量在處理期間從功率源330傳送至天線331時,天線331可為電容性耦接電極,電容性耦接電極適於在電漿產生區域332內產生電漿335。
電極組件273可包含束控制器350與束傳送元件322,束控制器350與束傳送元件322被使用以從電漿產生區域332內取出帶電粒子以形成一或更多個粒子束205,且將一或更多個粒子束205傳送通過一或更多個形成於束傳送元件322內的孔隙321而至基板301的表面。孔隙321的形狀可被形成以便藉由束傳送元件322產生具有所期望的形狀的束,例如帶狀或圓柱狀的束。在一些配置中,孔隙321在處理期間亦被定位且對準以將粒子束205引導至所期望的基板表面的部分或區域。系統控制器390一般被配置成藉由將指令傳送至束控制器350與束傳送元件322中的各種不同的構件而控制一或更多個高能粒子束205的產生與傳送。
腔室組件315亦可包含偏壓組件360,偏壓組件360與系統控制器390相連通且被配置成將能量傳送至處理腔室300的處理區域310。偏壓組件360一般包含支撐電極364與源363,在執行電漿修改處理期間或之後,支撐電極364與源363耦接於地面且可被使用以將任何基板301上的累積電荷移除。為了將基板上的任何殘留電荷移除,源363可利用交流電或高頻功率源(例如2兆赫(MHz)~200兆赫功率源),交流電或高頻功率源被配置成在處理區域310中所執行的電漿修改處理的一或更多個階段期間,在基板301上方形成電漿。據相信所形成的電漿將提供流至地面的路徑,該路徑將允許基板中的任何儲存電荷消散。在一些例子中,偏壓組件360亦可被使用以協助控制在電漿修改處理期間撞擊於基板301表面的粒子束205的彈道與/或能量。
第4圖為設置於處理腔室300的處理區域310內的基板301的平面視圖。如第4圖所繪示,基板301可包含複數個晶片301A,其中複數個特徵301B形成於晶片301A內。特徵301B將一般包含基板301的非平坦表面301C中的突出和凹陷,突出和凹陷在粒子束修改處理期間將被平坦化。搭配第2圖可見特徵301B可相似於上述特徵201。
在一個配置中(如第4圖所繪示),單一帶狀粒子束205被傳送而橫跨基板301表面以平坦化基板301的非平坦表面301C。在一些實施例中,基板301相對於粒子束205且對基板中心301D以「R」方向旋轉,以確保基板301上的複數個特徵301B的方向性質不會妨礙粒子束205均勻地將非平坦化表面301C加以平坦化的能力。在這個配置中,處理腔室300可包含旋轉基板支撐組件371(第3圖),基板支撐組件371被配成在當基板301設置於處理區域310內時,將基板301相對於粒子束205加以定向、支撐,以及旋轉。藉由改變基板301表面相對於粒子束205的角度方向,由改變特徵301B相對於入射粒子束205的方向所產生的任何遮蔽效應可減少或極小化,如此可改良束取出組件270均勻地將基板301的非平坦化表面301C加以平坦化的能力。
第5A圖為束傳送元件322之一部分的示意性剖面視圖,束傳送元件322耦接於束取出組件270內的束控制器350。在一個配置中(如第5A圖中所述),束控制器350包含「多元件取出組件」(例如「三極管」組件),其被配置成將產生於電漿產生區域332中的帶電粒子(例如離子)取 出,且形成粒子束205以及將粒子束205以所期望的方向傳送通過一或更多個形成於束傳送元件322中的孔隙321而至基板301的表面的所期望的區域。藉由由位於束傳送元件322的束取出構件中的電極所產生的電場來完成帶電粒子的取出。取出狀況取決於電漿邊界的幾何形狀以及施加於束傳送元件322中的束取出構件之相關的偏壓。在一個配置中,束傳送元件322將一般包含電漿孔隙電極511、局部接地電極512以及轉向電極513,上述所有者皆連接至束控制器350中的不同分壓構件。束控制器350中的分壓構件(例如功率源521、531、532以及541)可包含電源供應,電源供應每一者能夠在由正向或負向電流(DC)、交流電流(AC)與/或射頻(RF)所提供的位勢上驅動不同的連接電極。電漿孔隙電極511可包含待用區518,待用區518一般不參與粒子束205的形成。轉向電極513(在此有時亦稱作抑制電極)可包含第一轉向電極514與/或第二轉向電極515,其二者連接至束控制器350中的不同的分壓構件。電極511~515之每一者可包含兩個或更多個導電材料片,該導電性材料片電性耦接於彼此以共享相同的電壓勢。可替換地,每一組電極511~515可為單片結構,且每一者具有用於形成或產生粒子束205的孔隙。因此,在這個例子中,每一組電極可被想成為具有單電壓勢之單電極。形成於束傳送元件322中的不同電極中的孔隙321可呈圓形、橢圓形、槽形(例如,具有深寬比之狹縫,其中該深寬比在至少兩個方向上是不同的),或任何其它所期望的形狀。
操作時,電漿孔隙電極511、轉向電極513,以及局部接地電極512可為獨立地偏壓,使得粒子束205的性質(例如粒子束能量(例如動能)與方向)可被控制。起初,可選功率源521被配置成在電壓孔隙電極511上提供參考偏壓,以便電漿335中的離子可被加速朝向電漿孔隙電極511與/或轉向電極513。因為可能在電漿335中形成正向或負向離子,施加於不同電極的偏壓可因此被調整以產生且傳送粒子束205,朝向基板301表面的粒子束205具有所期望的成分以及能量。
在一些配置中,電漿孔隙電極511藉由可選功率源521而被維持在負向位勢(例如DC、AC或RF位勢)且因此由電漿產生源272所形成的電漿位勢被調整成與電漿孔隙電極511相關,以便妥當的離子供應可被產生且可被續存電漿335中,且允許所形成的離子之一部分可由形成於電漿孔隙電極511與電漿335之間的相關偏壓所取出。形成於電漿335中的離子可進入形成於電漿孔隙電極511中的孔隙321,且其最初能量例如為約10電子伏(eV)至約5千電子伏(keV)。進入形成於電漿孔隙電極511中的孔隙321的離子能量可藉由改變由功率源521所施加於電漿孔隙電極511的偏壓而加以調整。
進入孔隙321的離子(形成部分的現在所述的正在形成的粒子束205)會因施於電漿孔隙電極511與轉向電極513之間的正偏壓被功率源531與532之一者或兩者加速(例如增加的動能)。一般而言,「正偏壓」將包含在當該等離 子從電漿孔隙電極511通過而至局部接地電極512時會將粒子束中的離子加速的偏壓的應用。在一個範例中,對於一些產生於電漿335中的離子具有正電荷的例子而言,施於電漿孔隙電極511與轉向電極513之至少一者之間的相關偏壓可位在負5至15千電子伏(例如約10千電子伏)的級數。
通過形成於轉向電極513內或之間的縫隙之帶電粒子的能量接著會被產生於轉向電極513與局部接地電極512之間的相關偏壓所影響。典型地,形成於轉向電極513與局部接地電極512之間的相關偏壓將會成為減速場。再者,一般而言,會期望局部接地電極512與基板301能維持在相同位勢。在一個範例中,局部接地電極512與基板301維持在接地的位勢。典型地,形成於基板支撐組件371中的一或更多個導電元件552被使用於控制產生於基板301與局部接地電極512之間的相關偏壓。複數個導電元件552(其可設置於基板支撐組件371內的介電材料551中)可與基板301的表面電性相通。在一個範例中,導電元件552可包含金屬元件,金屬元件形成於基板支撐組件371的基板支撐表面上,或藉由使用分開的導電提升銷或使用處理腔室300內的其他腔室元件所形成。
回頭參照第5A圖,在一些配置中,會期望藉由功率源523(例如DC或RF位勢)來調整施加於局部接地電極512以及轉向電極513的位勢,使得該位勢對比地球接地會處於所期望的位準。在一些例子中,形成於轉向電極513與局部接地電極512之間的相關偏壓被使用於將形成中的粒子束 205內的帶電粒子減速(例如減少動能)。在期望有減速場且形成中的粒子束205內的帶電粒子為帶正電的例子中,形成於轉向電極513與局部接地電極512之間的相關偏壓為正向,或換言之比產生於電漿孔隙電極511與轉向電極513之間的偏壓帶有較少的負電。
在粒子束205到達形成於局部接地電極512內的縫隙(或孔隙)時,粒子束205可具有例如幾近0.1千電子伏與約20千電子伏的能量。在其他的範例中,粒子束205的能量可介於約5千電子伏與約10千電子伏之間。離開形成於局部接地電極512內的縫隙(或孔隙)的粒子接著將流至且撞擊至基板的曝光表面以修改基板表面(例如平坦化基板表面)。在一個例子中,形成於粒子束205中的粒子會以一種動能「飄移」至基板表面,其中該動能達到在形成於局部接地電極512中的縫隙的出口處的動能。在其他的例子中,偏壓組件360中的源363被用以藉由將偏壓施加至支撐電極364(例如施加將DC或RF偏壓位勢)來改變粒子束205中的離子的能量。
在一些配置中,電極組件273亦可包含電場控制組件540,電場控制組件540適於主動地或被動地控制由束傳送元件322所產生的電場線的形狀。由束傳送元件322內的構件所產生的電場線的形狀的控制可有用於進行有效地控制形成於粒子束205中的帶電粒子的彈道。在一個配置中,電場控制組件540包含控制元件542,控制元件542可包含一層介電材料、一層半導體材料,或一層導電材料,上述三層位於束傳送元件322內的構件與基板301之間以改變電場線的形 狀,電場線延伸通過處理腔室300的處理區域310。控制元件542可位於鄰近或接近束傳送元件322內的不同構件的位置。
在一個配置中,電場控制組件540包含控制元件542,控制元件542電性耦接於一或更多個轉向電極513(第5B圖),以便將元件542控制成被維持在與該等一或更多個轉向電極514、515相同的位勢。在一個範例中,控制元件542可包含導電網狀物或網格,導電網狀物或網格被用以抑制延伸通過處理區域310的電場線的形成或用以改變延伸通過處理區域310的電場線的形狀。
在其他配置中,電場控制組件540包含控制元件542,控制元件542被功率源541分開地偏壓以藉由使用從系統控制器390所傳送的指令主動地控制延伸通過處理區域310的電場線的形狀,在這個配置中,控制元件542被分開地偏壓在一個位勢(不同於施加於轉向電極514、515的位勢)以改變延伸通過處理區域310的電場線的形狀。在所取出的離子具有正電荷的例子中,所施加至控制元件542的偏壓將具有負位勢,且在所取出的離子具有負電荷的例子中所施加至控制元件542的偏壓將具有正位勢。
在一些實施例中,偏壓可被施加在一或更多個轉向電極513以將粒子束205的彈道改變成在一所期望的方向。第5B圖為在束取出組件270內的束傳送元件322的一部分的示意性剖面視圖(根據在此所述之實施例)。在一個配置中,藉由將一偏壓施加在第一轉向電極514或第二轉向電極515,粒子束205的彈道可被改變且因而其離開方向可被改 變。在一個範例中,藉由以功率源532將一較大的正向偏壓施加至第一轉向電極514(對比以功率源531施加偏壓至第二轉向電極515),粒子束將趨向偏轉朝向第一轉向電極514的表面514A以形成粒子束205A。可替換地,藉由以功率源531施加較大正向偏壓至第二轉向電極515(對比以功率源532施加偏壓至第一轉向電極514),該束將趨向偏轉朝向第二轉向電極515的表面515A以形成粒子束205B。
在電極組件273的一個配置中(如第5B圖中所繪示),第一轉向電極514與/或第二轉向電極515的相對於粒子束205的位置可藉由使用致動器560(例如線性伺服電機)加以調整。在一些例子中,致動器560可將轉向電極514、515以及局部接地電極512之任一者或兩者相對於形成在束傳送元件322的孔隙321的中心而移動以調整離開電極組件273的粒子束205的彈道。因此,在一些例子中,施加所期望的偏壓至轉向電極514、515之任一者與/或調整轉向電極514、515以及局部接地電極512之任一者相對於孔隙321的中心的位置,粒子束205的彈道或方向可如所期望地被調整。
第6A圖為根據在此所述之實施例的粒子束產生組件的可替換配置的示意性側視圖。在這個例子中的束傳送元件322形成呈凸形且相似地耦接至位在束取出組件270內的束控制器350(未示)。正如所示,該束取出組件270包含「三極管」組件,三極管組件配置成用以取出將產生於電漿產生區域332中的帶電粒子,且形成一或更多個粒子束(例如粒子束2051、2052以及2053)且將其傳送通過一或更多個形成 在束傳送組件322中的孔隙321而至基板301的表面。在這個配置中,束傳送元件322將一般包含至少一電漿孔隙電極611、局部接地電極612以及轉向電極613,上述三者連接至束控制器350中的不同偏壓構件(類似於上述所討論)。轉向電極613可包含轉向電極6141~6143與6151~6153,其中每一者連接至在束控制器350中的分開的偏壓構件(未示)以分開地控制提供至所產生的粒子束2051、2052,以及2053之每一者的彈道與能量。在一些配置中,電漿孔隙電極611與/或局部接地電極612亦可被加以分段,使得不同偏壓可被施於束2051~2053之每一者以控制藉由每一個粒子束而最終地傳送至基板的一些能量。在一個範例中,束傳送元件322包含粒子束2051以及至少一個其他粒子束2052或粒子束2053,粒子束2051被定向於對基板表面垂直之一垂直角,粒子束2052或粒子束2053對該表面呈一角度,該角度可為除了垂直於該表面的角度外的角度。粒子束2051被導向呈垂直或幾近垂直的角度而將典型地具有濺射產率(或材料移除效率),而其他粒子束2052或粒子束2053將趨向具有較佳的平坦效率。藉由使用以兩個不同角度所傳送兩個粒子束的方式所執行的平坦化處理可提供電漿修改處理,電漿修改處理能快速地將基板的表面快速地進行電漿平坦化,且亦可將基板表面塑形,使得基板表面具有所期望的平坦度以及表面平整度。
第6B圖為根據在此所述之實施例的粒子束產生組件的另一個配置的示意性側視圖。在此例子中的束傳送元件 322被形成為球形,且相似地耦接於在束取出組件270內的束控制器350(未示)。然而不打算限制於所繪示的球形形狀的束傳送元件322的配置,在一個範例中,束取出組件270被配置成用以形成與傳送兩個粒子束2051與2052,兩個粒子束2051與2052之每一者被以與基板301的表面呈一角度的方式傳送。在這個配置中,束傳送元件322一般包含至少一電漿孔隙電極611、局部接地電極612以及轉向電極613,上述三者連接至束控制器350中的不同偏壓構件(類似於上述所討論)。轉向電極613可包含轉向電極6141~6142與6151~6152,其中每一者連接至在束控制器350中的分開的偏壓構件,以分開地控制提供至所產生的粒子束2051與2052之每一者的彈道與能量。在一些配置中,第二電極可被加以分段(例如局部接地電極6121與6122),使得不同偏壓可被施於粒子束2051~2052之每一者以控制藉由每一個粒子束而最終地傳送至基板的一些能量。
第6C與6D圖為粒子束205的形狀的一些範例的示意性平面視圖,其中粒子束205可被在此所述之一或更多束取出組件加以形成。然而在此所提供的大部分的粒子束205的示意性圖具有線性形狀(例如第4、8、9與12圖中所示之粒子束205),這些配置不打算成為關於在此所提供的發明的範圍的限制。如繪示於第6C圖中,在一些例子中,當粒子束205撞擊在基板表面上時將具有非線性形狀(例如弧形或弓形形狀)。在其他的範例中(如繪示於第6D圖中),當粒子束205撞擊在基板表面上時,其被塑形以形成多段的弧形。撞擊 在基板表面上的粒子束205的形狀亦可藉由調整在束傳送元件322中的孔隙321所形成的形狀與/或可藉由使用多段轉向電極514、515(第5A~5B圖)而加以控制,其中多段轉向電極514、515被設置成鄰近於形成於束取出組件270內之孔隙(例如被用於改變單一帶狀束之不同部分的彈道)。
在處理腔室300的一些配置中,電漿修改處理端點偵測技術被用於決定何時平坦化處理已完成。在一個配置中,端點偵測技術包含光學端點監控系統376,光學端點監控系統376能偵測何時基板表面的層已被移除或偵測何時處理區域310內的材料的性質因電漿修改處理的完成而已改變。在一個範例中,在最上層已被從基板中實質地移除之後且在基板上的下一個底層曝光於粒子束205之後,在處理區域內的材料的性質可隨在處理區域的氣相濃度的改變而改變。一般地,能夠偵測端點的光學監控系統可包含光源(未示)、光偵測器(未示),以及感測電路系統(未示),感測電路系統被用以傳送與接收遙控器(例如系統控制器390)以及光源與光偵測器之間的信號。在一個態樣中,偵測端點的方法包含在形成於基板上的第一層上執行電漿修改處理,且在處理期間以光學監控系統取得一序列的隨時間的量測光譜。量測光譜可接著與前一個所儲存的光譜與/或其他所儲存的參數相比較,前一個所儲存的光譜與/或其他所儲存的參數被用以偵測端點,端點接著可被用以決定何時停止電漿修改處理。在其他的配置中,光學監控系統可藉由使用反射計處理而能夠偵測端點,在反射計處理中光學監控系統包含光源(未 示)、光偵測器(未示),以及感測電路系統(未示),上述三者被用以傳送與接受被反射離開基板的處理表面的信號。在反射計端點感測處理中所使用的量測光譜信號可接著被與前一個所儲存的資料與/或其他所儲存的參數相比較,以便系統控制器可決定何時停止電漿修改處理。
集群工具配置實例
第7圖為根據本發明一個實施例的可被用以執行一或更多個基板處理步驟的處理系統700的平面視圖。處理系統700內的一或更多個處理腔室適於執行在此所述的電漿修改處理。處理系統700一般地產生一種處理環境,在其中不同類型的處理可被執行於基板上,例如粒子束調整處理。處理系統700一般地包含系統控制器702,系統控制器702被編程以完成在處理系統700內執行的不同類型的處理。
系統控制器702可被用以控制一或更多個處理系統內的構件。在一些配置中,系統控制器702可形成系統控制器390的部分(已如前述所討論)。系統控制器702一般地被設計成用以促進處理系統700的控制與自動化,且典型地包含中央處理單元(CPU)(未示)、記憶體(未示),以及支援電路(或I/O)(未示)。CPU可為被用於工業設定的電腦處理器的任何形式之一者,其用於控制不同類型的系統功能、基板移動、腔室處理,以及控制支援器件(例如感測器、機器人、馬達、燈件等等),且CPU可監控執行於系統的處理(例如基板支援溫度、電源供應變量、腔室處理時間、I/O信號等等)。記憶體連接至CPU,且可為一或更多個隨手可 存取記憶體,例如隨機存取記憶體(RAM)、唯讀記憶體(RCM)、軟碟、硬碟,或任何其他形式的數位儲存、本地的或遠程的。軟體指令與資料可被編碼且被儲存於用於指示CPU的記憶體內。支援電路亦連接至用於以習知方式支援處理器的CPU。支援電路可包含高速緩衝存儲器、電源供應、時脈電路、輸入/輸出電路、子系統,以及類似電路。可被系統控制器702所讀取的編程(或電腦指令)決定哪個工作被執行於一或更多處理腔室內以及處理系統700內的基板上。較佳地,編程為可由系統控制器702讀取的軟體,其可包含編碼以執行關於基板的移動、支撐與/或定位的監控、執行以及控制的工作,且同時執行不同類型的處理配方的工作以及不同類型的被執行於處理系統700內的腔室處理配方的步驟。
處理系統700包含複數個處理腔室704、706、708、710,複數個處理腔室704、706、708、710被耦接至傳送腔室。每一個處理腔室704、706、708、710可被配置成用以在同一時間處理一或更多個基板。處理腔室704、706、708、710可具有相同或不同的基板處理容量。例如處理腔室704與706可同時地處理六個基板,而處理腔室708與710可適於在同一時間處理一或更多個基板。
處理系統700亦可包含連接至轉移腔室712的負載閂鎖腔室716與724。在一個實施例中,負載閂鎖腔室716與724亦可被使用作為一或更多個服務腔室,服務腔室用於提供用於在處理系統700內的處理的不同功能,例如基板定向、基板檢查、加熱、冷卻、脫氣,或類似功能。轉移腔室 712界定出轉移容積752。基板轉移機器人714設置在轉移容積752內,以在處理腔室704、706、708、710、負載閂鎖腔室716或724之間轉移基板301。轉移容積752與處理腔室704、706、708、710、負載閂鎖腔室716或724分別透過狹縫閥744、746、748、750、742而選擇性的流體相通。在一個範例中,當基板被轉移通過處理系統700時轉移容積752可被維持在低於一大氣壓的壓力下。
處理系統700包含工廠介面718,工廠介面718連接至一或更多個箱裝載器722與負載閂鎖腔室716與724。負載閂鎖腔室716與724提供介於工廠介面718與轉移腔室712之間的第一真空介面,其中在處理期間轉移腔室712可被維持在真空狀態。每一個箱裝載器722被配置成用以容納卡匣728以固定與轉移複數個基板。工廠介面718包含網絡機器人720,網絡機器人720被配置成將基板往返於負載閂鎖腔室716與724以及一或更多個箱裝載器722之間。
基板轉移機器人714包含機器人刀片730以在處理腔室704、706、708、710、負載閂鎖腔室716或724之間攜帶一或更多個基板301且負載/卸載每一個腔室。
每一個處理腔室704、706、708、710可被配置成用以執行在此所述的電漿修改處理。然而,在處理系統700的一個實施例中,處理腔室704與706適於使用複數個束取出組件270而在複數個基板上執行電漿修改處理。在一個配置中,在該等基板被安插進處理腔室704或706之任一者之前,處理腔室708與710之每一者可適於在基板301上執行一或 更多個前處理步驟,或在該等基板已經在處理腔室704或706之任一者中處理之後,在基板301上執行後處理步驟。(前處理步驟或後處理步驟的)範例將進一步搭配第13圖描述於後。
在處理系統700的一個配置中,處理腔室704與706之每一者包含基板輸送組件707,基板輸送組件707被配置成保留且運輸複數個基板301,複數個基板301分別被保留在處理腔室704的處理區域709或處理腔室706的處理區域715中。在一個範例中,基板輸送組件707的每一者適於保留六片基板301且使用習知旋轉器件構件將基板301繞處理腔室704或706的中心軸711旋轉。基板輸送組件707因此能夠將基板301相對於束取出組件270之每一者加以轉移且定位,束取出組件270被定位成用以處理處理腔室704的處理區域709或處理腔室706的處理區域715中之基板301。
在一些配置中(正如繪示於第7圖之處理腔室704中),可使用基板旋轉組件732而將設置於基板輸送組件707上的基板301之每一者相對於束取出組件270而旋轉。基板旋轉組件732一般地包含致動器(未示),致動器被配置成用以將基板支撐元件(未示)相對於基板輸送組件707而旋轉。
然而,在一些實施例中,由每一個束取出組件270所產生的粒子束205可被相對於基板表面(例如X-Y平面)而被旋轉或移動。在這個例子中,在每一個束取出組件270內的致動器(未示)被配置成用以將束傳送元件322(第3 圖)相對於基板旋轉或移動,以極小化任何由形成於基板表面上的特徵的方向所產生的遮蔽效應。
在處理序列被執行於處理腔室704的期間,例如,轉移機器人714傳送基板301至基板輸送組件707上的一開放位置,且接著基板輸送組件707與系統控制器702一起運作以將基板301置於用於處理的束取出組件270之一者之下。轉移機器人704可重複這個將基板序列地負載的工作直到處理腔室704內的所有位置已被填滿為止,且接著批處理電漿修改處理序列在同一時間在所有基板301上執行。在基板在處理腔室704內已被足夠地處理之後,轉移機器人714被配置成用以將基板從處理區域709移除。
可替換地,在一些例子中,轉移機器人704可依需求安插基板以及將基板從處理腔室704移除,因而序列執行型電漿修改處理可被執行於序列地負載進入處理腔室704的每一個基板301上。在一些處理配置中,基板輸送組件707被配置用以序列地將所接收的基板置於束取出組件270的每一者之下以便藉在基板離開處理腔室之前,由束取出組件270之每一者而使電漿修改處理序列的至少一部分被執行在基板上。在一個配置中,處理腔室704內的束取出組件270之每一者被配置成用以在序列處理期間將相同類型的粒子束205分開地提供至基板表面。在其他配置中,兩個或更多個束取出組件270之每一者適於將具有一些不同處理特徵的粒子束205(例如束能量、束方向、束成分(例如氣體離子)或其他有用的特質)分開地提供至基板表面。一般地,所產生的離 開束取出組件270的粒子束205的方向可以3D形狀定義,且因此在一個範例中可由束角度(例如角度210)以及相對於基板輸送組件707的徑向位置的束角度至少部分地定義。
在處理腔室704或706的一些配置中,束取出組件270之每一者下方的面積或區域可從鄰近的束取出組件270加以隔離,因而不同的處理環境可以被維持於每一個束取出組件270下方。在一個配置中,至少部分封閉的區域(例如氣簾或實體牆)被形成而圍繞每一個束取出組件270,使得基板301之每一者可被基板輸送組件707置於次處理區域內且被束取出組件270分開地處理。
第8圖為根據本發明的一個實施例的可被用以執行一或更多個基板處理步驟的處理系統800的平面視圖。處理系統800內的兩個處理腔室802與804適於執行在此所述的電漿修改處理的至少一部分。處理腔室802與804耦接於轉移腔室712(如上所述)。在這個範例中,每一個處理腔室802與804配置成用以在同一時間處理八個基板301。如上所相似地討論,處理系統800亦包含負載閂鎖腔室716與724,負載閂鎖腔室716與724連接至轉移腔室712。基板轉移機器人714設置於轉移容積752內以將基板301在處理腔室802與804與負載閂鎖腔室716或724之間進行轉移。
處理腔室802與804之每一者可包含基板輸送組件810,基板輸送組件810被配置成用以保留且運輸被分別保留在處理腔室802的處理區域內或處理腔室804的處理區域內的複數個基板301。在一個範例中,基板輸送組件810之每一 者適於保留八個基板301且藉由使用習知的旋轉器件構件將基板301繞處理腔室802或804的中心軸旋轉。基板輸送組件810因此能夠將基板301相對於處理腔室802或804的處理區域內的粒子束205之每一者傳送與定位。
在一些配置中(正如繪示於第8圖之處理腔室802中),可使用基板旋轉組件832而將設置於基板輸送組件810上的基板301之每一者相對於粒子束205之每一者而旋轉。基板旋轉組件832一般地包含致動器(未示),致動器被配置成用以將基板支撐元件(未示)以及基板相對於基板輸送組件810而旋轉。
可替換地,在一些配置中(如第8圖中的處理腔室804所繪示),每個粒子束205(其由束取出組件270所產生)被定向且相對於從處理腔室804的中心延伸的徑向方向呈一角度。在這個例子中,當基板301圍繞處理腔室804的中心軸而旋轉時,由形成於基板301的表面上的特徵的方向上的變異所產生的任何遮蔽效應可被歸因於當粒子束205藉由基板輸送組件810而被移動到束取出組件270之每一者下方時,粒子束205被定向成相對於基板301呈不同角度。在一個範例中(如第8圖中處理腔室804所繪示),當基板被基板輸送組件810旋轉360度時,粒子束205被定向成相對於處理腔室的徑向方向呈越加不同的角度。
第9圖為根據本發明的一個實施例的可被用以執行一或更多個基板處理步驟的處理系統900的平面視圖。處理系統900內的處理腔室902適於執行在此所述的電漿修改處 理的至少一部分。處理腔室902耦接於轉移腔室712且與轉移機器人704呈可移動相通(如上所述)。在非限定的範例中,處理腔室902配置成用以在同一時間處理十六個基板301。如上所相似地討論,處理系統900亦包含其他處理腔室與負載閂鎖腔室,負載閂鎖腔室連接至轉移腔室712。
在處理系統900的一個配置中,處理腔室902包含基板輸送組件904,基板輸送組件904被配置成保留且運輸複數個基板301,複數個基板301被保留在處理腔室902的處理區域中。基板輸送組件904一般地適於保留基板301的一些群組且使用習知的旋轉器件構件將基板301的該群組繞處理腔室902的中心軸旋轉(R1),且(使用習知的旋轉器件構件)將該等基板每一個群組相對於基板支撐座905(其支撐該等基板的群組)的中心軸旋轉(R2)。在一些配置中(正如繪示於第9圖中),基板301的群組之每一者設置於基板支撐座905上,基板支撐座905設置於搬運組件910上,基板301的群組之每一者可使用旋轉組件構件而相對於粒子束205旋轉。旋轉組件構件一般地包含致動器(未示),致動器被配置成用以將基板支撐座905相對於基板輸送組件910而旋轉。基板輸送組件910一般適於保留器件,器件係用以保留基板301的群組且將基板301的群組以習知旋轉器件構件繞處理腔室902的中心軸而旋轉。基板輸送組件910因此能夠將基板301的群組相對於被定位的粒子束205的每一者而轉移與定位,以將處理腔室902的處理區域內的基板301加以處理。因此,在處理期間,基板301的每一者可相對於粒子 束205(其由束取出組件270所產生)而被旋轉與/或被移動。在一些配置中,粒子束205被傳送至其上的表面(包含基板301與任何基板支撐座器件(例如基板支撐座905)的表面)被設計成用以減少會由受粒子束205的曝光所產生的任何粒子污染。在一些例子中,基板支撐器件的曝光表面由相似於從基板所移除的的材料(例如矽、砷化鎵、金屬)或具有非常低濺射產率的材料的材料所形成,以致於不會受曝光於粒子束205下所影響。
第10圖為根據本發明的一個實施例的用以執行一或更多個基板處理步驟的處理腔室1000的側視圖。處理腔室1000適於執行在此所述的電漿修改處理的至少一部分。處理腔室1000一般包含一或更多個束取出組件270,一或更多個束取出組件270之每一者被配置成將一或更多個粒子束205傳送至基板301的表面。在一個範例中(如第10圖所顯示),處理腔室1000包含三個束取出組件270,當藉由使用致動器1020而將基板301相對於束取出組件270移動時,三個束取出組件270之每一者被配置成將粒子束205傳送至基板301的表面。在這個範例中,束取出組件270之每一者彼此以平行於傳送方向的方向或以垂直於垂直方向的方向相間隔一段距離,其中該垂直方向係與基板以及基板支撐座表面相垂直的方向。相間隔的束取出組件270可被用以在同一時間同時地處理基板的不同區域。在一個配置中,致動器1020可為線性致動器,線性致動器被配置成用以將基板301相對於束取出組件270而移動。
如上相似地討論,當使用致動器1020基板301相對於束取出組件270而被移動時,處理腔室1000內的束取出組件270之每一者可被配置成將相同類型的粒子束205提供至基板的表面。在其他配置中,兩個或更多個束取出組件270適於將具有一些不同處理特徵的粒子束205(例如束能量、束角度(例如角度210)、相對基板傳送方向的束角度、束成分(例如氣體離子),或其他有用的性質)提供至基板表面。在一些例子中,會期望藉由第一束取出組件270將更高能量與/或更高濺射產率的粒子束205提供至基板表面,且接著藉由第二與/或第三束取出組件270執行較少侵略性與更平緩的平坦化處理。
第11圖為可被用以執行在此所述的電漿修改處裡的至少一部分的處理系統1100的一個實施例的示意性側視圖,其中處理系統1100具有不同種類的處理腔室1102、1104、1106、1108與1110,其中之每一者被用以在基板301上執行電漿修改處理之一些部分。處理系統1100具有第一端1114以及第二端1116,其中基板301從第一端1114進入處理腔室1100,且經處理之基板301從第二端1116離開處理腔室1100。在第一端1114,輸入輸送器1118支撐起基板301且引導基板301進入第一腔室1102。在第二端1116,出口輸送器1120從最終腔室1110接收基板301。當在處理期間在每一個腔室內維持所需環境時,一系列基板轉移埠1124被提供在裝置的入口與出口且介於處理腔室1102、1104、1106、1108與1110之每一者之間以允許基板通過於處理腔室之間。處理 腔室1102、1104、1106、1108與1110,每一者包含被用以控制每一個腔室內的處理環境的氣體傳送組件。氣體傳送組件每一者可包含幫浦系統311以及氣體傳送源317(已於上述討論)。
在一個配置中,一系列的中介輸送器1122支撐起基板且引導基板通過不同種類的處理腔室。雖然輸送器系統已示出具有一些個別輸送器1118、1120與1122,但是亦可使用具有連續纖維網材料的單一輸送器。在一個配置中,輸送器包含支撐與驅動纖維網材料的支撐輥1126。當使用個別輸送器1118、1120與1122時,支撐輥1126可由一般驅動系統(未示)機械地驅動使得它們整體地或個別地被移動。用於不同支撐輥1126、埠1124以及其他系統致動器的不同驅動器由來自系統控制器702的控制信號所提供,系統控制器702(如上述)可包含記憶體1107、CPU 1109,與支援電路1111。雖然在第11圖所繪示的實施例中具有五個腔室,其並不打算限制發明的範圍,因可取決於用於每個處理的處理步驟以及所需裝置的數量而提供任何數量的的腔室。在一個實施例中,處理系統1100亦包含在系統的端部1114或端部1116中之任一者的至少一個額外的腔室(未示),其中系統運作如同負載閂鎖以提供介於處理腔室300的外部環境以及處理腔室1102~1110的處理區域之間的緩衝。
在處理系統1100的一個配置中,處理腔室1102適於在基板301被安插進入處理腔室1104之前,在基板301上執行一或更多個前處理步驟,且處理腔室1110適於在基板 301已經在處理腔室1104~1108之至少一者內被處理之後,在基板301上執行一或更多個後處理步驟。前處理或後處理步驟的範例會進一步搭配第13圖描述如下。在一個配置中,處理腔室1102與1110包含一或更多個能夠將處理氣體與/或一些能量傳送至傳送構件(例如構件1162與1172)的氣體源與/或能量傳送源(例如源1161與1171),因而前處理步驟與後處理步驟可在基板301上執行。
處理腔室1104~1108每一者適於執行在此所述的電漿修改處理的至少一部分。處理腔室1104~1108每一者一般將包含一或更多個束取出組件270,束取出組件270可被配置成用以將一或更多個粒子束205傳送至基板301表面。在一個範例中,處理腔室1104~1108包含至少一個束取出組件270,束取出組件270被配置成當使用傳輸器1122將基板301相對於束取出組件270移動時,將粒子束205傳送至基板301表面。
在一些實施例中,在處理腔室1104~1108之每一者中被執行之處理的每一者可因外殼的存在而從其他處理腔室加以隔離,其中外殼圍繞每一個處理腔室1104~1108的處理區域。在一些配置中,埠1124之每一者將處理腔室之每一者的處理區域分開且可選擇地幾乎實體隔離鄰近的處理腔室的處理區域。在一個範例中,狹縫閥或閘門閥被安裝在處理系統1100內的每個埠1124以選擇地隔離鄰近的處理腔室的處理環境。在一個配置中,處理腔室1102~1110之每一者每個分別包含排氣系統或幫浦系統1131~1135以控制與處理腔室 之每一者的處理區域相關的壓力與/或氣體成分。因此,如上相似地討論,當以使用傳輸器1122將基板301相對於束取出組件270而移動時,處理腔室1104~1108內的束取出組件270之每一者可被配置成用以提供相同或不同類型的粒子束205或粒子束處理環境。
第12圖為可被用以執行在此所述的電漿修改處理的至少一部分的線性處理系統1200的平面視圖。處理系統1200包含處理腔室1202、1204、1206、1208、1210以及1212,其中每一者被用以在基板301上執行電漿修改處理之某一部分。處理腔室1202與處理腔室1212每一者分別可包含上述討論的搭配處理腔室1102與處理腔室1110一起使用的腔室構件之一些或所有。處理腔室1204~1210每一者分別可包含上述討論的搭配處理腔室1104與處理腔室1108一起使用的腔室構件之一些或所有。
如所第12圖所繪示,處理系統1200包含複數個處理腔室1204~1210,處理腔室1204~1210每一者適於執行電漿修改處理之至少一部分。在一個範例中,處理腔室1204~1210之每一者包含一或更多個束取出組件(未示),該等束取出組件被配置成用以將粒子束205傳送至基板301的表面。在一個配置中,束取出組件之每一者適於將具有一些不同處理特徵的粒子束205提供至基板的表面。在一個範例中,束取出組件之每一者適於提供具有相對於基板的傳送方向(即X方向)不同束角度的粒子束205。藉由改變提供至每一個處理腔室內的基板表面的粒子束205的角度定向,由形 成於基板表面上的特徵定向所產生的任何遮蔽效應可被減少或極小化,如此可改善在處理系統1200內加以處理的基板的平坦化表面的均勻性。
電漿修改處理範例
第13圖為繪示可在如上所述的一或更多個處理腔室與/或處理系統的基板上執行的電漿修改處理序列的方塊圖。在一個實施例中,處理序列1300可在相似於處理系統700、800、900、1000、1100或1200的處理系統(其係搭配第7~12圖於上述所討論)中全部被執行。應注意繪示於第13圖的處理序列僅被使用作為電漿修改處理流程的範例,且因此並不打算限制發明的範圍。當需要改善電漿修改處理結果時,額外的步驟可被加入於任何繪示於第13圖之步驟之間。相似地,在此所繪示的一或更多步驟亦可依需要加以刪除。
在一個實施例中,處理序列1300開始於步驟1302,在其中可選的前平坦化處理會在基板表面上執行。一般而言,在基板上執行電漿修改處理步驟(或步驟1304)之前,可選的前平坦化處理步驟1302可包含使用化學機械拋光(CMP)處理以移除設置在基板表面上的材料的至少一部分。在執行電漿修改處理步驟1304之前使用初始CMP處理步驟對協助移除一些形成於未平坦化基板的表面上的特徵高度的變異是有用的。在這個例子中,後續的電漿修改處理步驟1304可僅提供基板表面的細緻平坦化,或換言之,提供基板表面的「細緻拋光」。
在處理序列1300的一個實施例中,在執行電漿修改處理步驟1304之前,可選的步驟1302可以是可替換地或額外地包含濕式或乾式化學清潔處理,濕式或乾式化學清潔處理被用以移除基板表面之一部分。在一個範例中,可使用一或更多個反應物種(例如將蝕刻氣體或清洗溶液傳送至基板表面)而從基板表面移除氧化物層或污染層以從基板表面移除材料之一部分。
接下來,在步驟1304,在基板的曝光表面上執行電漿修改處理以使基板的外表面相對地平坦與/或平緩。如上所討論,步驟1304可包含傳送高能粒子束,高能粒子束包含高能粒子在空間上的區域化群組,高能粒子在空間上的區域化群組在所期望的一些時間內被導引朝向基板表面。電漿修改處理可包含同時地與/或序列地將複數個粒子束205傳送至基板表面。所傳送的粒子束的每一者可具有不同處理特徵(束能量、束角度、束成分(例如氣體離子)或其他有用的特性)。
在一些實施例中,在多個序列處理步驟中步驟1304包含將一個高能粒子束的至少一部分傳送至基板表面以平坦化基板表面。如上所注意,可在單一電漿修改處理腔室內或在多個電漿修改處理腔室內執行多個序列處理步驟。再者,如上所討論,在步驟1304所執行的粒子束調整處理可包含使用物理與/或化學材料平坦化處理,物理與/或化學材料平坦化處理被執行於單一處理步驟中或一或更多個多個序列處理步驟中。
參考第3與13圖,步驟1304可包含(例如)以下 的次處理步驟。首先,一或更多個氣體源341將一或更多個惰性與/或反應氣體傳送至電漿產生區域332。電漿產生源272接著將一些電磁能量傳送至所傳送的處理氣體以在電漿產生區域332內形成電漿。電極組件273與系統控制器390接著被用以將電漿產生區域332內的離子取出以形成、控制與傳送一或更多粒子束205(其中每一者具有所期望的粒子束特性)至設置於處理腔室300的處理區域310內的基板支撐座上的基板301表面。在步驟1304的一些配置中,系統控制器390、幫浦系統311與氣體傳送源317被組合使用以控制處理區域310內的處理環境。氣體傳送源317與幫浦系統311典型地被使用以控制處理區域31內的處理環境的壓力與/或氣體成分。在一些例子中,處理環境可包含惰性與/或含氣體的基板蝕刻劑兩者之一以促進電漿修改處理。在步驟1304期間,可將基板與/或一或更多個高能粒子束205相對於彼此移動以增強電漿修改處理。接下來,在系統控制器390接收來自端點監控系統376的信號之後(或藉由簡單地將基板曝光於一或更多個高能粒子束205持續達所期望的時間),在步驟1304中所執行的電漿修改處理將被停止。
接下來,在步驟1306,在步驟1304已經執行後,在基板上執行可選擇的清洗處理。在此步驟中,清洗基板以將從前處理步驟留下的任何不期望的材料加以移除。在一些配置中,步驟1306包含將清洗氣體(例如,乾式清洗處理)傳送至設置於處理系統(例如處理系統700、800、900、1000、1100或1200)上的處理腔室內的基板表面,其中處理系統包 含適於執行步驟1304的處理腔室。在一個範例中,步驟1306可包含使用被提供至基板表面的反應離子蝕刻(RIE)或電漿輔助乾式蝕刻處理,以清洗與/或移除基板表面上的任何殘留的污染。可替換地,步驟1306可包含易地(ex-situ)清洗處理,易地(ex-situ)清洗處理包含將濕式清洗溶液傳送至基板表面以將任何不期望的材料從基板表面移除。在這個例子中,可使用濕式清洗處理來清洗基板,在濕式清洗處理中,清洗溶液(例如HF持續型清洗溶液、臭氧水清洗溶液、氫氟酸(HF)和過氧化氫(H2O2)溶液、去離子水或其他合適的清洗溶液)。在處理序列1300的一些實施例中,可在執行步驟1308(如下討論)之後執行步驟1306。
接下來,在步驟1308,在步驟1304已被執行之後,在基板上執行可選的後平坦化處理。在一個配置中,在基板上執行步驟1304之後,可選的後平坦化處理步驟1308可包含使用化學機械拋光(CMP)處理以將設置於基板表面的材料的至少一部分移除。在這個例子中的CMP處理對於協助移除且進一步平坦化一些形成於基板表面上的特徵是有用的。在這個例子中,在步驟1304中所完成的電漿修改處理可提供快速與/或部份的基板表面的平坦化,且後平坦化處理步驟1308提供基板表面的最終平坦化清理。可使用CMP型後平坦化處理步驟以將前一個電漿修改處理步驟1304所留下的遺留在基板表面上的任何島狀或其他不期望的材料加以移除。
在處理序列1300的一個實施例中,在執行電漿修改處理步驟1304之後,可選的步驟1308可以是可替換地或額 外地包含沉積處理步驟,沉積處理步驟被用以將基板表面「戴帽」。在一個範例中,沉積處理可包含在橫跨前一個所沉積的基板表面的表面上沉積導電層(例如鈦層、鉭層)、半導體層(例如矽層、砷化鎵層、三五族層)或介電層(例如二氧化矽層、氮化矽層)。在一些配置中,步驟1308包含在處理腔室內的基板表面上形成層,處理腔室設置在包含適於執行步驟1304的處理腔室的處理系統中(例如處理系統700、800、900、1000、1100或1200)。在一些範例中,可由在被配置成用以執行電漿增強化學氣相沉積(PECVD)處理、低壓化學氣相沉積(LPCVD)處理、熱電線化學氣相沉積(HWCVD)處理、原子層沉積(ALD)處理、物理氣相沉積(PVD)處理與/或其他相似的沉積處理的處理腔室中執行沉積處理來完成沉積處理。
在此提供的揭露內容的實施例可因此提供包含步驟1302與1304(已討論如上)的處理序列1300。揭露內容的一些實施例可提供包含步驟1302、1304與1308的處理序列1300。揭露內容的一些實施例可提供包含步驟1304與1308的處理序列1300。揭露內容的一些實施例可提供包含步驟1304與1306的處理序列1300。揭露內容的一些實施例可提供包含步驟1304、1306與1308的處理序列1300。揭露內容的一些實施例可提供包含(以下列處理順序執行的)步驟1304、1308與1306的處理序列1300。揭露內容的一些實施例可提供包含步驟1302、1304、1306與1308的處理序列1300,其中以任何所期望的處理順序執行步驟1306與1308。 揭露內容的一些實施例可提供包含步驟1304的處理序列1300。
雖然前述是關於本發明之實施例,本發明之其他與進一步實施例可被設想出而無偏離本發明之基本範圍,且發明範圍由以下之申請專利範圍所決定。
200‧‧‧元件結構
201A‧‧‧非平坦表面
201‧‧‧特徵
202‧‧‧材料
205B‧‧‧粒子束
205‧‧‧粒子束
210‧‧‧角度
220‧‧‧處理區域
251‧‧‧基座基板
252‧‧‧沉積層
253‧‧‧圖案化層
270‧‧‧束取出組件
271‧‧‧氣體源
272‧‧‧電漿產生源
273‧‧‧電極組件
B‧‧‧箭頭

Claims (20)

  1. 一種調整一基板的一表面的裝置,該裝置包括:一基板支撐座,該基板支撐座具有一基板支撐表面,其中一第一方向垂直於該基板支撐表面;一第一束取出組件,該第一束取出組件配置成用以同時地產生:一第一粒子束,該第一粒子束以一第二方向離開該第一束取出組件,其中該第一粒子束被引導朝向該基板支撐表面且該第二方向相對於該第一方向呈一第一掠射角;以及一第二粒子束,該第二粒子束以一第三方向離開該第一束取出組件,其中該第二粒子束被引導朝向該基板支撐表面且該第三方向呈該第一掠射角或相對於該第一方向呈一第二掠射角;以及一致動器,該致動器被配置成用以將該基板支撐表面相對於該第一與該第二粒子束移動。
  2. 如請求項1所述之裝置,其中該第一掠射角或該第二掠射角介於約70度與約80度之間。
  3. 如請求項1所述之裝置,進一步包含:一第二束取出組件,該第二束取出組件位於在一第四方向上與該第一束取出組件相距一距離的位置,其中該第四方 向垂直於該第一方向,且該第二束取出組件配置成同時地產生:一第三粒子束,該第三粒子束以一第五方向離開該第二束取出組件,其中該第三粒子束被引導朝向該基板支撐表面且該第五方向相對於該第一方向呈一第三掠射角;一第四粒子束,該第四粒子束以一第六方向離開該第二束取出組件,其中該第四粒子束被引導朝向該基板支撐表面且該第六方向呈該第三掠射角或相對於該第一方向呈一第四掠射角;以及其中該致動器配置成用以將該基板支撐座的該基板支撐表面相對於該第三與該第四粒子束移動。
  4. 如請求項1所述之裝置,其中該第一束取出組件進一步包含一第一轉向電極,該第一轉向電極可由一第一功率源加以偏壓,其中改變由該第一功率源所施加的該偏壓會改變該第一掠射角的大小。
  5. 如請求項1所述之裝置,進一步包含:一電場控制組件,該電場控制組件包含一控制元件,該控制元件位於介於該第一束取出組件與該基板支撐座之間的位置。
  6. 如請求項1所述之裝置,進一步包含:一射頻功率源;以及 一支撐電極,在當該支撐電極由該射頻功率源所偏壓時,該支撐電極被定位以在一處理區域中產生一電漿,該處理區域被界定於該第一束取出組件與該基板支撐座之間。
  7. 如請求項1所述之裝置,其中該第一束取出組件進一步包含:一第一電極,該第一電極具有一第一孔隙,該第一孔隙被定位以接收帶電粒子,該帶電粒子形成於該第一束取出組件的一電漿產生區域內;一第二電極,該第二電極具有一第二孔隙,該第二孔隙被定位以接收該等帶電粒子的一粒子流,該粒子流通過該第一孔隙,其中該等帶電粒子的該粒子流形成該第一粒子束的至少一部分;一場塑形功率源,該場塑形功率源配置成用以施加一偏壓至一控制元件,該控制元件被設置於該第一束取出組件與該基板支撐座之間;以及一系統控制器,該系統控制器配置成用以在處理期間調整一電偏壓,該電偏壓由該場塑形功率源所施加。
  8. 一種將在一處理腔室的一處理區域中的一基板的一表面平坦化的方法,該方法包含以下步驟:從一粒子束取出組件將一第一粒子束傳送朝向一基板,該基板被設置於一基板支撐座的一基板支撐表面上,其中將所傳送的該第一粒子束以一第一方向提供,該第一方向相對 於一第二方向呈一第一掠射角,該第二方向垂直於該基板支撐表面;從該粒子束取出組件將一第二粒子束傳送朝向該基板支撐表面,其中將所傳送的該第二粒子束以一第三方向提供,該第三方向呈該第一掠射角或相對於該第二方向呈一第二掠射角;以及將該基板相對於該第一與該第二粒子束移動,或將該第一與該第二粒子束相對於該基板移動,以減少形成於該基板上的一非平坦表面的非平坦度。
  9. 如請求項8所述之方法,進一步包含以下步驟:當將該基板相對於所傳送的該第一與第二粒子束移動時,將一蝕刻氣體傳送至一處理區域,在處理區域中該基板被設置,其中該蝕刻氣體包含從氯(Cl2)、氟(F2)、溴(Br2)、碘(I2),以及氨(NH3)的群組中所選擇的一氣體。
  10. 如請求項8所述之方法,進一步包含以下步驟:在定位該基板以接收所形成的該粒子束的至少一部分之前,將該基板的該非平坦表面拋光,其中將該非平坦表面拋光的步驟包含執行一化學機械拋光處理。
  11. 如請求項8所述之方法,其中將該第一粒子束傳送朝向該基板的步驟進一步包含以下步驟: 將電磁能量傳送至一處理氣體,該處理氣體被設置於一處理腔室的一電漿產生區域中,其中傳送該電磁能量之步驟會將設置於該電漿產生區域中的該處理氣體的至少一部分離子化;將一第一電極施以偏壓,該第一電極具有形成於該第一電極內的一第一孔隙,其中將該第一電極施以偏壓之步驟會造成該等帶電粒子的至少一部分通過該第一孔隙;將一第二電極施以偏壓,該第二電極具有形成於該第二電極內的一第二孔隙,其中將該第二電極施以偏壓之步驟會造成該等帶電粒子的至少一部分通過該第一孔隙,以在當該等帶電粒子的該部分通過該第一孔隙與該第二孔隙之間時該等帶電粒子的該部分會被加速,其中通過第二孔隙的該等帶電粒子的該部分形成所形成的該第一粒子束的至少一部分;以及將一偏壓施加至一控制元件,其中該控制元件位於介於該束取出組件與該基板之間的位置,且將該控制元件施以偏壓的步驟被配置成用以改變一電場,該電場係由將一偏壓分開地施加至該第一電極或該第二電極的步驟所產生。
  12. 如請求項11所述之方法,進一步包含以下步驟:將該第二孔隙的該位置相對於該第一孔隙調整以改變所形成的該粒子束的路徑。
  13. 一種修改在一處理腔室的一處理區域中的一基板的一表面的方法,該方法包含以下步驟:從一粒子束取出組件將一第一粒子束傳送朝向一基板,該基板被設置於一基板支撐座的一基板支撐表面上,其中將所傳送的該第一粒子束以一第一方向提供,該第一方向相對於一第二方向呈一第一掠射角,該第二方向垂直於該基板支撐表面;將該基板相對於該第一粒子束移動,或將該第一粒子束相對於該基板移動,以減少形成於該基板上的一非平坦表面的非平坦度;以及當將該基板相對於所傳送的該第一粒子束移動時,將一蝕刻氣體傳送至該基板的該非平坦表面。
  14. 如請求項13所述之方法,其中該蝕刻氣體包含從氯(Cl2)、氟(F2)、溴氣(Br2)、碘(I2),以及氨(NH3)的群組中所選擇的一氣體。
  15. 一種用於將一基板的一表面平坦化的系統,該系統包含:一轉移腔室,該轉移腔室具有一轉移區域;一第一處理腔室,該第一處理腔室耦接於該轉移腔室,其中該第一處理腔室包含:一基板支撐座,該基板支撐座具有一基板支撐表面,其中一第一方向垂直於該支撐表面; 一第一束取出組件,該第一束取出組件配置成用以同時地產生:一第一粒子束,該第一粒子束以一第二方向離開該第一束取出組件,其中該第一粒子束被引導朝向該基板支撐表面且該第二方向相對於該第一方向呈一第一掠射角;以及一第二粒子束,該第二粒子束以一第三方向離開該第一束取出組件,其中該第二粒子束被引導朝向該基板支撐表面且該第三方向呈該第一掠射角或相對於該第一方向呈一第二掠射角;以及一致動器,該致動器被配置成用以將該基板支撐座的該基板支撐表面相對於該第一與該第二粒子束移動;一第二處理腔室,該第二處理腔室耦接於該轉移腔室,其中該第二處理腔室配置成用以沉積該基板上的一層;以及一基板轉移機器人,該基板轉移機器人被設置在該轉移區域中,且被配置成用以將設置在該第一處理腔室與該第二處理腔室中的基板加以負載與卸載。
  16. 如請求項15所述之系統,其中該第一處理腔室進一步包含:一第二束取出組件,該第二束取出組件位於在一第四方向上與該第一束取出組件相距一距離的位置,其中該第四方向垂直於該第一方向,且該第二束取出組件配置成同時地產生: 一第三粒子束,該第三粒子束以一第五方向離開該第二束取出組件,其中該第三粒子束被引導朝向該基板支撐表面且該第五方向相對於該第一方向呈一第三掠射角;一第四粒子束,該第四粒子束以一第六方向離開該第二束取出組件,其中該第四粒子束被引導朝向該基板支撐表面且該第六方向呈該第三掠射角或相對於該第一方向呈一第四掠射角;以及其中該致動器配置成用以將該基板支撐座的該基板支撐表面相對於該第三與該第四粒子束移動。
  17. 如請求項15所述之系統,其中該第一束取出組件進一步包含一第一轉向電極,該第一轉向電極可由一第一功率源加以偏壓,其中改變由該第一功率源所施加的該偏壓會改變該第一掠射角的大小。
  18. 如請求項15所述之系統,進一步包含:一電場控制組件,該電場控制組件包含一控制元件,該控制元件位於介於該第一束取出組件與該基板支撐座之間的位置。
  19. 如請求項15所述之系統,進一步包含:一射頻功率源;以及 一支撐電極,在當該支撐電極由該射頻功率源所偏壓時,該支撐電極被定位以在一處理區域中產生一電漿,該處理區域被界定於該第一束取出組件與該基板支撐座之間。
  20. 如請求項15所述之系統,其中該第一束取出組件進一步包含:一第一電極,該第一電極具有一第一孔隙,該第一孔隙被定位以接收帶電粒子,該帶電粒子形成於該第一束取出組件的一電漿產生區域內;一第二電極,該第二電極具有一第二孔隙,該第二孔隙被定位以接收該等帶電粒子的一粒子流,該粒子流通過該第一孔隙,其中該等帶電粒子的該粒子流形成該第一粒子束的至少一部分;一場塑形功率源,該場塑形功率源配置成用以施加一偏壓至一控制元件,該控制元件被設置於該第一束取出組件與該基板支撐座之間;以及一系統控制器,該系統控制器配置成用以在處理期間調整一電偏壓,該電偏壓由該場塑形功率源所施加;以及一第三電極,該第三電極具有一第三孔隙,該第三孔隙被定位以接收在之前由該第二孔隙所接收的該等帶電粒子的該粒子流。
TW104106870A 2014-03-07 2015-03-04 用於修改基板表面的掠射角電漿處理 TWI690968B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201461949841P 2014-03-07 2014-03-07
US61/949,841 2014-03-07
US201461989370P 2014-05-06 2014-05-06
US61/989,370 2014-05-06

Publications (2)

Publication Number Publication Date
TW201603098A true TW201603098A (zh) 2016-01-16
TWI690968B TWI690968B (zh) 2020-04-11

Family

ID=54018045

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104106870A TWI690968B (zh) 2014-03-07 2015-03-04 用於修改基板表面的掠射角電漿處理

Country Status (5)

Country Link
US (1) US20150255243A1 (zh)
KR (1) KR20160130796A (zh)
CN (1) CN106030765B (zh)
TW (1) TWI690968B (zh)
WO (1) WO2015134704A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI813180B (zh) * 2021-04-26 2023-08-21 大陸商中微半導體設備(上海)股份有限公司 用於等離子處理裝置的限制環及等離子體處理裝置、氣壓控制方法

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10692765B2 (en) * 2014-11-07 2020-06-23 Applied Materials, Inc. Transfer arm for film frame substrate handling during plasma singulation of wafers
US10550469B2 (en) * 2015-09-04 2020-02-04 Lam Research Corporation Plasma excitation for spatial atomic layer deposition (ALD) reactors
DE102016008945A1 (de) * 2016-07-26 2018-02-01 Airbus Defence and Space GmbH Mikroelektrisches Modul zur Veränderung der elektromagnetischen Signatur einer Oberfläche, Modularray und Verfahren zur Veränderung der elektromagnetischen Signatur einer Oberfläche
US20180143332A1 (en) * 2016-11-18 2018-05-24 Plasma-Therm Llc Ion Filter
US20190148109A1 (en) * 2017-11-10 2019-05-16 Lam Research Corporation Method and Apparatus for Anisotropic Pattern Etching and Treatment
US11127593B2 (en) * 2018-05-18 2021-09-21 Varian Semiconductor Equipment Associates, Inc. Techniques and apparatus for elongation patterning using angled ion beams
US10930514B2 (en) * 2018-06-11 2021-02-23 Fei Company Method and apparatus for the planarization of surfaces
EP3588533A1 (en) * 2018-06-21 2020-01-01 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Plasma source and method of operating the same
US11195703B2 (en) * 2018-12-07 2021-12-07 Applied Materials, Inc. Apparatus and techniques for angled etching using multielectrode extraction source
US11715621B2 (en) 2018-12-17 2023-08-01 Applied Materials, Inc. Scanned angled etching apparatus and techniques providing separate co-linear radicals and ions
JP7447118B2 (ja) * 2018-12-17 2024-03-11 アプライド マテリアルズ インコーポレイテッド 光学装置製造のためのイオンビーム源
US20210020484A1 (en) * 2019-07-15 2021-01-21 Applied Materials, Inc. Aperture design for uniformity control in selective physical vapor deposition
US11948781B2 (en) 2020-06-16 2024-04-02 Applied Materials, Inc. Apparatus and system including high angle extraction optics
KR20220076976A (ko) * 2020-12-01 2022-06-08 삼성전자주식회사 웨이퍼 처리 장치

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6042738A (en) * 1997-04-16 2000-03-28 Micrion Corporation Pattern film repair using a focused particle beam system
US6054390A (en) * 1997-11-05 2000-04-25 Chartered Semiconductor Manufacturing Ltd. Grazing incident angle processing method for microelectronics layer fabrication
US6590324B1 (en) * 1999-09-07 2003-07-08 Veeco Instruments, Inc. Charged particle beam extraction and formation apparatus
US6288357B1 (en) * 2000-02-10 2001-09-11 Speedfam-Ipec Corporation Ion milling planarization of semiconductor workpieces
US6677599B2 (en) * 2000-03-27 2004-01-13 Applied Materials, Inc. System and method for uniformly implanting a wafer with an ion beam
JP2002353112A (ja) * 2001-05-25 2002-12-06 Riipuru:Kk 電子ビーム近接露光装置における電子ビームの傾き測定方法及び傾き較正方法並びに電子ビーム近接露光装置
EP1557866B1 (en) * 2004-01-21 2011-03-16 ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH Beam optical component having a charged particle lens
US7871678B1 (en) * 2006-09-12 2011-01-18 Novellus Systems, Inc. Method of increasing the reactivity of a precursor in a cyclic deposition process
US20080132046A1 (en) * 2006-12-04 2008-06-05 Varian Semiconductor Equipment Associates, Inc. Plasma Doping With Electronically Controllable Implant Angle
JP5216918B2 (ja) * 2009-07-16 2013-06-19 キヤノンアネルバ株式会社 イオンビーム発生装置、基板処理装置及び電子デバイスの製造方法
US8513129B2 (en) * 2010-05-28 2013-08-20 Applied Materials, Inc. Planarizing etch hardmask to increase pattern density and aspect ratio
JP5506560B2 (ja) * 2010-06-18 2014-05-28 キヤノン株式会社 描画装置及びデバイス製造方法
US8435727B2 (en) * 2010-10-01 2013-05-07 Varian Semiconductor Equipment Associates, Inc. Method and system for modifying photoresist using electromagnetic radiation and ion implantation
KR101786868B1 (ko) * 2010-12-28 2017-10-18 캐논 아네르바 가부시키가이샤 제조방법
US8946061B2 (en) * 2011-08-30 2015-02-03 Varian Semiconductor Equiptment Associates, Inc. Engineering of porous coatings formed by ion-assisted direct deposition
US9530615B2 (en) * 2012-08-07 2016-12-27 Varian Semiconductor Equipment Associates, Inc. Techniques for improving the performance and extending the lifetime of an ion source
US9024282B2 (en) * 2013-03-08 2015-05-05 Varian Semiconductor Equipment Associates, Inc. Techniques and apparatus for high rate hydrogen implantation and co-implantion
US9293301B2 (en) * 2013-12-23 2016-03-22 Varian Semiconductor Equipment Associates, Inc. In situ control of ion angular distribution in a processing apparatus
WO2015171335A1 (en) * 2014-05-06 2015-11-12 Applied Materials, Inc. Directional treatment for multi-dimensional device processing

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI813180B (zh) * 2021-04-26 2023-08-21 大陸商中微半導體設備(上海)股份有限公司 用於等離子處理裝置的限制環及等離子體處理裝置、氣壓控制方法

Also Published As

Publication number Publication date
KR20160130796A (ko) 2016-11-14
CN106030765B (zh) 2020-01-10
TWI690968B (zh) 2020-04-11
WO2015134704A1 (en) 2015-09-11
CN106030765A (zh) 2016-10-12
US20150255243A1 (en) 2015-09-10

Similar Documents

Publication Publication Date Title
TWI690968B (zh) 用於修改基板表面的掠射角電漿處理
US10304659B2 (en) Ale smoothness: in and outside semiconductor industry
CN107045977B (zh) 连续等离子体中的原子层蚀刻
JP7293211B2 (ja) 高エネルギー原子層エッチング
US10629473B2 (en) Footing removal for nitride spacer
US10096487B2 (en) Atomic layer etching of tungsten and other metals
JP6646978B2 (ja) 高アスペクト比構造におけるコンタクト洗浄
TWI657482B (zh) 用於多方向裝置加工的方向處理
US20170229325A1 (en) Process chamber for etching low k and other dielectric films
JP2017022368A (ja) GaN及びその他のIII−V材料の原子層エッチング
US20230298896A1 (en) Metal-based liner protection for high aspect ratio plasma etch
US10991594B2 (en) Method for area-selective etching of silicon nitride layers for the manufacture of microelectronic workpieces
US20230127597A1 (en) High aspect ratio dielectric etch with chlorine
KR20220148253A (ko) 고 종횡비 3D NAND 에칭을 위한 측벽 노칭 (notching) 감소

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees