KR20160130796A - 기판 표면을 개질하기 위한 지표각 플라즈마 프로세싱 - Google Patents

기판 표면을 개질하기 위한 지표각 플라즈마 프로세싱 Download PDF

Info

Publication number
KR20160130796A
KR20160130796A KR1020167027153A KR20167027153A KR20160130796A KR 20160130796 A KR20160130796 A KR 20160130796A KR 1020167027153 A KR1020167027153 A KR 1020167027153A KR 20167027153 A KR20167027153 A KR 20167027153A KR 20160130796 A KR20160130796 A KR 20160130796A
Authority
KR
South Korea
Prior art keywords
substrate
particle beam
processing
substrate support
extraction assembly
Prior art date
Application number
KR1020167027153A
Other languages
English (en)
Inventor
루도빅 고데트
엘리에 와이. 이에
스리니바스 디. 네마니
개리 이. 디커슨
스베틀라나 비. 라도바노브
아담 브랜드
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20160130796A publication Critical patent/KR20160130796A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 개시의 실시예들은, 플라즈마 개질 프로세스를 사용하여 기판의 표면을 개질하기 위한 장치 및 방법들을 제공한다. 일 실시예에서, 프로세스는 일반적으로, 기판이 입자 빔 개질 장치 내에 배치되는 동안의, 에너제틱 입자 빔의 사용에 의한, 기판의 노출된 표면의 일부의 제거 및/또는 재분배를 포함한다. 실시예들은 또한, 하나 또는 그 초과의 사전-평탄화 프로세싱 단계들 및/또는 하나 또는 그 초과의 사후-평탄화 프로세싱 단계들을 포함하는 플라즈마 개질 프로세스를 제공할 수 있고, 그러한 단계들은 모두 하나의 프로세싱 시스템 내에서 수행된다. 몇몇 실시예들은, 동일한 프로세싱 챔버, 동일한 프로세싱 시스템, 또는 2개 또는 그 초과의 프로세싱 시스템들에서 발견되는 프로세싱 챔버들 내에서 모든 플라즈마 개질 프로세스들을 수행함으로써, 기판의 표면을 평탄화하기 위한 장치 및 방법들을 제공할 수 있다.

Description

기판 표면을 개질하기 위한 지표각 플라즈마 프로세싱{GRAZING ANGLE PLASMA PROCESSING FOR MODIFYING A SUBSTRATE SURFACE}
[0001] 본원에서 제공되는 본 개시의 실시예들은 일반적으로, 기판의 표면 상에서 발견되는 불-균일한 표면 토포그래피(topography)를 평탄화(planarizing)하기 위한 장치 및 방법들에 관한 것이다.
[0002] 집적 회로들은 전형적으로, 전도성, 반전도성, 또는 절연성 층들의 순차적인 증착에 의해, 특히 실리콘 웨이퍼들과 같은 기판들 상에 형성된다. 각각의 층이 증착된 후에, 그러한 층은 회로 피처(feature)들을 생성하기 위해 에칭된다. 일련의 층들이 순차적으로 증착되고 에칭됨에 따라, 기판의 외측 또는 최상부 표면, 즉, 기판의 노출된 표면은 점점 더 비-평탄하게 된다. 도 1a는, 비-평탄한 표면(120)을 갖는, 기판(112) 상에 형성된 디바이스 구조(100)의 단면도이다. 디바이스 구조(100)는, 기판(112)의 표면 상에 형성된 패터닝된 층(114), 및 기판(112) 및 패터닝된 층(114) 위에 형성된 증착된 층(116)을 포함할 수 있다. 패터닝된 층(114)의 다양한 구역들에서의 재료의 부재로 인해, 증착된 층(116)의 상부 표면은 비-평탄한 표면(120)의 일부를 형성하는 피처들(121)을 포함할 것이다. 이러한 비-평탄한 표면은, 집적 회로 제작 프로세스의 포토리소그래피 단계들에서 문제들을 제시한다. 따라서, 평탄한 표면을 제공하기 위해, 기판 표면을 주기적으로 평탄화할 필요성이 존재한다.
[0003] 화학적 기계적 폴리싱(polishing)은 평탄화(planarization)의 하나의 용인된 방법이다. 이러한 평탄화 방법은 전형적으로, 폴리싱 슬러리가 위에 배치된 이동 폴리싱 벨트 또는 회전 폴리싱 패드에 대하여 기판의 노출된 표면이 배치되면서, 기판이 캐리어 또는 폴리싱 헤드 상에 탑재되는 것을 요구한다. 캐리어 헤드는, 폴리싱 패드에 관하여 제어가능한 모션을 제공하고, 기판에 부하(즉, 압력)를 가하여, 폴리싱 패드와 기판 사이에 생성되는 기계적인 액션(action)에 의해, 기판 상의 노출된 층의 일부가 제거되게 한다. 일반적으로, 적어도 하나의 화학적으로-반응적인 제제(agent)(예컨대, 산화물 폴리싱을 위한 산들, 염기들, 또는 심지어 탈이온수) 및 연마재 입자들(예컨대, 산화물 폴리싱을 위한 실리콘 이산화물)을 포함할 폴리싱 슬러리가, 기계적인 및 화학적인 액션에 의해 기판의 일부를 제거하는 것을 돕기 위해, CMP 프로세스 동안에, 기판 및 폴리싱 패드의 표면으로 공급된다.
[0004] CMP와 같은 통상적인 평탄화 기법들을 적용하는 것에서, 특히, 개방 필드와 접하는, 예컨대 구리 라인들과 같은 피처들의 고밀도(dense) 어레이로부터 연장되는 표면에 걸쳐 고도의 표면 균일성을 달성하는 것은 극도로 어렵다. CMP 프로세스를 사용하여 기판 표면을 평탄화하는 것에서, 바람직하지 않은 부식 및 디싱(dishing)이 전형적으로 발생되어, 표면 균일성 또는 평탄성(planarity)의 정도를 감소시키고, 특히, 약 0.25 미크론 및 그 미만과 같은 서브미크론(submicron) 치수들을 달성하는 것에 대하여, 통상적인 포토리소그래피 기법들의 초점 심도(depth of focus) 제한들이 문제가 되게 한다. 디싱은, 고밀도 어레이 내의 피처 내에 배치된 재료(예컨대, 구리(Cu)(인터커넥트 애플리케이션들), 실리콘 이산화물(STI 애플리케이션들))와 유전체 층(예컨대, 산화물 층)에 형성된 피처의 측벽들의 높이 사이의 높이 차이로서 정의된다. 부식은, 고밀도 어레이 내의 산화물의 높이와 개방 필드에서의 산화물 사이의 높이 차이로서 정의된다. 디싱 및 부식 형성은 평탄화 프로세스의 유효성을 평가하는 것에서 가장 중요한 파라미터들이다. 도 1b는, CMP 평탄화 프로세스가 수행된 후의, 도 1a에서 도시된 디바이스 구조(100)의 단면도이고, 여기에서, 폴리싱된 디바이스 구조는, 평탄화된 표면(119), 및 디싱 타입 결함을 갖는 피처(117)를 포함한다. 디싱 및 부식이 감소되거나 또는 완전히 제거되는 평탄화의 프로세스를 갖는 것이 여전히 바람직하다.
[0005] 매우 얇은 층들, 기계적으로 취약한 층들(예컨대, 저-k 재료들 및 구조들), 및 유독성 엘리먼트들(예컨대, 비소(As))을 함유하는 층들에 대해 수행될 수 있는 평탄화 프로세스들에 대한 요구가, 전자 디바이스에서의 특정한 테일러링된(tailored) 기능들을 수행하기 위한 신종(exotic) 재료들에 대한 필요성, 및 디바이스 사이즈에서의 축소로 인해, 수년에 걸쳐 증가되어 왔다. CMP 프로세스의 기계적인 성질로 인해, 얇고 취약한 층들의 평탄화는, 특히, 저-k 다공성 또는 에어-갭 포함 구조들을 포함하는 반도체 디바이스들에 대해, 상당한 난제가 되었다. 추가로, 인듐 갈륨 비소(InGaAs) 재료들, 갈륨 비소(GaAs) 재료들과 같은, 폴리싱된 층에서의 유독성 재료들의 존재로 인해, 유독성 부산물들 또는 유독성 폐기물을 생성하는 CMP 애플리케이션들에 있어서, 기판들 및 오염된 시스템 컴포넌트들의 핸들링에서, 안전성 및/또는 소모가능한 파트 비용 문제가 훨씬 많게 된다.
[0006] 따라서, 위에서 설명된 문제들을 해소하는, 기판의 표면을 평탄화하기 위한 방법 및 장치에 대한 필요성이 존재한다. 또한, 아래놓인 층들을 손상시키지 않으면서 기판의 표면을 평탄화할 수 있고, 또한, 유독성 부산물들 또는 유독성 폐기물에 보수 요원을 노출시키지 않을 수 있는 평탄화 프로세스들에 대한 필요성이 존재한다.
[0007] 본 개시는 일반적으로, 플라즈마 개질(modification) 프로세스를 사용하여 기판의 표면을 평탄화하기 위한 장치 및 방법들을 포함한다. 기판의 표면을 플라즈마 평탄화하는 프로세스는 일반적으로, 기판이 입자 빔 개질 장치 내에 배치되는 동안의, 에너제틱(energetic) 입자 빔의 사용에 의한, 기판의 노출된 표면의 일부의 제거 및/또는 재분배(redistribution)를 포함한다. 몇몇 실시예들에서, 기판의 노출된 표면의 일부의 평탄화는, 부기압 압력 프로세싱 환경에서 수행되는 입자 빔 개질 프로세스의 사용에 의해 수행될 수 있다. 입자 빔 개질 프로세스는, 원하는 시간 기간 동안, 기판의 표면을 향하여 지향되는 에너제틱 입자들(예컨대, 대전된 입자들 및/또는 뉴트럴(neutral)들)의 공간적으로 국부화된(localized) 그룹을 포함하는 대전된 입자 빔의 전달을 포함할 수 있다. 몇몇 경우들에서, 입자 빔 개질 프로세스는, 기판의 표면을 향하여 지향되는 전기적으로 대전된 입자들의 공간적으로 국부화된 그룹을 포함하는 대전된 입자 빔의 전달을 포함할 수 있다.
[0008] 본 개시의 실시예들은 기판 상의 표면의 평탄화를 위한 장치를 제공할 수 있고, 그러한 장치는, 기판 지지 표면을 갖는 기판 지지부, 플라즈마 생성 소스, 및 빔 추출 어셈블리를 포함한다. 플라즈마 생성 소스 어셈블리는 프로세스 가스를 이온화시키도록 구성된다. 빔 추출 어셈블리는, 플라즈마 생성 구역에서 형성된 대전된 입자들의 적어도 일부를 추출하도록 위치된 제 1 구멍을 갖는 제 1 전극, 제 1 구멍을 통과하는 대전된 입자들의 유동을 수용하도록 위치된 제 2 구멍을 갖는 제 2 전극을 포함할 수 있다. 대전된 입자들의 추출은, 빔 추출 어셈블리에 위치된 전극들에 의해 생성되는 전기장들에 의해 행해진다. 추출되는 대전된 입자들의 특성들은, 빔 추출 어셈블리에서 발견되는 컴포넌트들에 인가되는 상대적인 바이어스(bias)들 및 플라즈마 경계의 기하형상에 따라 좌우된다. 제 1 전력 소스는 일반적으로, 제 1 구멍을 통과한 대전된 입자들의 운동 에너지(kinetic energy)를 증가시키기 위해, 제 2 전극을 전기적으로 바이어싱하도록 구성된다. 제 1 및 제 2 구멍들은, 프로세싱 동안에, 기판의 표면으로 대전된 입자들의 유동을 지향시키도록 위치된다.
[0009] 본 개시의 실시예들은 추가로, 기판의 표면을 개질하기 위한 장치를 제공할 수 있으며, 그러한 장치는, 기판 지지 표면을 갖는 기판 지지부 ― 제 1 방향은 기판 지지 표면에 대해 수직임 ―, 제 2 방향으로 제 1 빔 추출 어셈블리에서 빠져나가는 제 1 입자 빔, 및 제 3 방향으로 제 1 빔 추출 어셈블리에서 빠져나가는 제 2 입자 빔을 동시에 생성하도록 구성된 제 1 빔 추출 어셈블리, 및 제 1 입자 빔 및 제 2 입자 빔에 관하여, 기판 지지 표면을 병진이동시키도록 구성된 액추에이터를 포함하고, 여기에서, 제 1 입자 빔은 기판 지지 표면을 향하여 지향되고, 제 2 방향은 제 1 방향에 관하여 제 1 지표각(grazing angle)을 이루고, 여기에서, 제 2 입자 빔은 기판 지지 표면을 향하여 지향되고, 제 3 방향은 제 1 방향에 관하여 제 1 지표각 또는 제 2 지표각을 이룬다.
[0010] 본 개시의 실시예들은 추가로, 프로세싱 챔버의 프로세싱 구역에서 기판의 표면을 평탄화하는 방법을 제공할 수 있으며, 그러한 방법은, 빔 추출 어셈블리로부터, 기판 지지부의 기판 지지 표면 상에 배치된 기판을 향하여 제 1 입자 빔을 전달하는 단계 ― 전달되는 제 1 입자 빔은, 기판 지지 표면에 대해 수직인 제 2 방향에 관하여 제 1 지표각을 이루는 제 1 방향으로 제공됨 ―, 빔 추출 어셈블리로부터 기판 지지 표면을 향하여 제 2 입자 빔을 전달하는 단계 ― 전달되는 제 2 입자 빔은, 제 2 방향에 관하여 제 1 지표각 또는 제 2 지표각을 이루는 제 3 방향으로 제공됨 ―, 및 기판 상에 형성된 비-평탄한 표면의 비-평탄성을 감소시키기 위해, 제 1 입자 빔 및 제 2 입자 빔에 관하여 기판을 이동시키거나, 또는 기판에 관하여 제 1 입자 빔 및 제 2 입자 빔을 이동시키는 단계를 포함한다.
[0011] 본 개시의 실시예들은 추가로, 기판의 표면을 평탄화하기 위한 시스템을 제공할 수 있으며, 그러한 시스템은, 이송 구역을 갖는 이송 챔버, 이송 챔버에 커플링된 제 1 프로세스 챔버, 이송 챔버에 커플링된 제 2 프로세스 챔버 ― 제 2 프로세스 챔버는 기판 상에 층을 증착하도록 구성됨 ―, 및 이송 구역에 배치되고, 제 1 프로세싱 챔버 및 제 2 프로세싱 챔버에 배치된 기판들을 로딩 및 언로딩하도록 구성된 기판 이송 로봇을 포함한다. 제 1 프로세스 챔버는, 기판 지지 표면을 갖는 기판 지지부 ― 제 1 방향은 지지 표면에 대해 수직임 ―, 제 2 방향으로 제 1 빔 추출 어셈블리에서 빠져나가는 제 1 입자 빔, 및 제 3 방향으로 제 1 빔 추출 어셈블리에서 빠져나가는 제 2 입자 빔을 동시에 생성하도록 구성된 제 1 빔 추출 어셈블리, 및 제 1 입자 빔 및 제 2 입자 빔에 관하여, 기판 지지부의 기판 지지 표면을 병진이동시키도록 구성된 액추에이터를 포함할 수 있고, 여기에서, 제 1 입자 빔은 기판 지지 표면을 향하여 지향되고, 제 2 방향은 제 1 방향에 관하여 제 1 지표각을 이루고, 여기에서, 제 2 입자 빔은 기판 지지 표면을 향하여 지향되고, 제 3 방향은 제 1 방향에 관하여 제 1 지표각 또는 제 2 지표각을 이룬다.
[0012] 본 개시의 실시예들은 추가로, 프로세싱 챔버의 프로세싱 구역에서 기판의 표면을 개질하는 방법을 제공할 수 잇으며, 그러한 방법은, 빔 추출 어셈블리로부터, 기판 지지부의 기판 지지 표면 상에 배치된 기판을 향하여 제 1 입자 빔을 전달하는 단계 ― 전달되는 제 1 입자 빔은, 기판 지지 표면에 대해 수직인 제 2 방향에 관하여 제 1 지표각을 이루는 제 1 방향으로 제공됨 ―, 기판 상에 형성된 비-평탄한 표면의 비-평탄성을 감소시키기 위해, 제 1 입자 빔에 관하여 기판을 이동시키거나, 또는 기판에 관하여 제 1 입자 빔을 이동시키는 단계, 및 기판이 전달되는 제 1 입자 빔에 관하여 이동되는 동안에, 기판의 비-평탄한 표면에 에칭 가스를 전달하는 단계를 포함한다.
[0013] 본 발명의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된, 본 발명의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 단지 본 발명의 전형적인 실시예들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0014] 도 1a는, 평탄화 프로세스를 수행하기 전의 기판의 표면 상에 형성된 디바이스 구조의 단면도이다.
[0015] 도 1b는, 디바이스 구조 내의 피처가 디싱 타입 결함을 갖는 피처를 포함하는, 통상적인 평탄화 프로세스를 수행한 후의 디바이스 구조의 단면도이다.
[0016] 도 2는, 본원에서 설명되는 실시예에 따라, 입자 빔 개질 프로세스를 사용하여 프로세싱되고 있는 디바이스 구조의 개략적인 단면도이다.
[0017] 도 3은, 본원에서 설명되는 실시예에 따른 입자 빔 개질 장치의 개략적인 측단면도이다.
[0018] 도 4는, 본원에서 설명되는 실시예에 따라, 입자 빔 개질 장치로부터 생성된 입자 빔의 적어도 일부를 수용하고 있는 기판의 개략적인 평면도이다.
[0019] 도 5a는, 본원에서 설명되는 실시예에 따른 입자 빔 생성 어셈블리의 일부의 개략적인 측면도이다.
[0020] 도 5b는, 본원에서 설명되는 실시예에 따른 입자 빔 생성 어셈블리의 일부의 개략적인 측면도이다.
[0021] 도 6a는, 본원에서 설명되는 실시예에 따른 입자 빔 생성 어셈블리의 일부의 개략적인 측면도이다.
[0022] 도 6b는, 본원에서 설명되는 실시예에 따른 입자 빔 생성 어셈블리의 일부의 개략적인 측면도이다.
[0023] 도 6c는, 본원에서 설명되는 실시예에 따른 형성된 입자 빔의 형상의 개략적인 평면도이다.
[0024] 도 6d는, 본원에서 설명되는 실시예에 따른 형성된 입자 빔의 형상의 개략적인 평면도이다.
[0025] 도 7은, 본 발명의 일 실시예에 따른, 다-기판 프로세싱 챔버들을 포함하는 클러스터 툴의 평면도이다.
[0026] 도 8은, 본원에서 설명되는 실시예에 따른, 다-기판 프로세싱 챔버들을 포함하는 클러스터 툴의 평면도이다.
[0027] 도 9는, 본원에서 설명되는 실시예에 따른, 클러스터 툴 상에 배치된 기판 프로세싱 챔버의 일부의 평면도이다.
[0028] 도 10은, 본원에서 설명되는 실시예에 따른, 기판을 프로세싱하도록 구성된 프로세싱 챔버의 측면도이다.
[0029] 도 11은, 본원에서 설명되는 실시예에 따른, 다-기판 프로세싱 챔버들을 포함하는 선형 타입 클러스터 툴의 측면도이다.
[0030] 도 12는, 본원에서 설명되는 실시예에 따른, 다-기판 프로세싱 챔버들을 포함하는 선형 타입 클러스터 툴의 평면도이다.
[0031] 도 13은, 본원에서 설명되는 실시예에 따른, 플라즈마 개질 프로세스를 수행하기 위해 사용될 수 있는 하나 또는 그 초과의 방법 단계들을 예시한다.
[0032] 이해를 용이하게 하기 위하여, 도면들에 대해 공통인 동일한 엘리먼트들을 지시하기 위해 가능한 경우에 동일한 참조 번호들이 사용되었다. 일 실시예에서 개시된 엘리먼트들이, 구체적인 설명 없이 다른 실시예들에 대해 유익하게 활용될 수 있다는 것이 고려된다.
[0033] 본원에서 제공되는 본 개시의 실시예들은, 플라즈마 개질 프로세스를 사용하여 기판의 표면을 개질하기 위한 장치 및 방법들을 포함한다. 플라즈마 개질 프로세스는, 기판이 입자 빔 생성 장치 내에 배치되는 동안의, 하나 또는 그 초과의 에너제틱 입자 빔들의 사용에 의한, 기판의 노출된 표면의 일부의 제거 및/또는 재분배를 일반적으로 포함하는 플라즈마 평탄화 프로세스를 포함할 수 있다. 본 개시의 실시예들은 또한, 하나 또는 그 초과의 사전-평탄화 프로세싱 단계들 및/또는 하나 또는 그 초과의 사후-평탄화 프로세싱 단계들을 포함하는 플라즈마 개질 프로세스를 제공할 수 있고, 그러한 단계들 모두는 하나의 프로세싱 시스템 내에서 수행된다. 본 개시의 몇몇 실시예들은, 동일한 프로세싱 챔버, 동일한 프로세싱 시스템, 또는 2개 또는 그 초과의 프로세싱 시스템들에서 발견되는 프로세싱 챔버들 내에서 모든 플라즈마 개질 프로세스들을 수행함으로써, 기판의 표면을 평탄화하기 위한 장치 및 방법들을 제공할 수 있다.
[0034] 몇몇 실시예들에서, 기판의 노출된 표면의 일부의 평탄화는, 부기압 압력 프로세싱 환경에서 수행되는 입자 빔 개질 프로세스의 사용에 의해 수행될 수 있다. 일반적으로, 플라즈마 개질 프로세스는, 기판의 외측 표면을 비교적 평탄하게 그리고/또는 매끄럽게 만드는 하나 또는 그 초과의 단계들을 수행하는 것을 포함한다. 몇몇 실시예들에서, 개질 프로세스는, 기판의 표면 상에서 발견되는 증착된 재료 오버버든(overburden)의 양을 제거하면서, 또한, 기판의 표면을 평탄화하기 위해 사용된다. 입자 빔 개질 프로세스는, 원하는 시간 기간 동안, 기판의 표면에 관하여 이동되고, 기판의 표면을 향하여 지향되는 에너제틱 입자들의 공간적으로 국부화된 그룹을 포함하는 하나 또는 그 초과의 에너제틱 입자 빔들의 전달을 포함할 수 있다. 형성된 하나 또는 그 초과의 에너제틱 입자 빔들에서 발견되는 입자들은 대략 동일한 운동 에너지를 가질 수 있고, 기판의 노출된 표면을 평탄화하고 그리고/또는 재료를 제거하는 것을 돕기 위해, 입자 빔으로부터 기판의 표면을 향하여 지향된다. 몇몇 경우들에서, 입자 빔 개질 프로세스는, 기판의 표면을 향하여 지향되는 전기적으로 대전된 입자들의 공간적으로 국부화된 그룹을 포함하는 대전된 입자 빔의 전달을 포함할 수 있다. 프로세싱된 기판은, 전도성 재료, 반전도성 재료, 및/또는 유전체 재료를 포함하는 하나 또는 그 초과의 노출된 구역들을 포함할 수 있다.
[0035] 도 2는, 디바이스 구조(200)의 비-평탄한 표면(201A)을 평탄화하기 위해, 하나 또는 그 초과의 에너제틱 입자 빔들에 노출되고 있는 비-평탄한 표면(201A)을 갖는 디바이스 구조(200)의 개략적인 단면도를 예시한다. 디바이스 구조(200)는, 베이스 기판(251)의 표면 상에 형성된 패터닝된 층(253), 및 베이스 기판(251) 및 패터닝된 층(253) 위에 형성된 증착된 층(252)을 포함할 수 있다. 패터닝된 층(253)의 다양한 구역들에서의 재료의 부재로 인해, 증착된 층(252)의 상부 표면은, 본원에서 설명되는 입자 빔 개질 프로세스를 수행함으로써 제거될, 비-평탄한 표면(201A)의 일부를 형성하는 피처들(201)을 포함할 것이다.
[0036] 입자 빔 개질 프로세스는 일반적으로, 재료를 제거하고 그리고/또는 기판의 노출된 표면을 평탄화하기 위해, 빔 추출 어셈블리(270)로부터 디바이스 구조(200)의 비-평탄한 표면(201A)으로의 적어도 하나의 에너제틱 입자 빔, 이하 입자 빔(205)의 전달을 포함한다. 일반적으로, 아래에서 추가로 논의되는 바와 같이, 전달되는 입자 빔(들)(205)은, 기판의 표면을 개질하기 위해 사용되고, 대전된 입자들 및/또는 대전되지 않은 입자들(예컨대, 뉴트럴들 및/또는 라디칼들)을 포함할 수 있다. 입자 빔 개질 프로세스는 일반적으로, 프로세싱 구역(220) 내의 중간 내지 낮은 압력 환경에서, 예컨대 약 0.01 mTorr 내지 약 1 Torr의 프로세싱 압력에서 수행된다.
[0037] 입자 빔 개질 프로세스는, 순수하게 물리적인 재료 평탄화 프로세스의 사용에 의해, 또는 몇몇 경우들에서는, 물리적인 및 화학적인 재료 평탄화 프로세스 양자 모두의 사용에 의해, 비-평탄한 표면(201A)의 부분들을 제거하고 그리고/또는 재분배하기 위한 하나 또는 그 초과의 입자 빔들(205)의 전달을 포함할 수 있다. 입자 빔 개질 프로세스의 물리적인 컴포넌트는 일반적으로, 기판의 표면의 부분의 에너제틱 충격을 포함하고, 그러한 에너제틱 충격에 의해, 빔 추출 어셈블리(270)에 의해 생성된, 입자 빔(205)의 에너제틱 입자들은, 도 2에서 화살표들 "B"에 의해 도시된 바와 같이, 기판의 표면 상의 재료가 그러한 표면으로부터 제거되고(dislodged) 그리고/또는 축출되게(ejected) 한다. 입자 빔(205)과 기판의 표면의 상호작용에 의해 생성되는, 재료(202)와 같은 제거된 및/또는 축출된 재료는, 재료(202)가 기판의 표면 상에 재분배되고 그리고/또는 기판의 표면으로부터 제거되게 할 것이다.
[0038] 전형적으로, 입자 빔(205)에서 에너제틱 입자들을 형성하는 원자들 및/또는 분자들의 질량이 높을수록, 기판의 표면으로부터 재료를 물리적으로 제거하기 위한 입자 빔(205)의 능력이 더 커진다. "스퍼터링"이라는 용어는 종종, 입자 빔 개질 프로세스의 물리적인 컴포넌트를 설명하기 위해 사용되고, "스퍼터율(sputter yield)"이라는 용어는 일반적으로, 기판의 표면으로부터 원자들을 제거하기 위한, 입자 빔에서의 에너제틱 가스 원자 또는 분자의 능력을 설명하기 위해 본원에서 사용된다. 전형적으로 빔에서의 입자들(예컨대, 원자 또는 분자)의 운동 에너지 및 질량에 따라 좌우되는 스퍼터율이 높을수록, 에너제틱 원자들이 기판의 표면으로부터 제료를 더 효과적으로 제거하게 된다. 몇몇 구성들에서, 입자 빔은, 하나 또는 그 초과의 가스 원자들, 예컨대 아르곤(Ar), 네온(Ne), 크립톤(Kr), 크세논(Xe), 라돈(Rn), 질소(N), 헬륨(He) 및 수소(H), 및/또는 분자들, 예컨대 질소 및 수소 화합물들(NxHy), 또는 이들의 조합(예컨대, Ar/Xe)을 함유하는 플라즈마로부터 형성된 에너제틱 이온들 및/또는 뉴트럴들을 포함한다. 몇몇 실시예들에서, 입자 빔은, 게르마늄(Ge), 실리콘(Si), 갈륨(Ga), 비소(As), 요오드(I), 또는 이들의 가스 원자들 및 분자들의 조합(예컨대, Ar/트리메틸갈륨(TMG))과 같은 엘리먼트들을 함유하는 가스들로부터 형성된 분자들을 함유하는 플라즈마로부터 형성된 에너제틱 이온들 및/또는 뉴트럴들을 포함한다. 일 예에서, 입자 빔(205)은 아르곤 이온 빔을 포함한다.
[0039] 기판의 표면 상의 재료를 제거하고 그리고/또는 재분배하기 위한 입자 빔(205)의 능력에 영향을 미칠 수 있는 다른 인자는, 전형적으로, 디바이스 구조(200)의 표면에 대해 수직 또는 직각인 방향으로부터 측정되는, 지향되는 입자 빔의 입사각(210)이다. 도 2에서, 입자 빔(2051)은 기판의 표면에 대해 직각으로 배향되고(oriented), 입자 빔(2052)은, 본원에서 지표각이라고 또한 지칭되는, 디바이스 구조(200)의 표면에 대한 각도(210)로 도시된다. 일반적으로, 입자 빔(205)은, 약 제로 도(예컨대, 법선) 내지 약 90 도 미만(예컨대, ~89.5 도)에서 변화될 수 있는, 법선으로부터의 각도(210)를 갖는 방향으로 전달될 수 있다. 60 또는 그 초과, 예컨대 70 내지 80 도의 각도들을 갖는 입자 빔들(205)이 전형적으로, 기판의 표면 상에서 발견되는 표면 거칠기를 평활화(smooth)하기 위한 입자 빔(205)의 능력인 우수한 평활화 성능을 갖는 것으로 생각된다. 또한, 60 내지 70 도 또는 그 미만의 각도(210)를 갖는 입자 빔들이 전형적으로, 우수한 스퍼터율 성능을 가질 것으로 생각된다. 그러나, 일 예에서, 결정질 실리콘(Si) 기판에 대해 우수한 평활화 성능을 달성하기 위한 노력으로, 1 keV 에너지 빔이 30 내지 60 도의 범위의 입사각으로 전달된다.
[0040] 일반적으로, 입자 빔 개질 프로세스의 화학적인 재료 평탄화 컴포넌트는, 기판의 표면에서의 재료와의, 입자 빔(205)을 둘러싸는 프로세싱 환경으로부터 공급되는 가스 상, 증기 상, 및/또는 가스 또는 증기 상 반응성 종(예컨대, 라디칼들), 또는 입자 빔(205)에서 발견되는 라디칼들 또는 이온들의 가스 상 또는 증기 상 화학적 상호작용을 포함할 것이다. 따라서, 입자 빔(205)과 상호작용하거나 또는 입자 빔(205)에서 발견되는 화학 종의 화학적 상호작용은, 비-물리적인 방법의 사용에 의해 기판의 표면 상의 재료를 제거하고 그리고/또는 재분배하는 것을 돕기 위해 사용된다. 몇몇 경우들에서, 화학적인 재료 평탄화 프로세스는, 기판의 표면과 가스 또는 증기 상 에천트를 반응시킴으로써 발생될 수 있다. 따라서, 몇몇 실시예들에서, 입자 빔(205), 및/또는 입자 빔(205)을 둘러싸는 환경은, 할로겐 가스, 예컨대 염소(Cl2), 불소(F2), 브롬(Br2) 및 요오드(I2), 및/또는 분자들, 예컨대 암모니아(NH3)를 함유하는 에천트 가스와 같은 에천트 재료를 포함한다. 일 예에서, 입자 빔(205)은 염소(Cl) 또는 불소(F) 함유 이온들을 포함한다. 일 예에서, 입자 빔(205)은, 비활성 가스 및 에천트 가스, 예컨대 아르곤 및 불소 또는 염소를 함유하는 가스 혼합물을 포함한다. 다른 예에서, 입자 빔(205)은 비활성 가스 및 에천트 가스를 포함하고, 여기에서, 에천트 가스는, 불소(F2), 질소 삼플루오르화물(NF3), 탄소 사플루오르화물(CF4), 붕소 삼플루오르화물(BF3), 크세논 이플루오르화물(XeF2), 붕소 삼염화물(BCl2), 트리플루오로메탄(CHF3), 헥사플루오로에탄(C2F6), 염소(Cl2), 또는 다른 플루오로카본들 또는 염소 함유 가스들을 함유할 수 있다. 다른 예에서, 입자 빔9205)은 비활성 가스를 포함하고, 기판을 둘러싸는 프로세싱 구역은 불소 또는 염소와 같은 에천트 가스를 포함한다.
[0041] 빔 추출 어셈블리(270)는 전형적으로, 가스 소스(271), 플라즈마 생성 소스(272), 및 전극 어셈블리(273)를 포함한다. 가스 소스(271)는 일반적으로, 가스 원자들, 가스 상 분자들, 또는 플라즈마 생성 소스(272)에 의해 이온화되는 경우에, 입자 빔(205)의 일부를 형성하기 위해 전극 어셈블리(273)에 의해 추출될 수 있는 가스 원자들, 분자들, 또는 증기를 포함하는 프로세스 가스를 제공할 수 있는 다른 증기 전달 소스들의 하나 또는 그 초과의 소스들을 포함한다.
[0042] 플라즈마 생성 소스(272)는 일반적으로, 가스 소스(271)로부터 전달되는 프로세스 가스를 사용하여, 플라즈마 생성 구역에서 플라즈마를 형성하기 위해, 플라즈마 생성 구역으로 에너지를 전달하도록 구성된 전자기 에너지의 소스를 포함한다. 일반적으로, 플라즈마 생성 소스(272)는, 플라즈마 생성 구역에서 플라즈마를 형성하기 위해, 하나 또는 그 초과의 플라즈마 생성 기법들을 사용할 수 있다. 플라즈마 생성 기법들은, 예컨대, 용량성 커플링된 플라즈마 소스, 유도성 커플링된 플라즈마 소스, 헬리콘 타입 소스, 전자 사이클로트론 공진(ECR) 타입 소스로부터 플라즈마 생성 구역으로의 전자기 에너지의 전달, 및/또는 마이크로파 소스로부터의 마이크로파 에너지의 전달을 포함할 수 있다.
[0043] 전극 어셈블리(273)는 일반적으로, 기판의 표면을 향하여 지향되는 에너제틱 입자들의 공간적으로 국부화된 그룹을 각각 포함하는 하나 또는 그 초과의 에너제틱 입자 빔들을 형성하고 전달하도록, 플라즈마 생성 소스(272)의 플라즈마 생성 구역 내에서 생성된 이온들을 추출하기 위해 사용되는 디바이스이다. 하나 또는 그 초과의 에너제틱 입자 빔들(205)은, 원통형 형상의 빔, 복수의 인접한 또는 오버래핑 원통형 빔들, 또는 리본 형상의 빔(예컨대, 연속적인 직사각형 형상의 빔)을 포함할 수 있다. 기판의 표면을 평탄화하기 위해, 하나 또는 그 초과의 에너제틱 입자 빔들(205)이 프로세싱 동안에 비-평탄한 표면(201A)에 관하여 이동될 수 있고, 그리고/또는 기판이 프로세싱 동안에 에너제틱 입자 빔(205)에 관하여 이동될 수 있다. 몇몇 실시예들에서, 아래에서 추가로 논의될 바와 같이, 전극 어셈블리(273)는, 비-평탄한 표면(201A) 상의 표면 모폴로지 변동들을 보상하고 그리고/또는 입자 빔 개질 프로세스의 결과들을 개선하기 위해, 기판의 표면으로의 생성된 에너제틱 입자 빔들의 궤도(trajectory)(예컨대, 각도(210))를 조정하도록 적응된 컴포넌트들을 포함한다.
[0044] 도 3은, 빔 추출 어셈블리(270)에 의해 생성되고 기판의 표면으로 지향되는 에너제틱 입자 빔들(205) 중 하나 또는 그 초과를 수용하도록 위치된 기판(301)의 부분을 평탄화하도록 위치된 빔 추출 어셈블리(270)를 포함하는 프로세싱 챔버(300)의 개략적인 단면도이다. 프로세싱 챔버(300)는 일반적으로, 챔버 어셈블리(315) 및 빔 추출 어셈블리(270)를 포함한다. 챔버 어셈블리(315)는 일반적으로, 입자 빔 개질 프로세스 동안에 기판(301)이 배치되는 프로세싱 구역(310)을 에워싸는 하나 또는 그 초과의 벽들(316)을 포함한다. 챔버 어셈블리(315)는 또한, 전형적으로, 시스템 제어기(390), 펌핑 시스템(311), 및 가스 전달 소스(317)를 포함할 것이고, 이들은, 프로세싱 구역(310) 내의 프로세싱 환경을 제어하기 위해 조합되어 사용된다. 펌핑 시스템(311)은, 프로세싱 구역(310) 내의 원하는 압력을 제어하도록 구성된 하나 또는 그 초과의 기계적인 펌프들(예컨대, 러프 펌프(rough pump), 터보 펌프))을 포함할 수 있다. 가스 전달 소스(317)는, 프로세싱 구역(310)으로 비활성 및/또는 반응성 가스(예컨대, 에천트 가스들)의 유동 또는 양을 전달하도록 구성된 하나 또는 그 초과의 소스들을 포함할 수 있다. 몇몇 구성들에서, 챔버 어셈블리(315)는 또한, 프로세싱 동안에 기판(301)의 온도를 조정하기 위해 시스템 제어기(390)에 의해 제어되는 열 소스(미도시)(예컨대, 램프들, 복사 가열기들)를 포함할 수 있다. 일 예에서, 시스템 제어기(390)는, 입자 빔 개질 프로세스 동안에, 프로세싱 구역(310)에서의 가스 조성, 챔버 압력, 기판 온도, 가스 유동, 또는 다른 유용한 프로세스 파라미터를 제어하도록 구성된다.
[0045] 챔버 어셈블리(315)는 또한, 전형적으로, 프로세싱 동안에 기판을 지지하도록 적응된 기판 지지 어셈블리(371)를 포함할 것이다. 몇몇 경우들에서, 기판 지지 어셈블리(371)는 또한, 프로세싱 동안에, 전극 어셈블리(273)에 관하여 기판을 병진이동시키거나 또는 회전시키도록 적응된 하나 또는 그 초과의 액추에이터들(미도시)을 포함할 수 있다. 기판(301)이 병진이동되거나 또는 회전되도록 요구하는 애플리케이션들에서, 액추에이터 또는 모터와 같은 구동 컴포넌트들 중 몇몇이, 프로세싱 구역(310) 외부에 위치되고, 통상적인 진공 피드-스루 또는 다른 유사한 기계적인 디바이스를 사용하여, 프로세싱 구역(310) 내에서 기판(301)을 지지하는 엘리먼트들에 커플링된다. 몇몇 구성들에서, 액추에이터들 중 하나 또는 그 초과는, 도 3에서 Z-방향에서 측정되는 원하는 갭(372)이 기판(301)과 전극 어셈블리(273) 사이에 형성되도록, 전극 어셈블리(273)에 관하여 기판(301)을 위치시키도록 적응된다.
[0046] 위에서 기재된 바와 같이, 빔 추출 어셈블리(270)는 전형적으로, 가스 소스(271), 플라즈마 생성 소스(272), 및 전극 어셈블리(273)를 포함한다. 일 구성에서, 도 3에서 예시된 바와 같이, 가스 소스(271)는 일반적으로, 빔 추출 어셈블리(270)의 플라즈마 생성 구역(332)으로 프로세스 가스(예컨대, 가스 원자들, 가스 상 분자들, 또는 다른 증기 함유 재료들)를 전달하도록 각각 구성된 하나 또는 그 초과의 개별적인 가스 소스들(341)을 포함한다. 가스 소스(341)는, 위에서 그리고 아래에서 추가로 논의되는 바와 같이, 입자 빔(205)의 적어도 일부를 형성하기 위해 사용되는, 비활성 가스 및/또는 에천트 가스를 포함할 수 있는 프로세스 가스를 전달하도록 구성된다.
[0047] 도 3을 참조하면, 펌핑 시스템(311)은 또한, 프로세싱 구역(310) 및 플라즈마 생성 구역(332)에 개별적으로 연결될 수 있고, 그에 따라, 각각의 구역에서 상이한 압력들이 유지될 수 있다. 일 예에서, 펌핑 시스템(311), 가스 전달 소스(317), 및/또는 가스 소스들(341)은, 프로세싱 동안에, 프로세싱 구역(310)보다 더 큰 압력으로 플라즈마 생성 구역(332)을 유지하기 위해, 함께 작동하도록 구성된다. 일 구성에서, 플라즈마 생성 구역(332)은, 펌핑 시스템(311)으로부터 분리되고 원하는 레벨로 플라즈마 생성 구역(332)에서의 압력을 유지하도록 구성된 펌프(미도시)를 포함한다.
[0048] 위에서 기재된 바와 같이, 플라즈마 생성 소스(272)는 일반적으로, 하나 또는 그 초과의 가스 소스들(341)로부터 전달되는 프로세스 가스를 사용하여, 플라즈마 생성 구역(332)에서 플라즈마(335)를 형성하도록 구성된 전자기 에너지의 소스를 포함한다. 플라즈마 생성 소스(272)는, 플라즈마 생성 구역(332)과 전기적으로 소통하는 안테나(331) 및 전력 소스(330)를 포함할 수 있다. 일 비-제한적인 예에서, 안테나(331)는, 프로세싱 동안에, 전력 소스(330)로부터 안테나(331)로 무선 주파수(RF) 에너지가 전달되는 경우에, 플라즈마 생성 구역(332)에서 플라즈마(335)를 생성하도록 적응된 용량성으로 커플링된 전극일 수 있다.
[0049] 전극 어셈블리(273)는, 하나 또는 그 초과의 입자 빔들(305)을 형성하고, 빔 전달 엘리먼트(322)에 형성된 하나 또는 그 초과의 구멍들(321)을 통해 기판(301)의 표면으로 전달하도록, 플라즈마 생성 구역(332) 내에서 형성된 대전된 입자들을 추출하기 위해 사용되는, 빔 전달 엘리먼트(322) 및 빔 제어기(350)를 포함할 수 있다. 구멍(321)의 형상은, 빔 전달 엘리먼트(332)에 의해, 리본 형상 또는 원통형 형상의 빔과 같은 원하는 형상을 갖는 빔이 생성되도록, 형성된다. 몇몇 구성들에서, 구멍(321)은 또한, 프로세싱 동안에, 기판의 표면의 원하는 부분 또는 구역으로 입자 빔(205)을 지향시키도록 위치되고 정렬된다. 시스템 제어기(390)는 일반적으로, 빔 전달 엘리먼트(322) 및 빔 제어기(350)에서 발견되는 다양한 컴포넌트들에 커맨드들을 전송함으로써, 하나 또는 그 초과의 에너제틱 입자 빔들(205)의 생성 및 전달을 제어하도록 구성된다.
[0050] 챔버 어셈블리(315)는 또한, 시스템 제어기(390)와 소통하고 프로세싱 챔버(300)의 프로세싱 구역(310)에 에너지를 전달하도록 구성된 바이어스 어셈블리(360)를 포함할 수 있다. 바이어스 어셈블리(360)는 일반적으로, 접지에 커플링되고, 플라즈마 개질 프로세스를 수행하는 동안에 또는 수행한 후에 기판(301) 상에서 발견되는 임의의 축적된 전하를 제거하기 위해 사용될 수 있는 소스(363) 및 지지 전극(364)을 포함한다. 기판 상에서 발견되는 임의의 잔여의 전하를 제거하기 위해, 소스(363)는, 프로세싱 구역(310)에서 수행되는 플라즈마 개질 프로세스의 하나 또는 그 초과의 페이즈들 동안에 기판(301) 위에 플라즈마를 형성하도록 구성된 AC 또는 고 주파수 전력 소스(예컨대, 2 MHz 내지 200 MHz 전력 소스)를 활용할 수 있다. 형성된 플라즈마가, 기판에서의 임의의 저장된 전하가 소산되게 허용할 접지로의 경로를 제공할 것으로 생각된다. 몇몇 경우들에서, 바이어스 어셈블리(360)는 또한, 플라즈마 개질 프로세스 동안에 기판(301)의 표면을 스트라이킹(strike)하는 입자 빔(205)의 에너지 및/또는 궤도를 제어하는 것을 돕기 위해 사용될 수 있다.
[0051] 도 4는, 프로세싱 챔버(300)의 프로세싱 구역(310) 내에 배치된 기판(301)의 평면도이다. 도 4에서 예시된 바와 같이, 기판(301)은, 내부에 형성된 복수의 피처들(301B)을 포함하는 복수의 다이(301A)를 포함할 수 있다. 피처들(301B)은 일반적으로, 입자 빔 개질 프로세스 동안에 평탄화될, 기판(301)의 비-평탄한 표면(301C)에서의 돌출부들 및 오목부(depression)들을 포함할 것이다. 피처들(301B)은 도 2와 함께 위에서 논의된 피처들(201)과 유사할 수 있다.
[0052] 일 구성에서, 도 4에서 예시된 바와 같이, 단일의 리본 형상의 입자 빔(205)이, 기판(301)의 비-평탄한 표면(301C)을 평탄화하기 위해, 기판(301)의 표면에 걸쳐 전달된다. 몇몇 실시예들에서, 기판(301)은, 기판(301) 상의 복수의 피처들(301B)의 방향 성질이, 비-평탄한 표면(301C)을 균등하게 평탄화하기 위한 입자 빔(205)의 능력을 방해하지 않는 것을 보장하기 위해, 기판 중심(301D)을 중심으로 입자 빔(205)에 관하여 "R"로 회전된다. 이러한 구성에서, 프로세싱 챔버(300)는, 기판(301)이 프로세싱 구역(310) 내에 배치되는 경우에, 입자 빔(205)에 관하여 기판(301)을 위치시키고, 지지하고, 회전시키도록 구성된 회전 기판 지지 어셈블리(371)(도 3)를 포함할 수 있다. 입자 빔(205)에 관하여 기판(301)의 표면의 각도 배향을 변화시킴으로써, 입사하는 입자 빔(205)에 관한 피처들(301B)의 상이한 배향에 의해 생성되는 임의의 섀도잉 효과(shadowing effect)들이 감소 또는 최소화될 수 있고, 이는, 기판(301)의 비-평탄한 표면(301C)을 균일하게 평탄화하기 위한 빔 추출 어셈블리(270)의 능력을 개선할 것이다.
[0053] 도 5a는, 빔 추출 어셈블리(270) 내에서 발견되는 빔 제어기(350)에 커플링된 빔 전달 엘리먼트(322)의 일부의 개략적인 단면도이다. 일 구성에서, 도 5a에서 예시된 바와 같이, 빔 제어기(350)는, 플라즈마 생성 구역(332)에서 생성된 대전된 입자들(예컨대, 이온들)을 추출하고, 입자 빔(205)을 형성하고, 빔 전달 엘리먼트(322)에 형성된 하나 또는 그 초과의 구멍들(321)을 통해 기판(301)의 표면의 원하는 구역으로 원하는 방향으로 전달하도록 구성된, "트라이오드(triode)" 어셈블리와 같은 "다-엘리먼트 추출 어셈블리"를 포함한다. 대전된 입자들의 추출은, 빔 전달 엘리먼트(322)의 빔 추출 컴포넌트들에 위치된 전극들에 의해 생성되는 전기장들에 의해 행해진다. 추출 조건들은, 플라즈마 경계의 기하형상, 및 빔 전달 엘리먼트(322)에서 발견되는 빔 추출 컴포넌트들에 인가되는 상대적인 바이어스들에 따라 좌우된다. 일 구성에서, 빔 전달 엘리먼트(322)는 일반적으로, 플라즈마 구멍 전극(511), 국부적인 접지 전극(512), 및 스티어링(steering) 전극들(513)을 포함할 것이고, 이들 모두는, 빔 제어기(250)에서 발견되는 다양한 바이어싱 컴포넌트들에 연결된다. 전력 소스들(521, 531, 532, 및 541)과 같은, 빔 제어기(350)에서 발견되는 바이어싱 컴포넌트들은, 각각, 포지티브 또는 네거티브 직류(DC), 교류(AC), 및/또는 무선 주파수(RF) 제공 전위에서 다양한 연결된 전극들을 구동시킬 수 있는 전력 공급부들을 포함할 수 있다. 플라즈마 구멍 전극(511)은, 일반적으로 입자 빔(205)의 형성에 참여하지 않는 비활성 구역(518)을 포함할 수 있다. 또한 본원에서 억제(suppression) 전극(들)이라고 종종 지칭되는 스티어링 전극들(513)은, 빔 제어기(350)에서 발견되는 다양한 바이어싱 컴포넌트들에 연결된, 제 1 스티어링 전극(514) 및/또는 제 2 스티어링 전극(515)을 포함할 수 있다. 전극들(511 내지 515)은, 동일한 전압 전위를 공유하기 위해 서로 전기적으로 커플링된 재료의 2개 또는 그 초과의 전도성 피스(piece)들을 포함할 수 있다. 대안적으로, 전극들(511 내지 515)의 각각의 세트는, 입자 빔(205)을 형성하거나 또는 생성하기 위해 구멍을 각각 갖는 단일 피스의 구조일 수 있다. 따라서, 이러한 경우에, 전극들의 각각의 세트는, 단일 전압 전위를 갖는 단일 전극으로 생각될 수 있다. 빔 전달 엘리먼트(322)에서 발견되는 다양한 전극들에 형성된 구멍들(321)은, 원형 형상, 타원형 형상, 슬롯 형상(예컨대, 슬릿은 적어도 2개의 방향들에서 상이한 종횡비를 가짐), 또는 임의의 다른 바람직한 형상을 가질 수 있다.
[0054] 동작 시에, 플라즈마 구멍 전극(511), 스티어링 전극들(513), 및 국부적인 접지 전극(512)은, 방향 및 입자 빔 에너지(예컨대, 운동 에너지)와 같은 입자 빔(205)의 특성들이 제어될 수 있도록, 독립적으로 바이어싱될 수 있다. 초기에, 선택적인 전력 소스(521)는, 플라즈마(335)에서 발견되는 이온들이 플라즈마 구멍 전극(511) 및/또는 스티어링 전극들(513)을 향하여 가속될 수 있도록, 플라즈마 구멍 전극(511) 상에 레퍼런스 바이어스를 제공하도록 구성된다. 플라즈마(335)에서 포지티브 및 네거티브 이온들을 형성하는 것이 가능할 수 있기 때문에, 그에 따라, 다양한 전극들에 인가되는 바이어스들이, 원하는 조성 및 에너지를 갖는 입자 빔(205)을 생성하고, 기판(301)의 표면으로 전달하기 위해 조정될 수 있다.
[0055] 몇몇 구성들에서, 플라즈마 구멍 전극(511)은 선택적인 전력 소스(521)에 의해 네거티브 전위(예컨대, DC, AC, 또는 RF 전위)로 유지되고, 따라서, 플라즈마 생성 소스(272)에 의해 형성되는 플라즈마 전위가 플라즈마 구멍 전극(511)에 관하여 조정되고, 그에 따라, 공급 준비가 된 이온들이 플라즈마(335)에서 생성될 수 있고 지속될 수 있고, 형성된 이온들의 일부가 플라즈마(335)와 플라즈마 구멍 전극(511) 사이에 형성된 상대적인 바이어스에 의해 추출되게 허용할 수 있다. 플라즈마(335)에서 형성된 이온들은, 예컨대 약 10 eV 내지 약 5 keV의 초기 에너지로, 플라즈마 구멍 전극(511)에 형성된 구멍(321)에 진입할 수 있다. 플라즈마 구멍 전극(511)에 형성된 구멍(321)에 진입하는 이온들의 에너지는, 전력 소스(521)에 의해 플라즈마 구멍 전극(511)에 인가되는 바이어스를 변경함으로써 조정될 수 있다.
[0056] 이제, 형성된 입자 빔(205)의 일부를 형성하는, 구멍(321)에 진입하는 이온들은, 전력 소스들(531 및 532) 중 어느 하나 또는 양자 모두에 의해, 플라즈마 구멍 전극(511)과 스티어링 전극들(513) 사이에 인가되는 포워드 바이어스로 인해, 가속된다(예컨대, 증가된 운동 에너지). 일반적으로, "포워드 바이어스"는, 입자 빔에서의 이온들이 플라즈마 구멍 전극(511)으로부터 국부적인 접지 전극(512)으로 통과됨에 따라, 이들이 가속되게 하는 바이어스의 인가를 포함할 것이다. 일 예에서, 플라즈마(335)에서 생성된 이온들이 포지티브 전하를 갖는 경우들에 대해, 스티어링 전극들(513) 중 적어도 하나와 플라즈마 구멍 전극(511) 사이에 인가되는 상대적인 바이어스는, 대략 네거티브 5 내지 15 keV, 예컨대 약 10 keV일 수 있다.
[0057] 그 후에, 스티어링 전극들(513)에 또는 그 사이에 형성된 슬릿을 통과하는 대전된 입자들의 에너지는, 스티어링 전극들(513)과 국부적인 접지 전극(512) 사이에 생성되는 상대적인 바이어스에 의해 영향을 받는다. 전형적으로, 스티어링 전극들(513)과 국부적인 접지 전극(512) 사이에 형성되는 상대적인 바이어스는 감속 필드일 것이다. 또한, 일반적으로, 국부적인 접지 전극(512) 및 기판(301)이 동일한 전위로 유지되는 것이 바람직하다. 일 예에서, 국부적인 접지 전극(512) 및 기판(301)은 접지 전위로 유지된다. 전형적으로, 기판 지지 어셈블리(371)에 형성된 하나 또는 그 초과의 전도성 엘리먼트들(552)이, 국부적인 접지 전극(512)과 기판(301) 사이에 생성되는 상대적인 바이어스를 제어하기 위해 사용된다. 기판 지지 어셈블리(371) 내의 유전체 재료(551)에 배치될 수 있는 복수의 전도성 엘리먼트들(552)은 기판(301)의 표면과 전기적으로 소통할 수 있다. 일 예에서, 전도성 엘리먼트들(552)은, 기판 지지 어셈블리(371)의 기판 지지 표면 상에 형성된 금속성 엘리먼트들을 포함할 수 있거나, 또는 개별적인 전도성 리프트 핀들, 또는 프로세싱 챔버(300) 내에서 발견되는 다른 챔버 엘리먼트들의 사용에 의해 형성된다.
[0058] 도 5a를 다시 참조하면, 몇몇 구성들에서, 전력 소스(523)(예컨대, DC 또는 RF 전위)에 의해, 스티어링 전극들(513) 및 국부적인 접지 전극(512)에 인가되는 전위를, 접지에 대한 전위가 바람직한 레벨에 있도록 조정하는 것이 바람직하다. 몇몇 경우들에서, 국부적인 접지 전극(512)과 스티어링 전극들(513) 사이에 형성되는 상대적인 바이어스는, 형성된 입자 빔(205)에서 발견되는 대전된 입자들을 감속(예컨대, 감소된 운동 에너지)시키기 위해 사용된다. 감속 필드가 요구되고, 형성된 입자 빔(205)에서의 대전된 입자들이 포지티브로 대전되는 경우에서, 국부적인 접지 전극(512)과 스티어링 전극들(513) 사이에 형성되는 상대적인 바이어스는 포지티브이거나, 또는 즉, 스티어링 전극들(513)과 플라즈마 구멍 전극(511) 사이에 생성되는 바이어스보다 덜 네거티브이다.
[0059] 국부적인 접지 전극(512)에 형성된 슬릿 또는 구멍에 도달할 시에, 입자 빔(205)은, 예컨대 대략 0.1 keV 및 약 20 keV의 에너지를 가질 수 있다. 다른 예에서, 입자 빔(205) 에너지는 약 5 keV 내지 약 10 keV일 수 있다. 그 후에, 국부적인 접지 전극(512)에 형성된 슬릿 또는 구멍에서 빠져나가는 입자들은, 기판의 노출된 표면으로 유동하고, 기판의 노출된 표면을 스트라이킹하여, 기판의 표면을 개질할 것이다(예컨대, 기판의 표면을 평탄화할 것이다). 하나의 경우에서, 형성된 입자 빔(205)에서의 입자들은, 기판의 표면으로 국부적인 접지 전극(512)에 형성된 슬릿의 탈출 시에 달성된 운동 에너지로 "드리프트(drift)"한다. 다른 경우에서, 바이어스 어셈블리(360)에서의 소스(363)가, 지지 전극(364)에 바이어스를 인가함으로써(예컨대, DC 또는 RF 바이어스 전위를 인가함으로써), 입자 빔(205)에서의 이온들의 에너지를 변경하기 위해 사용된다.
[0060] 몇몇 실시예들에서, 전극 어셈블리(273)는 또한, 빔 전달 엘리먼트(322)에 의해 생성되는 필드 라인들의 형상을 능동적으로 또는 수동적으로 제어하도록 적응된 전기장 제어 어셈블리(540)를 포함할 수 있다. 빔 전달 엘리먼트(322) 내의 컴포넌트들에 의해 생성되는 필드 라인들의 형상의 제어는, 입자 빔(205)에 형성된 대전된 입자들의 궤도를 효과적으로 제어하는데 유용할 수 있다. 일 구성에서, 전기장 제어 어셈블리(540)는, 프로세스 챔버(300)의 프로세싱 구역(310)을 통해 연장되는 전기장 라인들의 형상을 변경하기 위해, 기판(301)과 빔 전달 엘리먼트(322) 내에서 발견되는 컴포넌트들 사이에 위치된 유전체 재료, 반도체 재료, 또는 전도성 재료의 층을 포함할 수 있는 제어 엘리먼트(542)를 포함한다. 제어 엘리먼트(542)는, 빔 전달 엘리먼트(322) 내에서 발견되는 다양한 컴포넌트들 근처에 또는 근방에 위치될 수 있다.
[0061] 일 구성에서, 전기장 제어 어셈블리(540)는, 제어 엘리먼트(542)가 스티어링 전극들(514, 515) 중 하나 또는 그 초과와 동일한 전위로 유지되도록, 스티어링 전극들(513) 중 하나 또는 그 초과에 전기적으로 커플링된 제어 엘리먼트(542)를 포함한다(도 5b). 일 예에서, 제어 엘리먼트(542)는, 프로세싱 구역(310)을 통해 연장되는 전기장 라인들의 형상을 변경하거나 또는 형성을 억제하기 위해 사용되는 전도성 메시 또는 그리드를 포함할 수 있다.
[0062] 다른 구성에서, 전기장 제어 어셈블리(540)는, 시스템 제어기(390)로부터 전송되는 커맨드들의 사용에 의해, 프로세싱 구역(310)을 통해 연장되는 전기장 라인들의 형상을 능동적으로 제어하기 위해, 전력 소스(541)에 의해 개별적으로 바이어싱되는 제어 엘리먼트(542)를 포함한다. 이러한 구성에서, 제어 엘리먼트(542)는, 프로세싱 구역(310)을 통해 연장되는 전기장 라인들의 형상을 변경하기 위해, 스티어링 전극(들)(514, 515)에 인가되는 전위와 상이할 수 있는 전위로 개별적으로 바이어싱된다. 추출된 이온들이 포지티브 전하를 갖는 경우에, 제어 엘리먼트(542)에 인가되는 바이어스는 네거티브 전위를 가질 것이고, 추출된 이온들이 네거티브 전하를 갖는 경우에, 제어 엘리먼트(542)에 인가되는 바이어스는 포지티브 전위를 가질 수 있다.
[0063] 몇몇 실시예들에서, 원하는 방향으로 입자 빔(205)의 궤도를 변경하기 위해, 스티어링 전극들(513) 중 하나 또는 그 초과에 바이어스가 인가될 수 있다. 도 5b는, 본원에서 설명되는 실시예에 따른, 빔 추출 어셈블리(270) 내에서 발견되는 빔 전달 엘리먼트(322)의 일부의 개략적인 단면도이다. 일 구성에서, 제 1 스티어링 전극(514) 또는 제 2 스티어링 전극(515)에 바이어스를 인가함으로써, 입자 빔(205)의 궤도, 그리고 따라서 그러한 입자 빔(205)의 빠져나가는 방향이 변경될 수 있다. 일 예에서, 전력 소스(531)에 의해 제 2 스티어링 전극(515)에 인가되는 바이어스와 대비하여, 전력 소스(532)에 의해 제 1 스티어링 전극(514)에 더 큰 포워드 바이어스를 인가함으로써, 입자 빔은, 입자 빔(205A)을 형성하도록, 제 1 스티어링 전극(514)의 표면(514A)을 향하여 편향되는 경향을 가질 것이다. 대안적으로, 전력 소스(532)에 의해 제 1 스티어링 전극(514)에 인가되는 바이어스와 대비하여, 전력 소스(531)에 의해 제 2 스티어링 전극(515)에 더 큰 포워드 바이어스를 인가함으로써, 빔은, 입자 빔(205B)을 형성하도록, 제 2 스티어링 전극(515)의 표면(515A)을 향하여 편향되는 경향을 가질 것이다.
[0064] 도 5b에서 예시된 바와 같은, 전극 어셈블리(273)의 일 구성에서, 입자 빔(205)에 관한 제 1 스티어링 전극(514) 및/또는 제 2 스티어링 전극(515)의 위치는 액추에이터(560)(예컨대, 선형 서보 모터)의 사용에 의해 조정될 수 있다. 몇몇 경우들에서, 액추에이터(560)는, 전극 어셈블리(273)에서 빠져나가는 입자 빔(205)의 궤도를 조정하기 위해, 빔 전달 엘리먼트(322)에 형성된 구멍(321)의 중심에 관하여, 국부적인 접지 전극(512) 및 스티어링 전극들(514, 515) 중 어느 하나 또는 양자 모두를 이동시킬 수 있다. 따라서, 몇몇 경우들에서, 스티어링 전극들(514, 515) 중 어느 하나에 바람직한 바이어스를 인가하고, 그리고/또는 구멍(321)의 중심에 관하여 국부적인 접지 전극(512) 및 스터어링 전극들(514, 515) 중 어느 하나의 위치를 조정함으로써, 입자 빔(205)의 궤도 또는 방향이 원하는 대로 조정될 수 있다.
[0065] 도 6a는, 본원에서 설명되는 실시예에 따른, 입자 빔 생성 어셈블리의 대안적인 구성의 개략적인 측면도이다. 이러한 경우에서의 빔 전달 엘리먼트(322)는, 볼록한 형상으로 형성되고, 빔 추출 어셈블리(270) 내에서 발견되는 빔 제어기(350)(미도시)에 유사하게 커플링된다. 도시된 바와 같이, 추출 어셈블리(270)는, 플라즈마 생성 구역(332)에서 생성된 대전된 입자들을 추출하고, 입자 빔들(2051, 2052, 및 2053)과 같은 하나 또는 그 초과의 입자 빔들을 형성하고, 빔 전달 엘리먼트(322)에 형성된 하나 또는 그 초과의 구멍들(321)을 통해 기판(301)의 표면으로 전달하도록 구성된 "트라이오드" 어셈블리를 포함한다. 이러한 구성에서, 빔 전달 엘리먼트(322)는 일반적으로, 적어도 플라즈마 구멍 전극(611), 국부적인 접지 전극(612), 및 스티어링 전극들(613)을 포함할 것이고, 이들은, 위에서 유사하게 논의된 바와 같이, 빔 제어기(350)에서 발견되는 다양한 바이어싱 컴포넌트들에 연결된다. 스티어링 전극들(613)은, 생성된 입자 빔들(2051, 2052, 및 2053) 각각에 제공되는 에너지 및 궤도를 개별적으로 제어하기 위해, 빔 제어기(350)에서 발견되는 개별적인 바이어싱 컴포넌트들(미도시)에 각각 연결된 스티어링 전극들(6141 내지 6143 및 6151 내지 6153)을 포함할 수 있다. 몇몇 구성들에서, 플라즈마 구멍 전극(611) 및/또는 국부적인 접지 전극(612)이 또한, 각각의 입자 빔에 의해 기판에 궁극적으로 전달되는 에너지의 양을 제어하기 위해, 빔들(2051 내지 2053) 각각에 상이한 바이어스가 인가될 수 있도록, 세그먼팅될 수 있다. 일 예에서, 빔 전달 엘리먼트(322)는, 기판의 표면에 대해 직각인 각도로 배향된 입자 빔(2051), 및 표면에 대한 직각 이외의 표면에 대한 각도에 있는 적어도 하나의 다른 입자 빔(2052) 또는 입자 빔(2053)을 포함한다. 직각인 또는 거의 직각인 각도로 지향되는 빔(2051)은 전형적으로, 높은 스퍼터율(또는 재료 제거 효율)을 가질 것인 한편, 다른 입자 빔(2052) 또는 입자 빔(2053)은 더 우수한 평활화 효율을 갖는 경향을 가질 것이다. 2개의 상이한 각도들로 전달되는 2개의 입자 빔들의 사용에 의해 수행되는 평탄화 프로세스는, 기판의 표면을 신속하게 플라즈마 평탄화할 수 있고, 또한, 기판 표면이 바람직한 편평도 및 표면 평활도를 갖도록, 기판 표면을 성형(shape)할 수 있는 플라즈마 개질 프로세스를 제공할 수 있다.
[0066] 도 6b는, 본원에서 설명되는 실시예에 따른, 입자 빔 생성 어셈블리의 다른 구성의 개략적인 측면도이다. 이러한 경우에서의 빔 전달 엘리먼트(322)는, 구형 형상으로 형성되고, 빔 추출 어셈블리(270) 내에서 발견되는 빔 제어기(350)(미도시)에 유사하게 커플링된다. 예시된 구형 형상의 빔 전달 엘리먼트(322) 구성으로 제한하도록 의도되지 않지만, 일 예에서, 빔 추출 어셈블리(270)는, 기판(301)의 표면에 대한 각도로 각각 전달되는 2개의 입자 빔들(2051 및 2052)을 형성하고 전달하도록 구성된다. 이러한 구성에서, 빔 전달 엘리먼트(322)는 일반적으로, 적어도 플라즈마 구멍 전극(611), 국부적인 접지 전극(612), 및 스티어링 전극들(613)을 포함할 것이고, 이들은, 위에서 유사하게 논의된 바와 같이, 빔 제어기(350)에서 발견되는 다양한 바이어싱 컴포넌트들에 연결된다. 스티어링 전극들(613)은, 생성된 입자 빔들(2051 및 2052) 각각에 제공되는 에너지 및 궤도를 개별적으로 제어하기 위해, 빔 제어기(350)에서 발견되는 개별적인 바이어싱 컴포넌트들에 연결된 스티어링 전극들(6141 및 6142, 및 6151 및 6152)을 포함할 수 있다. 몇몇 구성들에서, 제 2 전극은, 각각의 빔에 의해 기판에 궁극적으로 전달되는 에너지의 양을 제어하기 위해, 입자 빔들(2051 및 2052) 각각에 상이한 바이어스가 인가될 수 있도록, 세그먼팅될 수 있다(예컨대, 국부적인 접지 전극들(6121 및 6122).
[0067] 도 6c 및 도 6d는, 본원에서 설명되는 빔 추출 어셈블리들 중 하나 또는 그 초과에 의해 형성될 수 있는 입자 빔들(205)의 형상들의 몇몇 예들의 개략적인 평면도들이다. 본원에서 제공되는 입자 빔(205)의 개략적인 표현들의 대부분이 도 4, 도 8, 도 9, 및 도 12에서 도시된 입자 빔들(205)과 같은 선형 형상을 갖지만, 이러한 구성은 본원에서 제공되는 본 발명의 범위에 대해 제한적이도록 의도되지 않는다. 도 6c에서 예시된 바와 같이, 몇몇 경우들에서, 입자 빔(205)은, 입자 빔(205)이 기판의 표면을 스트라이킹하는 경우에, 휘어진 또는 아치형 형상과 같은 비-선형 형상을 갖는다. 다른 예에서, 도 6d에서 예시된 바와 같이, 입자 빔(205)은, 입자 빔(205)이 기판의 표면을 스트라이킹하는 경우에, 다수의 세그먼팅된 커브를 형성하도록 성형된다. 기판의 표면을 스트라이킹하는 입자 빔(205)의 형상은 또한, 빔 전달 엘리먼트(322)에서 발견되는 슬릿(들)(321)의 형성된 형상을 조정함으로써, 그리고/또는 빔 추출 어셈블리(270)에 형성된 (예컨대, 단일 리본 빔의 다양한 부분들의 궤도를 변경하기 위해 사용되는) 구멍 근처에 배치된 다-세그먼팅된 스티어링 전극들(514, 515)(도 5a 및 도 5b)의 사용에 의해, 제어될 수 있다.
[0068] 프로세싱 챔버(300)의 몇몇 구성들에서, 평탄화 프로세스가 완료된 때를 결정하기 위해, 플라즈마 개질 프로세스 엔드포인트 검출 기법이 사용된다. 일 구성에서, 엔드포인트 검출 기법은, 플라즈마 개질 프로세스의 완료로 인해 프로세싱 구역(310) 내에서 발견되는 재료의 특성이 변화된 때, 또는 기판의 표면 상의 층이 제거된 때를 검출할 수 있는 광학 엔드포인트 모니터링 시스템(376)을 포함한다. 일 예에서, 프로세싱 구역 내의 재료의 특성은, 최상부 층이 기판으로부터 실질적으로 제거되고, 기판 상의 다음의 아래놓인 층이 입자 빔(205)에 노출된 후에, 프로세싱 구역에서의 가스 상 농도가 변화됨에 따라, 변화될 수 있다. 일반적으로, 엔드포인트를 검출할 수 있는 광학 모니터링 시스템은, 광 소스(미도시), 광 검출기(미도시), 및 광 소스 및 광 검출기와 원격 제어기(예컨대, 시스템 제어기(390)) 사이에서 신호들을 전송 및 수신하기 위해 사용되는 감지 회로(미도시)를 포함할 수 있다. 일 양상에서, 엔드포인트를 검출하는 방법은, 기판 상에 형성된 제 1 층에 대해 플라즈마 개질 프로세스를 수행하는 것, 및 프로세싱 동안에, 광학 모니터링 시스템을 이용하여, 측정된 광학 스펙트럼들의 시간에 걸친 시퀀스를 획득하는 것을 포함한다. 그 후에, 측정된 광학 스펙트럼은, 이전에 저장된 스펙트럼 및/또는 엔드포인트를 결정하기 위해 사용되는 다른 저장된 파라미터들과 비교될 수 있고, 이는 그 후에, 플라즈마 개질 프로세스를 중단시킬 때를 판정하기 위해 사용될 수 있다. 다른 구성에서, 광학 모니터링 시스템은 반사측정(reflectometry) 프로세스의 사용에 의해 엔드포인트를 검출할 수 있고, 여기에서, 광학 모니터링 시스템은, 광 소스(미도시), 광 검출기(미도시), 및 기판의 프로세싱된 표면으로부터 반사된 신호들을 전송 및 수신하기 위해 사용되는 감지 회로(미도시)를 포함한다. 그 후에, 반사측정 엔드포인트 감지 프로세스에서 사용되는 측정된 광학 신호들은, 시스템 제어기가 플라즈마 개질 프로세스를 중단시킬 때를 결정할 수 있도록, 이전에 저장된 데이터 및/또는 다른 저장된 파라미터들과 비교될 수 있다.
클러스터 툴 구성 예들
[0069] 도 7은, 본 발명의 일 실시예에 따른, 하나 또는 그 초과의 기판 프로세싱 단계들을 수행하기 위해 사용될 수 있는 프로세싱 시스템(700)의 평면도이다. 프로세싱 시스템(700)에서 발견되는 프로세싱 챔버들 중 하나 또는 그 초과는, 본원에서 설명되는 플라즈마 개질 프로세스를 수행하도록 적응된다. 프로세싱 시스템(700)은 일반적으로, 입자 빔 개질 프로세스와 같은 다양한 프로세스들이 기판에 대해 수행될 수 있는 프로세싱 환경을 생성한다. 프로세싱 시스템(700)은 일반적으로, 프로세싱 시스템(700)에서 수행되는 다양한 프로세스들을 수행하도록 프로그래밍된 시스템 제어기(702)를 포함한다.
[0070] 시스템 제어기(702)는 프로세싱 시스템에서 발견되는 하나 또는 그 초과의 컴포넌트들을 제어하기 위해 사용될 수 있다. 몇몇 구성들에서, 시스템 제어기(702)는 위에서 논의된 시스템 제어기(390)의 일부를 형성할 수 있다. 시스템 제어기(702)는 일반적으로, 프로세싱 시스템(700)의 제어 및 자동화를 용이하게 하도록 설계되고, 전형적으로, 중앙 프로세싱 유닛(CPU)(미도시), 메모리(미도시), 및 지원 회로들(또는 I/O)(미도시)을 포함한다. CPU는, 다양한 시스템 기능들, 기판 이동, 챔버 프로세스들, 및 제어 지원 하드웨어(예컨대, 감지기들, 로봇들, 모터들, 램프들 등)를 제어하고, 시스템에서 수행되는 프로세스들(예컨대, 기판 지지부 온도, 전력 공급 변수들, 챔버 프로세스 시간, I/O 신호들 등)을 모니터링하기 위해, 산업 현장들에서 사용되는 임의의 형태의 컴퓨터 프로세서들 중 하나일 수 있다. 메모리는, CPU에 연결되고, 랜덤 액세스 메모리(RAM), 판독 전용 메모리(ROM), 플로피 디스크, 하드 디스크, 또는 국부적인 또는 원격의 임의의 다른 형태의 디지털 스토리지와 같은 쉽게 이용가능한 메모리 중 하나 또는 그 초과일 수 있다. 소프트웨어 명령들 및 데이터는, CPU에게 명령하기 위해, 코딩될 수 있고, 메모리 내에 저장될 수 있다. 지원 회로들은 또한, 통상적인 방식으로 프로세서를 지원하기 위해, CPU에 연결된다. 지원 회로들은, 캐시, 전력 공급부들, 클록 회로들, 입력/출력 회로, 서브시스템들 등을 포함할 수 있다. 시스템 제어기(702)에 의해 판독가능한 프로그램(또는 컴퓨터 명령들)이, 프로세싱 시스템(700)에서 그리고 프로세스 챔버들 중 하나 또는 그 초과에서, 어떤 태스크들이 기판에 대해 수행가능한지를 결정한다. 바람직하게, 프로그램은, 프로세싱 시스템(700)에서 수행되고 있는 다양한 챔버 프로세스 레시피 단계들 및 다양한 프로세스 레시피 태스크들과 함께, 기판의 모니터링, 이동의 실행 및 제어, 지지, 및/또는 위치결정에 관한 태스크들을 수행하기 위한 코드를 포함하는, 시스템 제어기(702)에 의해 판독가능한 소프트웨어이다.
[0071] 프로세싱 시스템(700)은, 이송 챔버(712)에 커플링된 복수의 프로세싱 챔버들(704, 706, 708, 710)을 포함한다. 각각의 프로세싱 챔버(704, 706, 708, 710)는 동시에 하나 또는 그 초과의 기판들(301)을 프로세싱하도록 구성될 수 있다. 프로세싱 챔버(704, 706, 708, 710)는 동일한 또는 상이한 기판 프로세싱 능력들을 가질 수 있다. 예컨대, 프로세싱 챔버(704 및 706)는 동시에 6개의 기판들을 프로세싱할 수 있는 한편, 프로세싱 챔버들(708 및 710)은 동시에 하나 또는 그 초과의 기판들을 프로세싱하도록 적응될 수 있다.
[0072] 프로세싱 시스템(700)은 또한, 이송 챔버(712)에 연결된 로드 락 챔버들(716 및 724)을 포함할 수 있다. 일 실시예에서, 로드 락 챔버들(716 및 724)은 또한, 예컨대, 기판 배향, 기판 검사, 가열, 냉각, 탈기 등과 같은, 프로세싱 시스템(700) 내의 프로세싱을 위한 다양한 기능들을 제공하기 위한 하나 또는 그 초과의 서비스 챔버들로서 사용될 수 있다. 이송 챔버(712)는 이송 볼륨(752)을 정의한다. 기판 이송 로봇(714)이, 프로세싱 챔버들(704, 706, 708, 710), 로드 락 챔버들(716 또는 724) 사이에서 기판들(301)을 이송하기 위해, 이송 볼륨(752)에 배치된다. 이송 볼륨(752)은, 각각, 슬릿 밸브들(744, 746, 748, 750, 742)을 통해, 프로세싱 챔버들(704, 706, 708, 710), 로드 락 챔버들(716 및 724)과 선택적으로 유체 소통한다. 일 예에서, 이송 볼륨(752)은, 기판들이 프로세싱 시스템(700)을 통해 이송되는 동안에, 부기압 압력으로 유지될 수 있다.
[0073] 프로세싱 시스템(700)은, 로드 락 챔버들(716 및 724)과 하나 또는 그 초과의 포드 로더들(722)을 연결시키는 팩토리 인터페이스(718)를 포함한다. 로드 락 챔버들(716 및 724)은, 프로세싱 동안에 진공 상태로 유지될 수 있는 이송 챔버(712)와 팩토리 인터페이스(718) 사이에 제 1 진공 인터페이스를 제공한다. 각각의 포드 로더(722)는, 복수의 기판들을 홀딩 및 이송하기 위한 카세트(728)를 수용하도록 구성된다. 팩토리 인터페이스(718)는, 하나 또는 그 초과의 포드 로더들(722)과 로드 락 챔버들(716 및 724) 사이에서 기판들을 셔틀링하도록 구성된 FI 로봇(720)을 포함한다.
[0074] 기판 이송 로봇(714)은, 프로세싱 챔버들(704, 706, 708, 710), 로드 락 챔버(716 및 724), 및 로딩/언로딩 챔버 각각 사이에서 하나 또는 그 초과의 기판들(301)을 운반하기 위한 로봇 블레이드(730)를 포함한다.
[0075] 각각의 프로세싱 챔버(704, 706, 708, 710)는 본원에서 설명되는 플라즈마 개질 프로세스를 수행하도록 구성될 수 있다. 그러나, 프로세싱 시스템(700)의 일 실시예에서, 프로세스 챔버들(704 및 706)은, 복수의 빔 추출 어셈블리(270)를 사용하여, 복수의 기판들에 대해 플라즈마 개질 프로세스를 수행하도록 적응된다. 일 구성에서, 프로세스 챔버들(708 및 710)은 각각, 기판들(301)이 어느 하나의 프로세싱 챔버(704 또는 706) 내로 삽입되기 전에, 기판들(301)에 대해 하나 또는 그 초과의 사전 프로세싱(preprocessing) 단계들을 수행하도록, 또는 기판들(301)이 어느 하나의 프로세싱 챔버(704 또는 706)에서 프로세싱된 후에, 기판들(301)에 대해 사후-프로세싱(post-processing) 단계들을 수행하도록 적응될 수 있다. 사전 프로세싱 또는 사후-프로세싱 단계들의 예들은 도 13과 함께 아래에서 추가로 설명된다.
[0076] 프로세싱 시스템(700)의 일 구성에서, 프로세싱 챔버들(704 및 706)은 각각, 각각, 프로세싱 챔버들(704 또는 706)의 프로세싱 구역들(709 또는 715) 내에 보유된 복수의 기판들(301)을 보유 및 수송하도록 구성된 기판 운송(conveyance) 어셈블리(707)를 포함한다. 일 예에서, 기판 운송 어셈블리들(707) 각각은, 6개의 기판들(301)을 보유하고, 통상적인 회전 하드웨어 컴포넌트들의 사용에 의해, 프로세싱 챔버(704 또는 706)의 중심 축(711)을 중심으로 기판들(301)을 회전시키도록 적응된다. 따라서, 기판 운송 어셈블리(707)는, 각각, 프로세싱 챔버(704 또는 706)의 프로세싱 구역(709 또는 715)에서 발견되는 기판들(301)을 프로세싱하도록 위치된 빔 추출 어셈블리들(270) 각각에 관하여, 기판들(301)을 이송할 수 있고 위치시킬 수 있다.
[0077] 몇몇 구성들에서, 도 7에서의 프로세싱 챔버(704)에서 예시된 바와 같이, 기판 운송 어셈블리(707) 상에 배치된 기판들(301) 각각은, 기판 회전 어셈블리(732)의 사용에 의해, 빔 추출 어셈블리(270)에 관하여 회전될 수 있다. 기판 회전 어셈블리(732)는 일반적으로, 기판 운송 어셈블리(707)에 관하여 기판 지지 엘리먼트(미도시)를 회전시키도록 구성된 액추에이터(미도시)를 포함한다.
[0078] 그러나, 몇몇 실시예들에서, 각각의 빔 추출 어셈블리(270)에 의해 생성된 입자 빔(205)은, 기판의 표면(예컨대, X-Y 평면)에 관하여 회전 또는 병진이동될 수 있다. 이러한 경우에, 각각의 빔 추출 어셈블리(270) 내에서 발견되는 액추에이터(미도시)가, 기판의 표면 상에 형성된 피처들의 배향에 의해 생성되는 임의의 섀도잉 효과들을 최소화하기 위해, 기판에 관하여 빔 전달 엘리먼트(322)(도 3)를 회전 또는 병진이동시키도록 구성된다.
[0079] 프로세싱 챔버(704)에서 수행되는 프로세스 시퀀스 동안에, 예컨대, 이송 로봇(714)은 기판 운송 어셈블리(707) 상의 개방 위치로 기판(301)을 전달하고, 그 후에, 기판 운송 어셈블리(707) 및 시스템 제어기(702)는, 프로세싱을 위해 빔 추출 어셈블리들(270) 중 하나 아래로 기판(301)을 위치시키도록 함께 작동한다. 이송 로봇(714)은, 프로세싱 챔버(704)에서의 모든 위치들이 채워질 때까지, 기판들을 순차적으로 로딩하는 이러한 태스크를 반복할 수 있고, 그 후에, 모든 기판들(301)에 대해 배치 프로세싱 플라즈마 개질 프로세싱 시퀀스가 동시에 수행된다. 기판(들)이 프로세싱 챔버(704) 내에서 충분히 프로세싱된 후에, 이송 로봇(714)은 프로세싱 구역(709)으로부터 기판을 제거하도록 구성된다.
[0080] 대안적으로, 몇몇 경우들에서, 이송 로봇(714)은, 필요에 따라, 기판들을 삽입할 수 있고, 프로세싱 챔버(704)로부터 제거할 수 있고, 그에 따라, 프로세싱 챔버(704) 내로 연속적으로 로딩되는 각각의 기판(301)에 대해, 순차적으로 수행되는 플라즈마 개질 프로세스가 수행될 수 있다. 몇몇 프로세싱 구성들에서, 기판 운송 어셈블리(707)는, 빔 추출 어셈블리들(270) 각각 아래로, 수용된 기판들을 순차적으로 위치시키도록 구성되고, 그에 따라, 기판이 프로세싱 챔버에서 빠져나가기 전에, 빔 추출 어셈블리들(270) 각각에 의해, 플라즈마 개질 프로세싱 시퀀스의 적어도 일부가 기판에 대해 수행된다. 일 구성에서, 프로세싱 챔버(704) 내의 빔 추출 어셈블리들(270) 각각은, 순차적인 프로세스 동안에, 기판의 표면에 동일한 타입의 입자 빔(205)을 개별적으로 제공하도록 구성된다. 다른 구성에서, 빔 추출 어셈블리들(270) 중 2개 또는 그 초과는 각각, 빔 에너지, 빔 방향, 빔 조성(예컨대, 가스 이온들), 또는 다른 유용한 특성과 같은 몇몇 상이한 프로세싱 특성을 갖는 입자 빔(205)을 기판의 표면으로 개별적으로 제공하도록 적응된다. 일반적으로, 빔 추출 어셈블리들(270)에서 빠져나가는 생성된 입자 빔(205)의 방향은, 3D 공간에서 정의될 수 있고, 따라서, 일 예에서, 빔 각도(예컨대, 각도(210)), 및 기판 운송 어셈블리(707)의 방사상 위치에 관한 빔 각도에 의해, 적어도 부분적으로 정의될 수 있다.
[0081] 프로세싱 챔버들(704 또는 706)의 몇몇 구성에서, 빔 추출 어셈블리들(270) 각각 아래의 영역 또는 구역은, 각각의 빔 추출 어셈블리(270) 아래에서 상이한 프로세싱 환경들이 유지될 수 있도록, 인접한 빔 추출 어셈블리들(270)로부터 격리될 수 있다. 일 구성에서, 각각의 빔 추출 어셈블리(270) 주위에, 적어도 부분적으로 에워싸인 구역(예컨대, 가스 커튼 또는 물리적인 벽들)이 형성되고, 그에 따라, 기판들(301) 각각이 기판 운송 어셈블리(707)에 의해 서브-프로세싱 구역 내에 위치될 수 있고, 빔 추출 어셈블리(270)에 의해 개별적으로 프로세싱될 수 있다.
[0082] 도 8은, 본 발명의 일 실시예에 따른, 하나 또는 그 초과의 기판 프로세싱 단계들을 수행하기 위해 사용될 수 있는 프로세싱 시스템(800)의 평면도이다. 프로세싱 시스템(800)에서 발견되는 2개의 프로세싱 챔버들(802 및 804)은, 본원에서 설명되는 플라즈마 개질 프로세스의 적어도 일부를 수행하도록 적응된다. 프로세싱 챔버들(802 및 804)은, 위에서 설명된 이송 챔버(712)에 커플링된다. 이러한 예에서, 각각의 프로세싱 챔버(802 및 804)는 동시에 8개의 기판들(301)을 프로세싱하도록 구성된다. 위에서 유사하게 논의된 바와 같이, 프로세싱 시스템(800)은 또한, 이송 챔버(712)에 연결된 로드 락 챔버들(716 및 724)을 포함한다. 기판 이송 로봇(714)이, 프로세싱 챔버들(802 및 804) 및 로드 락 챔버들(716 또는 724) 사이에서 기판들(301)을 이송하기 위해, 이송 볼륨(752)에 배치된다.
[0083] 프로세싱 챔버들(802 및 804)은 각각, 각각, 프로세싱 챔버(802 또는 804)의 프로세싱 구역들 내에 보유된 복수의 기판들(301)을 보유 및 수송하도록 구성된 기판 운송 어셈블리(810)를 포함할 수 있다. 일 예에서, 기판 운송 어셈블리들(810) 각각은, 8개의 기판들(301)을 보유하고, 통상적인 회전 하드웨어 컴포넌트들의 사용에 의해, 프로세싱 챔버(802 또는 804)의 중심 축을 중심으로 기판들(301)을 회전시키도록 적응된다. 따라서, 기판 운송 어셈블리(810)는, 프로세싱 챔버(802 또는 804)의 프로세싱 구역에서 발견되는 입자 빔들(205) 각각에 관하여, 기판들(301)을 이송할 수 있고 위치시킬 수 있다.
[0084] 몇몇 구성들에서, 도 8에서의 프로세싱 챔버(802)에서 예시된 바와 같이, 기판 운송 어셈블리(810) 상에 배치된 기판들(301) 각각은, 기판 회전 어셈블리(832)의 사용에 의해, 입자 빔들(205) 각각에 관하여 회전될 수 있다. 기판 회전 어셈블리(832)는 일반적으로, 기판 운송 어셈블리(810)에 관하여 기판 및 기판 지지 엘리먼트(미도시)를 회전시키도록 구성된 액추에이터(미도시)를 포함한다.
[0085] 대안적으로, 몇몇 구성들에서, 도 8에서의 프로세싱 챔버(804)에서 예시된 바와 같이, 빔 추출 어셈블리(270)에 의해 생성되는 입자 빔들(205) 각각은, 프로세싱 챔버(804)의 중심으로부터 연장되는 방사상 방향에 관한 각도로 배향된다. 이러한 경우에서, 기판들(301)이 프로세싱 챔버(804)의 중심을 중심으로 회전되는 경우에, 기판 운송 어셈블리(810)에 의해 빔 추출 어셈블리들(270) 각각 아래로 기판(301)이 병진이동되기 때문에, 기판(301)에 관하여 입자 빔(205)이 배향되는 상이한 각도로 인해, 기판(301)의 표면 상에 형성된 피처들의 배향에서의 가변성(variability)에 의해 생성되는 임의의 섀도잉 효과들이 고려될 수 있다. 일 예에서, 도 8에서의 프로세싱 챔버(804)에서 예시된 바와 같이, 기판 운송 어셈블리(810)에 의해 기판이 360 도 회전됨에 따라, 입자 빔(205)이, 프로세싱 챔버의 방사상 방향에 관하여, 점진적으로 상이한 각도로 배향된다.
[0086] 도 9는, 본 발명의 일 실시예에 따른, 하나 또는 그 초과의 기판 프로세싱 단계들을 수행하기 위해 사용될 수 있는 프로세싱 시스템(900)의 평면도이다. 프로세싱 시스템(900)에서 발견되는 프로세싱 챔버들(902)은, 본원에서 설명되는 플라즈마 개질 프로세스의 적어도 일부를 수행하도록 적응된다. 프로세싱 챔버(902)는, 이송 챔버(712)에 커플링되고, 위에서 설명된 이송 로봇(714)과 이송가능하게 소통한다. 이러한 비-제한적인 예에서, 프로세싱 챔버(902)는 동시에 16개의 기판들(301)을 프로세싱하도록 구성된다. 위에서 유사하게 논의된 바와 같이, 프로세싱 시스템(900)은 또한, 이송 챔버(712)에 연결된, 다른 프로세싱 챔버들 및 로드 락 챔버들을 포함한다.
[0087] 프로세싱 시스템(900)의 일 구성에서, 프로세싱 챔버(902)는, 프로세싱 챔버(902)의 프로세싱 구역 내에 보유된 복수의 기판들(301)을 보유 및 수송하도록 구성된 기판 운송 어셈블리(904)를 포함한다. 기판 운송 어셈블리들(904)은 일반적으로, 기판들(301)의 그룹들을 보유하고, 통상적인 회전 하드웨어 컴포넌트들의 사용에 의해, 프로세싱 챔버(902)의 중심 축을 중심으로 기판들(301)의 그룹들을 회전(R1)시키고, 통상적인 회전 하드웨어 컴포넌트들의 사용에 의해, 기판들의 그룹을 지지하는 기판 지지부(905)의 중심에 관하여 기판들의 각각의 그룹을 회전(R2)시키도록 적응된다. 몇몇 구성들에서, 도 9에서 예시된 바와 같이, 그룹 운송 어셈블리(910) 상에 배치된 기판 지지부(905) 상에 각각 배치된 기판들(301)의 그룹들은 각각, 회전 어셈블리 컴포넌트들의 사용에 의해, 입자 빔(205)에 관하여 회전될 수 있다. 회전 어셈블리 컴포넌트들은 일반적으로, 기판 운송 어셈블리(910)에 관하여 기판 지지부(905)를 회전시키도록 구성된 액추에이터(미도시)를 포함한다. 기판 운송 어셈블리들(910)은 일반적으로, 기판들(301)의 그룹들을 보유하기 위해 사용되는 하드웨어를 보유하고, 통상적인 회전 하드웨어 컴포넌트들의 사용에 의해, 프로세싱 챔버(902)의 중심 축을 중심으로 기판들(301)의 그룹들을 회전시키도록 적응된다. 따라서, 기판 운송 어셈블리(910)는, 프로세싱 챔버(902)의 프로세싱 구역에서 발견되는 기판들(301)을 프로세싱하도록 위치된 입자 빔들(205) 각각에 관하여, 기판들(301)의 그룹들을 이송할 수 있고 위치시킬 수 있다. 따라서, 프로세싱 동안에, 기판들(301) 각각은, 빔 추출 어셈블리(270)에 의해 생성되는 입자 빔(205)에 관하여 회전 및/또는 병진이동될 수 있다. 몇몇 구성들에서, 기판들(301) 및 임의의 기판 지지 하드웨어(예컨대, 기판 지지부(905))의 표면들을 포함하는, 입자 빔(205)이 전달되는 표면들은, 입자 빔(205)에 대한 그러한 노출에 의해 생성될 임의의 입자 오염을 감소시키도록 설계된다. 몇몇 경우들에서, 기판 지지 하드웨어의 노출된 표면은, 입자 빔(205)에 대한 노출에 의해 영향을 받지 않도록, 매우 낮은 스퍼터율을 갖는 재료, 또는 기판으로부터 제거될 재료(예컨대, 실리콘, GaAs, 금속들)와 유사한 재료로 형성된다.
[0088] 도 10은, 본 발명의 일 실시예에 따른, 하나 또는 그 초과의 기판 프로세싱 단계들을 수행하기 위해 사용될 수 있는 프로세싱 챔버(1000)의 측면도이다. 프로세싱 챔버(1000)는, 본원에서 설명되는 플라즈마 개질 프로세스의 적어도 일부를 수행하도록 적응된다. 프로세싱 챔버(1000)는 일반적으로, 기판(301)의 표면으로 하나 또는 그 초과의 입자 빔들(205)을 전달하도록 각각 구성된 하나 또는 그 초과의 빔 추출 어셈블리들(270)을 포함한다. 일 예에서, 도 10에서 도시된 바와 같이, 프로세싱 챔버(1000)는, 액추에이터(1020)의 사용에 의해, 기판(301)이 빔 추출 어셈블리들(270)에 관하여 이동됨에 따라, 기판(301)의 표면으로 입자 빔(205)을 전달하도록 각각 구성된 3개의 빔 추출 어셈블리들(270)을 포함한다. 이러한 예에서, 빔 추출 어셈블리들(270)은 각각, 기판 및 기판 지지부의 표면에 대해 직각인 방향에 대해 수직인, 또는 이송 방향에 대해 평행한 방향으로, 서로로부터 거리만큼 이격된다. 이격된 빔 추출 어셈블리들(270)은, 한번에 기판의 상이한 구역들을 동시에 프로세싱하기 위해 사용될 수 있다. 일 구성에서, 액추에이터(1020)는 빔 추출 어셈블리들(270)에 관하여 기판(301)을 이동시키도록 구성된 선형 액추에이터일 수 있다.
[0089] 위에서 유사하게 논의된 바와 같이, 프로세싱 챔버(1000) 내의 빔 추출 어셈블리들(270) 각각은, 액추에이터(1020)의 사용에 의해, 기판(301)이 빔 추출 어셈블리들(270)에 관하여 이동됨에 따라, 기판의 표면으로 동일한 타입의 입자 빔(205)을 제공하도록 구성될 수 있다. 다른 구성에서, 빔 추출 어셈블리들(270) 중 2개 또는 그 초과는, 빔 에너지, 빔 각도(예컨대, 각도(210)), 기판의 이송 방향에 관한 빔 각도, 빔 조성(예컨대, 가스 이온들), 또는 다른 유용한 특성과 같은 몇몇 상이한 프로세싱 특성을 갖는 입자 빔(205)을 기판의 표면으로 제공하도록 적응된다. 몇몇 경우들에서, 제 1 빔 추출 어셈블리(270)에 의해 기판의 표면으로 더 높은 에너지 및/또는 더 높은 스퍼터율의 입자 빔(205)을 제공하고, 그 후에, 제 2 및/또는 제 3 빔 추출 어셈블리들(270)에 의해, 덜 공격적이고 더 부드러운 평탄화 프로세스가 수행되는 것이 바람직할 수 있다.
[0090] 도 11은, 본원에서 설명되는 플라즈마 개질 프로세스의 적어도 일부를 수행하기 위해 사용될 수 있는 프로세싱 시스템(1100)의 일 실시예의 개략적인 측면도이고, 여기에서, 프로세싱 시스템(1100)은, 기판들(301)에 대해 플라즈마 개질 프로세스의 몇몇 부분을 수행하기 위해 각각 사용되는 다양한 프로세싱 챔버들(1102, 1104, 1106, 1108, 및 1110)을 갖는다. 프로세싱 시스템(1100)은, 기판들(301)이 프로세싱 시스템(1100)에 진입하는 제 1 단부(1114), 및 프로세싱된 기판들(301)이 프로세싱 시스템(1100)에서 빠져나가는 제 2 단부(1116)를 갖는다. 제 1 단부(1114)에서, 입력 컨베이어(1118)가 기판들(301)을 지지하고, 제 1 챔버(1102) 내로 가이딩한다. 제 2 단부(1116)에서, 출구 컨베이어(1120)가 최종 챔버(1110)으로부터 기판들(301)을 수용한다. 프로세싱 동안에 각각의 챔버 내에서 요구되는 환경을 유지하면서, 기판들이 프로세싱 챔버들 사이를 통과하게 허용하기 위해, 일련의 기판 이송 포트들(1124)이, 장치의 입구 및 출구에, 그리고 프로세싱 챔버들(1102, 1104, 1106, 1108, 및 1110) 각각 사이에 제공된다. 프로세싱 챔버들(1102, 1104, 1106, 1108, 및 1110)은 각각, 각각의 챔버 내의 프로세싱 환경을 제어하기 위해 사용되는 가스 전달 어셈블리를 포함한다. 가스 전달 어셈블리들은 각각, 위에서 논의된, 펌핑 시스템(311) 및 가스 전달 소스(317)를 포함할 수 있다.
[0091] 일 구성에서, 일련의 중간 컨베이어들(1122)이 기판들을 지지하고, 다양한 프로세싱 챔버들을 통해 가이딩한다. 컨베이어 시스템이 다수의 별개의 컨베이어들(1118, 1120, 및 1122)을 갖는 것으로 도시되었지만, 재료의 연속적인 웨브(web)를 갖는 단일 컨베이어가 사용될 수 있다. 일 구성에서, 컨베이어들은 재료의 웨브(들)를 지지하고 추진시키는 지지 롤러들(1126)을 포함한다. 별개의 컨베이어들(1118, 1120, 및 1122)이 시용되는 경우에, 롤러들(1126)이 일제히 또는 별개로 이동되도록, 롤러들(1126)은 공통 구동 시스템(미도시)에 의해 기계적으로 구동될 수 있다. 롤러들(1126), 포트들(1124), 및 다른 시스템 액추에이터들을 위한 다양한 구동들이, 위에서 논의된 바와 같이, 메모리(1107), CPU(1109), 및 지원 회로들(1111)을 포함할 수 있는 시스템 제어기(702)로부터의 제어 신호들에 의해 제공된다. 도 11에서 예시된 실시예가 5개의 챔버들을 갖지만, 이는, 프로세스들의 수 및 각각의 프로세스를 위한 요구되는 장비에 따라 임의의 수의 챔버들이 제공될 수 있기 때문에, 본 발명의 범위에 대해 제한적이도록 의도되지 않는다. 일 실시예에서, 프로세싱 시스템(1100)은 또한, 프로세싱 챔버들(1102 내지 1110)의 프로세싱 구역들과 프로세싱 챔버(300) 외부의 환경 사이의 버퍼를 제공하기 위한 로드 락으로서 작용하는, 시스템의 어느 하나의 단부(1114, 1116)에서의 적어도 하나의 부가적인 챔버(미도시)를 포함한다.
[0092] 프로세싱 시스템(1100)의 일 구성에서, 프로세싱 챔버(1102)는, 기판(301)이 프로세스 챔버(1104) 내로 삽입되기 전에, 기판(301)에 대해 하나 또는 그 초과의 사전 프로세싱 단계들을 수행하도록 적응되고, 프로세스 챔버(1110)는, 기판(301)이 프로세싱 챔버들(1104 내지 1108) 중 적어도 하나에서 프로세싱된 후에, 기판(301)에 대해 하나 또는 그 초과의 사후-프로세싱 단계들을 수행하도록 적응된다. 사전 프로세싱 또는 사후-프로세싱 단계들의 예들은, 도 13과 함께 아래에서 추가로 설명된다. 일 구성에서, 프로세싱 챔버들(1102 및 1110)은, 기판들(301)에 대해 사전 프로세싱 단계들 및 사후 프로세싱 단계들이 수행될 수 있도록, 전달 컴포넌트(예컨대, 컴포넌트들(1162 및 1172))에 프로세싱 가스 및/또는 에너지의 양을 전달할 수 있는 하나 또는 그 초과의 가스 소스들 및/또는 에너지 전달 소스들(예컨대, 소스들(1161 및 1171))을 포함한다.
[0093] 프로세싱 챔버들(1104 내지 1108)은 각각, 본원에서 설명되는 플라즈마 개질 프로세스의 적어도 일부를 수행하도록 적응된다. 프로세싱 챔버들(1104 내지 1108)은 각각, 일반적으로, 기판(301)의 표면으로 하나 또는 그 초과의 입자 빈들(205)을 전달하도록 구성된 하나 또는 그 초과의 빔 추출 어셈블리들(270)을 포함할 것이다. 일 예에서, 프로세싱 챔버들(1104 내지 1108)은, 컨베이어(1122)의 사용에 의해, 기판(301)이 빔 추출 어셈블리(270)에 관하여 이동됨에 따라, 기판(301)의 표면으로 입자 빔(205)을 전달하도록 구성된 적어도 하나의 빔 추출 어셈블리(270)를 포함한다.
[0094] 몇몇 실시예들에서, 프로세싱 챔버들(1104 내지 1108) 각각에서 수행되는 프로세스들 각각은, 프로세싱 챔버들(1104 내지 1108) 각각의 프로세싱 구역들을 둘러싸는 인클로저의 존재로 인해, 다른 프로세싱 챔버들로부터 격리될 수 있다. 몇몇 구성들에서, 프로세싱 챔버들 각각의 프로세싱 구역들을 분리시키는 포트들(1124) 각각은, 인접한 프로세싱 챔버들의 프로세싱 구역들을 물리적으로 격리시키기 위해, 선택적으로 폐쇄될 수 있다. 일 예에서, 인접한 프로세싱 챔버들의 프로세싱 환경들을 선택적으로 격리시키기 위해, 슬릿 밸브 또는 게이트 밸브가 프로세싱 시스템(1100)에서의 각각의 포트(1124)에 탑재된다. 일 구성에서, 프로세싱 챔버들(1102 내지 1110) 각각은 각각, 프로세스 챔버들 각각의 프로세싱 구역들 내의 압력 및/또는 가스 조성을 제어하기 위해, 각각, 배기 또는 펌핑 시스템(1131 내지 1135)을 포함한다. 따라서, 위에서 유사하게 논의된 바와 같이, 프로세싱 챔버들(1104 내지 1108) 내의 빔 추출 어셈블리들(270) 각각은, 컨베이어들(1122)의 사용에 의해, 기판(301)이 빔 추출 어셈블리들(270)에 관하여 이동됨에 따라, 동일한 또는 상이한 타입의 입자 빔(205) 또는 입자 빔 프로세싱 환경을 제공하도록 구성될 수 있다.
[0095] 도 12는, 본원에서 설명되는 플라즈마 개질 프로세스의 적어도 일부를 수행하기 위해 사용될 수 있는 선형 프로세싱 시스템(1200)의 평면도이다. 프로세싱 시스템(1200)은, 기판들(301)에 대해 플라즈마 개질 프로세스의 몇몇 부분을 수행하기 위해 각각 사용되는 프로세싱 챔버들(1202, 1204, 1206, 1208, 1210, 및 1212)을 포함한다. 프로세스 챔버(1202) 및 프로세스 챔버(1212)는 각각, 각각, 프로세싱 챔버(1102) 및 프로세싱 챔버(1110)와 함께 위에서 논의된 챔버 컴포넌트들 중 일부 또는 전부를 포함할 수 있다. 프로세싱 챔버들(1204 내지 1210)은 각각, 프로세스 챔버들(1104 내지 1108)와 함께 위에서 논의된 챔버 컴포넌트들 중 일부 또는 전부를 포함할 수 있다.
[0096] 도 12에서 예시된 바와 같이, 프로세싱 시스템(1200)은, 플라즈마 개질 프로세스의 적어도 일부를 수행하도록 각각 적응된 복수의 프로세싱 챔버들(1204 내지 1210)을 포함한다. 일 예에서, 프로세싱 챔버들(1204 내지 1210) 각각은, 기판(301)의 표면으로 입자 빔(205)을 전달하도록 구성된 하나 또는 그 초과의 빔 추출 어셈블리들(미도시)을 포함한다. 일 구성에서, 빔 추출 어셈블리들 각각은, 몇몇 상이한 프로세싱 특성을 갖는 입자 빔(205)을 기판의 표면에 제공하도록 적응된다. 일 예에서, 빔 추출 어셈블리들 각각은, 기판의 이송 방향(즉, X-방향)에 관하여 상이한 빔 각도를 갖는 입자 빔(205)을 제공하도록 적응된다. 각각의 프로세스 챔버에서 기판의 표면에 제공되는 입자 빔들(205)의 각도 배향을 변화시킴으로써, 기판의 표면 상에 형성된 피처들의 배향에 의해 생성되는 임의의 섀도잉 효과들이 감소 또는 최소화될 수 있고, 이는, 프로세싱 시스템(1200)에서 프로세싱된 기판의 평탄화된 표면의 균일성을 개선할 수 있다.
플라즈마 개질 프로세스 예들
[0097] 도 13은, 위에서 설명된 프로세싱 시스템 및/또는 프로세스 챔버들 중 하나 또는 그 초과에서 기판에 대해 수행될 수 있는 플라즈마 개질 프로세싱 시퀀스를 예시하는 블록도이다. 일 실시예에서, 프로세싱 시퀀스(1300)는 전부, 도 7 내지 도 12와 함께 위에서 논의된 프로세싱 시스템들(700, 800, 900, 1000, 1100, 또는 1200)과 유사한 프로세싱 시스템에서 수행될 수 있다. 도 13에서 도시된 프로세싱 시퀀스는 단지, 플라즈마 개질 프로세스 흐름의 예로서 사용될 뿐이고, 따라서, 본원에서 개시되는 본 발명의 범위에 대해 제한적이도록 의도되지 않는다는 것이 유의된다. 부가적으로, 플라즈마 개질 프로세스 결과들을 개선하기 위해, 필요에 따라, 도 13에서 도시된 단계들 중 임의의 단계들 사이에 단계들이 부가될 수 있다. 유사하게, 본원에서 도시된 하나 또는 그 초과의 단계들은 또한, 필요에 따라, 제거될 수 있다.
[0098] 일 실시예에서, 프로세싱 시퀀스(1300)는 단계(1302)에서 시작되고, 단계(1302)에서, 선택적인 사전-평탄화 프로세스가 기판의 표면에 대해 수행된다. 일반적으로, 선택적인 사전-평탄화 프로세스 단계(1302)는, 기판에 대해 플라즈마 개질 프로세스 단계 또는 단계(1304)를 수행하기 전에, 기판의 표면 상에 배치된 재료의 적어도 일부를 제거하기 위해, 화학적 기계적 폴리싱(CMP) 프로세스의 사용을 포함할 수 있다. 플라즈마 개질 프로세싱 단계(1304)를 수행하기 전의 초기 CMP 프로세스 단계의 사용은, 평탄화되지 않은 기판의 표면 상에 형성된 피처들의 높이에서의 차이의 일부를 제거하는 것을 돕는데 유용할 수 있다. 이러한 경우에, 후속 플라즈마 개질 프로세싱 단계(1304)는 단지, 기판의 표면의 미세 평탄화, 또는 즉, 기판의 표면의 "미세 폴리싱"만을 제공할 수 있다.
[0099] 프로세싱 시퀀스(1300)의 일 실시예에서, 선택적인 단계(1302)는 대안적으로 또는 부가적으로, 플라즈마 개질 프로세싱 단계(1304)를 수행하기 전에, 기판의 표면의 일부를 제거하기 위해 사용되는 습식 또는 건식 화학 세정 프로세스를 포함할 수 있다. 일 예에서, 기판의 표면으로부터 재료의 일부를 제겅하기 위해, 기판의 표면에 에칭 가스 또는 세정액을 전달하는 것과 같이, 하나 또는 그 초과의 반응성 종의 사용에 의해, 기판의 표면으로부터 산화물 층 또는 오염된 층이 제거될 수 있다.
[0100] 다음으로, 단계(1304)에서, 기판의 외측 표면을 비교적 평탄하게 그리고/또는 매끄럽게 만들기 위해, 기판의 노출된 표면에 대해 플라즈마 개질 프로세스가 수행된다. 위에서 논의된 바와 같이, 단계(1304)는, 원하는 시간 기간 동안, 기판의 표면을 향하여 지향되는 에너제틱 입자들의 공간적으로 국부화된 그룹을 포함하는 에너제틱 입자 빔의 전달을 포함할 수 있다. 플라즈마 개질 프로세스는, 기판의 표면으로의 복수의 입자 빔들(205)의 동시적인 및/또는 순차적인 전달을 포함할 수 있다. 전달되는 입자 빔들 각각은, 빔 에너지, 빔 각도, 빔 조성(예컨대, 가스 이온들), 또는 다른 유용한 특성과 같은 상이한 프로세싱 특성을 가질 수 있다.
[0101] 몇몇 실시예들에서, 단계(1304)는, 기판의 표면을 평탄화하기 위해, 다수의 순차적인 프로세싱 단계들로, 기판의 표면으로의 적어도 하나의 에너제틱 입자 빔의 전달을 포함한다. 위에서 기재된 바와 같이, 다수의 순차적인 프로세싱 단계들은, 단일 플라즈마 개질 프로세싱 챔버에서, 또는 다수의 플라즈마 개질 프로세싱 챔버들에서 수행될 수 있다. 또한, 위에서 논의된 바와 같이, 단계(1304)에서 수행되는 입자 빔 개질 프로세스는, 단일 프로세스 단계에서, 또는 다수의 순차적인 프로세싱 단계들 중 하나 또는 그 초과에서 수행되는 물리적 및/또는 화학적 재료 평탄화 프로세스들의 사용을 포함할 수 있다.
[0102] 도 3 및 도 13을 참조하면, 단계(1304)는, 예컨대, 다음의 서브-프로세스 단계들을 포함할 수 있다. 먼저, 하나 또는 그 초과의 가스 소스들(341)이 플라즈마 생성 구역(332)으로 하나 또는 그 초과의 비활성 및/또는 반응성 가스들을 전달한다. 그 후에, 플라즈마 생성 소스(272)는, 플라즈마 생성 구역(332)에서 플라즈마를 형성하기 위해, 전달된 프로세스 가스에 전자기 에너지의 양을 전달한다. 그 후에, 전극 어셈블리(273) 및 시스템 제어기(390)는, 바람직한 입자 빔 특성들을 각각 갖는 하나 또는 그 초과의 입자 빔들(205)을 형성하고, 제어하고, 프로세싱 챔버(300)의 프로세싱 구역(310) 내에서 기판 지지부 상에 배치된 기판(301)의 표면으로 전달하기 위하여, 플라즈마 생성 구역(332) 내에서 발견되는 이온들을 추출하기 위해 사용된다. 단계(1304)의 몇몇 구성들에서, 프로세싱 구역(310) 내의 프로세싱 환경을 제어하기 위해, 시스템 제어기(390), 펌핑 시스템(311), 및 가스 전달 소스(317)가 조합되어 사용된다. 가스 전달 소스(317) 및 펌핑 시스템(311)은 전형적으로, 프로세싱 구역(310) 내에서 발견되는 프로세싱 환경의 가스 조성 및/또는 압력을 제어하기 위해 사용된다. 몇몇 경우들에서, 프로세싱 환경은, 플라즈마 개질 프로세스를 촉진하기 위해, 비활성 및/또는 기판 에천트 함유 가스를 포함할 수 있다. 단계(1304) 동안에, 기판 및/또는 하나 또는 그 초과의 에너제틱 입자 빔들(205)은, 플라즈마 개질 프로세스를 강화하기 위해, 서로에 관하여 이동될 수 있다. 다음으로, 시스템 제어기(390)가 엔드포인트 모니터링 시스템(376)으로부터 신호를 수신한 후에, 또는 단순히, 기판이 하나 또는 그 초과의 에너제틱 입자 빔들(205)에 노출되는 원하는 시간에 도달한 것에 의해, 단계(1304)에서 수행되는 플라즈마 개질 프로세스가 중단된다.
[0103] 다음으로, 단계(1304)가 수행된 후에, 단계(1306)에서, 선택적인 세정 프로세스가 기판에 대해 수행된다. 이러한 단계에서, 기판은, 이전의 프로세싱 단계들로부터 남겨진 임의의 바람직하지 않은 재료들을 제거하기 위해 세정된다. 몇몇 구성들에서, 단계(1306)는, 단계(1304)를 수행하도록 적응된 프로세싱 챔버를 포함하는 프로세싱 시스템(예컨대, 프로세싱 시스템들(700, 800, 900, 1000, 1100, 또는 1200)) 상에 배치된 프로세싱 챔버에서의, 기판의 표면으로의 세정 가스(예컨대, 건식 세정 프로세스)의 전달을 포함한다. 일 예에서, 단계(1306)는, 기판의 표면 상에서 발견되는 임의의 잔여의 오염물을 세정 및/또는 제거하기 위해, 기판의 표면에 제공되는, 반응성 이온 에칭(RIE) 또는 플라즈마 보조 건식 에칭 프로세스의 사용을 포함할 수 있다. 대안적으로, 단계(1306)는, 기판의 표면으로부터 임의의 바람직하지 않은 재료들을 제거하기 위해, 기판의 표면으로의 습식 세정액의 전달을 포함하는 익스-시튜(ex-situ) 세정 프로세스를 포함할 수 있다. 이러한 경우에서, 기판은, HF-라스트(HF-last) 타입 세정액, 오존화된 물(ozonated water) 세정액, 플루오르화 수소산(HF) 및 과산화 수소(H2O2) 용액, DI 물, 또는 다른 적합한 세정액이 사용되는 습식 세정 프로세스를 사용하여, 세정될 수 있다. 프로세싱 시퀀스(1300)의 몇몇 실시예들에서, 단계(1306)는, 아래에서 논의되는 단계(1308)를 수행한 후에 수행될 수 있다.
[0104] 다음으로, 단계(1304)가 수행된 후에, 단계(1308)에서, 선택적인 사후-평탄화 프로세스가 기판에 대해 수행된다. 일 구성에서, 선택적인 사후-평탄화 프로세스 단계(1308)는, 기판에 대해 단계(1304)를 수행한 후에, 기판의 표면 상에 배치된 재료의 적어도 일부를 제거하기 위해, 화학적 기계적 폴리싱(CMP) 프로세스의 사용을 포함할 수 있다. 이러한 경우에서의 CMP 프로세스는, 기판의 표면 상에 형성된 피처들의 일부를 제거하고 추가로 평탄화하는 것을 돕는데 유용할 수 있다. 이러한 경우에, 단계(1304)에서 완료된 플라즈마 개질 프로세스는, 기판의 표면의 신속한 및/또는 부분적인 평탄화를 제공할 수 있고, 사후-평탄화 프로세스 단계(1308)는, 기판의 표면의 최종 평탄화 클린-업(clean-up)을 제공한다. CMP 타입 사후-평탄화 프로세스 단계는, 임의의 아일랜드(island)들, 또는 이전의 플라즈마 개질 프로세스 단계(1304)로부터 남겨진, 기판의 표면 상에 남은 다른 원하지 않는 재료를 제거하기 위해 사용될 수 있다.
[0105] 프로세싱 시퀀스(1300)의 일 실시예에서, 선택적인 단계(1308)는 대안적으로 또는 부가적으로, 플라즈마 개질 프로세싱 단계(1304)를 수행한 후에, 기판의 표면을 "캐핑(cap)"하기 위해 사용되는 증착 프로세스 단계를 포함할 수 있다. 일 예에서, 증착 프로세스는, 기판의 이전에 프로세싱된 표면의 표면 위의, 전도성(예컨대, 티타늄 층, 탄탈럼 층), 반전도성(예컨대, 실리콘, GaAs, III-V 층), 또는 유전체 층(예컨대, SiO2, SiN)의 증착을 포함할 수 있다. 몇몇 구성들에서, 단계(1308)는, 단계(1304)를 수행하도록 적응된 프로세싱 챔버를 포함하는 프로세싱 시스템(예컨대, 프로세싱 시스템들(700, 800, 900, 1000, 1100, 또는 1200))에 배치된 프로세싱 챔버에서의 기판의 표면 상의 층의 형성을 포함한다. 몇몇 예들에서, 증착 프로세스는, 플라즈마 강화 화학 기상 증착(PECVD) 프로세스, 저압 화학 기상 증착(LPCVD) 프로세스, 핫 와이어 화학 기상 증착(HWCVD) 프로세스, 원자 층 증착(ALD) 프로세스, 물리 기상 증착(PVD) 프로세스, 및/또는 다른 유사한 증착 프로세스를 수행하도록 구성된 프로세싱 챔버에서 증착 프로세스를 수행함으로써, 완료될 수 있다.
[0106] 따라서, 본원에서 제공되는 본 개시의 실시예들은, 위에서 논의된 단계들(1302 및 1304)을 포함하는 프로세싱 시퀀스(1300)를 제공할 수 있다. 본 개시의 몇몇 실시예들은, 단계들(1302, 1304, 및 1308)을 포함하는 프로세싱 시퀀스(1300)를 제공할 수 있다. 본 개시의 몇몇 실시예들은 또한, 단계들(1304 및 1308)을 포함하는 프로세싱 시퀀스(1300)를 제공할 수 있다. 본 개시의 몇몇 실시예들은 또한, 단계들(1304 및 1306)을 포함하는 프로세싱 시퀀스(1300)를 제공할 수 있다. 본 개시의 몇몇 실시예들은 또한, 단계들(1304, 1306, 및 1308)을 포함하는 프로세싱 시퀀스(1300)를 제공할 수 있다. 본 개시의 몇몇 실시예들은 또한, 단계들(1304, 1308, 및 1306)을 포함하는 프로세싱 시퀀스(1300)를 제공할 수 있고, 그러한 단계들(1304, 1308, 및 1306)은 그러한 프로세싱 순서로 수행된다. 본 개시의 몇몇 실시예들은 또한, 단계들(1302, 1304, 1306, 및 1308)을 포함하는 프로세싱 시퀀스(1300)를 제공할 수 있고, 여기에서, 단계들(1306 및 1308)은 임의의 바람직한 프로세싱 순서로 수행된다. 본 개시의 몇몇 실시예들은 또한, 단계(1304)만을 포함하는 프로세싱 시퀀스(1300)를 제공할 수 있다.
[0107] 전술한 바가 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 그리고 추가적인 실시예들이 본 발명의 기본적인 범위로부터 벗어나지 않으면서 고안될 수 있고, 본 발명의 범위는 다음의 청구항들에 의해 결정된다.

Claims (15)

  1. 기판의 표면을 개질(modifying)하기 위한 장치로서,
    기판 지지 표면을 갖는 기판 지지부 ― 제 1 방향은 상기 기판 지지 표면에 대해 수직임 ―;
    제 2 방향으로 제 1 빔 추출 어셈블리에서 빠져나가는 제 1 입자 빔, 및 제 3 방향으로 상기 제 1 빔 추출 어셈블리에서 빠져나가는 제 2 입자 빔을 동시에 생성하도록 구성된 상기 제 1 빔 추출 어셈블리; 및
    상기 제 1 입자 빔 및 상기 제 2 입자 빔에 관하여, 상기 기판 지지 표면을 병진이동(translate)시키도록 구성된 액추에이터
    를 포함하며,
    상기 제 1 입자 빔은 상기 기판 지지 표면을 향하여 지향되고, 상기 제 2 방향은 상기 제 1 방향에 관하여 제 1 지표각(grazing angle)을 이루고,
    상기 제 2 입자 빔은 상기 기판 지지 표면을 향하여 지향되고, 상기 제 3 방향은 상기 제 1 방향에 관하여 상기 제 1 지표각 또는 제 2 지표각을 이루는,
    장치.
  2. 제 1 항에 있어서,
    상기 제 1 지표각 또는 상기 제 2 지표각은 약 70 도 내지 약 80 도인,
    장치.
  3. 제 1 항에 있어서,
    상기 제 1 빔 추출 어셈블리로부터 제 4 방향으로 거리에 위치된 제 2 빔 추출 어셈블리
    를 더 포함하며,
    상기 제 4 방향은 상기 제 1 방향에 대해 수직이고,
    상기 제 2 빔 추출 어셈블리는,
    제 5 방향으로 상기 제 2 빔 추출 어셈블리에서 빠져나가는 제 3 입자 빔, 및 제 6 방향으로 상기 제 2 빔 추출 어셈블리에서 빠져나가는 제 4 입자 빔을 동시에 생성하도록 구성되고,
    상기 제 3 입자 빔은 상기 기판 지지 표면을 향하여 지향되고, 상기 제 5 방향은 상기 제 1 방향에 관하여 제 3 지표각을 이루고,
    상기 제 4 입자 빔은 상기 기판 지지 표면을 향하여 지향되고, 상기 제 6 방향은 상기 제 1 방향에 관하여 상기 제 3 지표각 또는 제 4 지표각을 이루고,
    상기 액추에이터는, 상기 제 3 입자 빔 및 상기 제 4 입자 빔에 관하여, 상기 기판 지지부의 상기 기판 지지 표면을 병진이동시키도록 구성되는,
    장치.
  4. 제 1 항에 있어서,
    상기 제 1 빔 추출 어셈블리는, 제 1 전력 소스에 의해 바이어싱될(biased) 수 있는 제 1 스티어링(steering) 전극을 더 포함하며,
    상기 제 1 전력 소스에 의해 인가되는 바이어스를 변경하는 것은 상기 제 1 지표각의 크기를 변화시키는,
    장치.
  5. 제 1 항에 있어서,
    상기 제 1 빔 추출 어셈블리와 상기 기판 지지부 사이에 위치된 제어 엘리먼트를 포함하는 전기장 제어 어셈블리
    를 더 포함하는,
    장치.
  6. 제 1 항에 있어서,
    RF 전력 소스; 및
    지지 전극
    을 더 포함하며,
    상기 지지 전극은, 상기 지지 전극이 상기 RF 전력 소스에 의해 바이어싱되는 경우에, 상기 제 1 빔 추출 어셈블리와 상기 기판 지지부 사이에 정의된 프로세싱 구역에서 플라즈마를 생성하도록 위치되는,
    장치.
  7. 제 1 항에 있어서,
    상기 제 1 빔 추출 어셈블리는,
    상기 제 1 빔 추출 어셈블리의 플라즈마 생성 구역에서 형성된 대전된 입자들을 수용하도록 위치된 제 1 구멍을 갖는 제 1 전극;
    상기 제 1 구멍을 통과하는 상기 대전된 입자들의 유동을 수용하도록 위치된 제 2 구멍을 갖는 제 2 전극 ― 상기 대전된 입자들의 유동은 상기 제 1 입자 빔의 적어도 일부를 형성함 ―;
    상기 제 1 빔 추출 어셈블리와 상기 기판 지지부 사이에 배치된 제어 엘리먼트에 바이어스를 인가하도록 구성된 필드 성형(field shaping) 전력 소스; 및
    프로세싱 동안에, 상기 필드 성형 전력 소스에 의해 인가되는 전기 바이어스를 조정하도록 구성된 시스템 제어기
    를 더 포함하는,
    장치.
  8. 프로세싱 챔버의 프로세싱 구역에서 기판의 표면을 평탄화(planarizing)하는 방법으로서,
    빔 추출 어셈블리로부터, 기판 지지부의 기판 지지 표면 상에 배치된 기판을 향하여 제 1 입자 빔을 전달하는 단계 ― 전달되는 제 1 입자 빔은, 상기 기판 지지 표면에 대해 수직인 제 2 방향에 관하여 제 1 지표각을 이루는 제 1 방향으로 제공됨 ―;
    상기 빔 추출 어셈블리로부터, 상기 기판 지지 표면을 향하여 제 2 입자 빔을 전달하는 단계 ― 전달되는 제 2 입자 빔은, 상기 제 2 방향에 관하여 상기 제 1 지표각 또는 제 2 지표각을 이루는 제 3 방향으로 제공됨 ―; 및
    상기 기판 상에 형성된 비-평탄한 표면의 비-평탄성(non-planarity)을 감소시키기 위해, 상기 제 1 입자 빔 및 상기 제 2 입자 빔에 관하여 상기 기판을 이동시키거나, 또는 상기 기판에 관하여 상기 제 1 입자 빔 및 상기 제 2 입자 빔을 이동시키는 단계
    를 포함하는,
    방법.
  9. 제 8 항에 있어서,
    상기 기판이 상기 전달되는 제 1 입자 빔 및 상기 전달되는 제 2 입자 빔에 관하여 이동되는 동안에, 상기 기판이 배치된 프로세싱 구역에 에칭 가스를 전달하는 단계
    를 더 포함하며,
    상기 에칭 가스는, 염소(Cl2), 불소(F2), 브롬(Br2) 및 요오드(I2), 및 암모니아(NH3)의 그룹으로부터 선택되는 가스를 포함하는,
    방법.
  10. 제 8 항에 있어서,
    형성된 입자 빔의 적어도 일부를 수용하도록, 상기 기판을 위치시키기 전에, 상기 기판의 비-평탄한 표면을 폴리싱(polishing)하는 단계
    를 더 포함하며,
    상기 비-평탄한 표면을 폴리싱하는 단계는, 화학적 기계적 평탄화(planarization) 프로세스를 수행하는 단계를 포함하는,
    방법.
  11. 제 8 항에 있어서,
    상기 기판을 향하여 제 1 입자 빔을 전달하는 단계는,
    프로세싱 챔버의 플라즈마 생성 구역에 배치된 프로세스 가스에 전자기 에너지를 전달하는 단계 ― 상기 전자기 에너지를 전달하는 단계는, 상기 플라즈마 생성 구역에 배치된 상기 프로세스 가스의 적어도 일부를 이온화시킴 ―;
    제 1 구멍이 내부에 형성된 제 1 전극을 바이어싱하는 단계 ― 상기 제 1 전극을 바이어싱하는 단계는, 대전된 입자들의 적어도 일부가 상기 제 1 구멍을 통과하게 함 ―;
    제 2 구멍이 내부에 형성된 제 2 전극을 바이어싱하는 단계 ― 상기 제 2 전극을 바이어싱하는 단계는, 상기 제 1 구멍을 통과하는 상기 대전된 입자들의 일부가, 상기 제 1 구멍과 상기 제 2 구멍 사이를 상기 대전된 입자들의 일부가 통과함에 따라, 가속되게 하고, 상기 제 2 구멍을 통과하는 상기 대전된 입자들의 일부는 형성된 제 1 입자 빔의 적어도 일부를 형성함 ―; 및
    제어 엘리먼트에 바이어스를 인가하는 단계
    를 더 포함하며,
    상기 제어 엘리먼트는 상기 빔 추출 어셈블리와 상기 기판 사이에 위치되고, 상기 제어 엘리먼트를 바이어싱하는 것은, 상기 제 1 전극 또는 상기 제 2 전극에 바이어스를 개별적으로 인가함으로써 생성된 전기장을 변경하도록 구성되는,
    방법.
  12. 프로세싱 챔버의 프로세싱 구역에서 기판의 표면을 개질하는 방법으로서,
    빔 추출 어셈블리로부터, 기판 지지부의 기판 지지 표면 상에 배치된 기판을 향하여 제 1 입자 빔을 전달하는 단계 ― 전달되는 제 1 입자 빔은, 상기 기판 지지 표면에 대해 수직인 제 2 방향에 관하여 제 1 지표각을 이루는 제 1 방향으로 제공됨 ―;
    상기 기판 상에 형성된 비-평탄한 표면의 비-평탄성을 감소시키기 위해, 상기 제 1 입자 빔에 관하여 상기 기판을 이동시키거나, 또는 상기 기판에 관하여 상기 제 1 입자 빔을 이동시키는 단계; 및
    상기 기판이 상기 전달되는 제 1 입자 빔에 관하여 이동되는 동안에, 상기 기판의 비-평탄한 표면에 에칭 가스를 전달하는 단계
    를 포함하는,
    방법.
  13. 제 12 항에 있어서,
    상기 에칭 가스는, 염소(Cl2), 불소(F2), 브롬(Br2) 및 요오드(I2), 및 암모니아(NH3)의 그룹으로부터 선택되는 가스를 포함하는,
    방법.
  14. 기판의 표면을 평탄화하기 위한 시스템으로서,
    이송 구역을 갖는 이송 챔버;
    상기 이송 챔버에 커플링된 제 1 프로세스 챔버;
    상기 이송 챔버에 커플링된 제 2 프로세스 챔버 ― 상기 제 2 프로세스 챔버는 상기 기판 상에 층을 증착하도록 구성됨 ―; 및
    상기 이송 구역에 배치되고, 상기 제 1 프로세스 챔버 및 상기 제 2 프로세스 챔버에 배치된 기판들을 로딩(load) 및 언로딩(unload)하도록 구성된 기판 이송 로봇
    을 포함하며,
    상기 제 1 프로세스 챔버는,
    기판 지지 표면을 갖는 기판 지지부 ― 제 1 방향은 상기 지지 표면에 대해 수직임 ―;
    제 2 방향으로 제 1 빔 추출 어셈블리에서 빠져나가는 제 1 입자 빔, 및 제 3 방향으로 상기 제 1 빔 추출 어셈블리에서 빠져나가는 제 2 입자 빔을 동시에 생성하도록 구성된 상기 제 1 빔 추출 어셈블리; 및
    상기 제 1 입자 빔 및 상기 제 2 입자 빔에 관하여, 상기 기판 지지부의 상기 기판 지지 표면을 병진이동시키도록 구성된 액추에이터
    를 포함하고,
    상기 제 1 입자 빔은 상기 기판 지지 표면을 향하여 지향되고, 상기 제 2 방향은 상기 제 1 방향에 관하여 제 1 지표각을 이루고,
    상기 제 2 입자 빔은 상기 기판 지지 표면을 향하여 지향되고, 상기 제 3 방향은 상기 제 1 방향에 관하여 상기 제 1 지표각 또는 제 2 지표각을 이루는,
    시스템.
  15. 제 14 항에 있어서,
    상기 제 1 프로세스 챔버는,
    상기 제 1 빔 추출 어셈블리로부터 제 4 방향으로 거리에 위치된 제 2 빔 추출 어셈블리
    를 더 포함하며,
    상기 제 4 방향은 상기 제 1 방향에 대해 수직이고,
    상기 제 2 빔 추출 어셈블리는,
    제 5 방향으로 상기 제 2 빔 추출 어셈블리에서 빠져나가는 제 3 입자 빔, 및 제 6 방향으로 상기 제 2 빔 추출 어셈블리에서 빠져나가는 제 4 입자 빔을 동시에 생성하도록 구성되고,
    상기 제 3 입자 빔은 상기 기판 지지 표면을 향하여 지향되고, 상기 제 5 방향은 상기 제 1 방향에 관하여 제 3 지표각을 이루고,
    상기 제 4 입자 빔은 상기 기판 지지 표면을 향하여 지향되고, 상기 제 6 방향은 상기 제 1 방향에 관하여 상기 제 3 지표각 또는 제 4 지표각을 이루고,
    상기 액추에이터는, 상기 제 3 입자 빔 및 상기 제 4 입자 빔에 관하여, 상기 기판 지지부의 상기 기판 지지 표면을 병진이동시키도록 구성되는,
    시스템.
KR1020167027153A 2014-03-07 2015-03-05 기판 표면을 개질하기 위한 지표각 플라즈마 프로세싱 KR20160130796A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201461949841P 2014-03-07 2014-03-07
US61/949,841 2014-03-07
US201461989370P 2014-05-06 2014-05-06
US61/989,370 2014-05-06
PCT/US2015/018877 WO2015134704A1 (en) 2014-03-07 2015-03-05 Grazing angle plasma processing for modifying a substrate surface

Publications (1)

Publication Number Publication Date
KR20160130796A true KR20160130796A (ko) 2016-11-14

Family

ID=54018045

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167027153A KR20160130796A (ko) 2014-03-07 2015-03-05 기판 표면을 개질하기 위한 지표각 플라즈마 프로세싱

Country Status (5)

Country Link
US (1) US20150255243A1 (ko)
KR (1) KR20160130796A (ko)
CN (1) CN106030765B (ko)
TW (1) TWI690968B (ko)
WO (1) WO2015134704A1 (ko)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10692765B2 (en) * 2014-11-07 2020-06-23 Applied Materials, Inc. Transfer arm for film frame substrate handling during plasma singulation of wafers
US10550469B2 (en) * 2015-09-04 2020-02-04 Lam Research Corporation Plasma excitation for spatial atomic layer deposition (ALD) reactors
DE102016008945A1 (de) * 2016-07-26 2018-02-01 Airbus Defence and Space GmbH Mikroelektrisches Modul zur Veränderung der elektromagnetischen Signatur einer Oberfläche, Modularray und Verfahren zur Veränderung der elektromagnetischen Signatur einer Oberfläche
US20180143332A1 (en) * 2016-11-18 2018-05-24 Plasma-Therm Llc Ion Filter
US20190148109A1 (en) * 2017-11-10 2019-05-16 Lam Research Corporation Method and Apparatus for Anisotropic Pattern Etching and Treatment
US11127593B2 (en) * 2018-05-18 2021-09-21 Varian Semiconductor Equipment Associates, Inc. Techniques and apparatus for elongation patterning using angled ion beams
US10930514B2 (en) * 2018-06-11 2021-02-23 Fei Company Method and apparatus for the planarization of surfaces
EP3588533A1 (en) * 2018-06-21 2020-01-01 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Plasma source and method of operating the same
US11195703B2 (en) * 2018-12-07 2021-12-07 Applied Materials, Inc. Apparatus and techniques for angled etching using multielectrode extraction source
JP7447118B2 (ja) * 2018-12-17 2024-03-11 アプライド マテリアルズ インコーポレイテッド 光学装置製造のためのイオンビーム源
US11715621B2 (en) 2018-12-17 2023-08-01 Applied Materials, Inc. Scanned angled etching apparatus and techniques providing separate co-linear radicals and ions
US20210020484A1 (en) * 2019-07-15 2021-01-21 Applied Materials, Inc. Aperture design for uniformity control in selective physical vapor deposition
US11948781B2 (en) 2020-06-16 2024-04-02 Applied Materials, Inc. Apparatus and system including high angle extraction optics
KR20220076976A (ko) * 2020-12-01 2022-06-08 삼성전자주식회사 웨이퍼 처리 장치
CN115249604A (zh) * 2021-04-26 2022-10-28 中微半导体设备(上海)股份有限公司 限制环、等离子体处理装置及气压控制方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6042738A (en) * 1997-04-16 2000-03-28 Micrion Corporation Pattern film repair using a focused particle beam system
US6054390A (en) * 1997-11-05 2000-04-25 Chartered Semiconductor Manufacturing Ltd. Grazing incident angle processing method for microelectronics layer fabrication
US6590324B1 (en) * 1999-09-07 2003-07-08 Veeco Instruments, Inc. Charged particle beam extraction and formation apparatus
US6288357B1 (en) * 2000-02-10 2001-09-11 Speedfam-Ipec Corporation Ion milling planarization of semiconductor workpieces
US6677599B2 (en) * 2000-03-27 2004-01-13 Applied Materials, Inc. System and method for uniformly implanting a wafer with an ion beam
JP2002353112A (ja) * 2001-05-25 2002-12-06 Riipuru:Kk 電子ビーム近接露光装置における電子ビームの傾き測定方法及び傾き較正方法並びに電子ビーム近接露光装置
DE602004031817D1 (de) * 2004-01-21 2011-04-28 Integrated Circuit Testing Strahlenoptische Komponente mit einer teilchenoptischen Linse
US7871678B1 (en) * 2006-09-12 2011-01-18 Novellus Systems, Inc. Method of increasing the reactivity of a precursor in a cyclic deposition process
US20080132046A1 (en) * 2006-12-04 2008-06-05 Varian Semiconductor Equipment Associates, Inc. Plasma Doping With Electronically Controllable Implant Angle
WO2011007546A1 (ja) * 2009-07-16 2011-01-20 キヤノンアネルバ株式会社 イオンビーム発生装置、基板処理装置及び電子デバイスの製造方法
US8513129B2 (en) * 2010-05-28 2013-08-20 Applied Materials, Inc. Planarizing etch hardmask to increase pattern density and aspect ratio
JP5506560B2 (ja) * 2010-06-18 2014-05-28 キヤノン株式会社 描画装置及びデバイス製造方法
US8435727B2 (en) * 2010-10-01 2013-05-07 Varian Semiconductor Equipment Associates, Inc. Method and system for modifying photoresist using electromagnetic radiation and ion implantation
KR101786868B1 (ko) * 2010-12-28 2017-10-18 캐논 아네르바 가부시키가이샤 제조방법
US8946061B2 (en) * 2011-08-30 2015-02-03 Varian Semiconductor Equiptment Associates, Inc. Engineering of porous coatings formed by ion-assisted direct deposition
US9530615B2 (en) * 2012-08-07 2016-12-27 Varian Semiconductor Equipment Associates, Inc. Techniques for improving the performance and extending the lifetime of an ion source
US9024282B2 (en) * 2013-03-08 2015-05-05 Varian Semiconductor Equipment Associates, Inc. Techniques and apparatus for high rate hydrogen implantation and co-implantion
US9293301B2 (en) * 2013-12-23 2016-03-22 Varian Semiconductor Equipment Associates, Inc. In situ control of ion angular distribution in a processing apparatus
WO2015171335A1 (en) * 2014-05-06 2015-11-12 Applied Materials, Inc. Directional treatment for multi-dimensional device processing

Also Published As

Publication number Publication date
US20150255243A1 (en) 2015-09-10
CN106030765B (zh) 2020-01-10
CN106030765A (zh) 2016-10-12
TW201603098A (zh) 2016-01-16
WO2015134704A1 (en) 2015-09-11
TWI690968B (zh) 2020-04-11

Similar Documents

Publication Publication Date Title
CN106030765B (zh) 用于修改基板表面的掠射角等离子体处理
US10304659B2 (en) Ale smoothness: in and outside semiconductor industry
CN107045977B (zh) 连续等离子体中的原子层蚀刻
US10741407B2 (en) Reduction of sidewall notching for high aspect ratio 3D NAND etch
US10629473B2 (en) Footing removal for nitride spacer
TWI657482B (zh) 用於多方向裝置加工的方向處理
JP6646978B2 (ja) 高アスペクト比構造におけるコンタクト洗浄
CN111448641A (zh) 高能量原子层蚀刻
KR20200028490A (ko) 수평 표면들 상에 SiN의 선택적인 증착
US10515821B1 (en) Method of achieving high selectivity for high aspect ratio dielectric etch
KR102386268B1 (ko) 원하는 치수들로 재료 층을 패터닝하기 위한 방법
US20230298896A1 (en) Metal-based liner protection for high aspect ratio plasma etch
US20190323127A1 (en) Texturing and plating nickel on aluminum process chamber components
CN115380365A (zh) 具有无穷大选择性的高深宽比蚀刻
US20230127597A1 (en) High aspect ratio dielectric etch with chlorine
KR20220148253A (ko) 고 종횡비 3D NAND 에칭을 위한 측벽 노칭 (notching) 감소
US20220351980A1 (en) Waterborne dispersion composition

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application