TWI657482B - 用於多方向裝置加工的方向處理 - Google Patents

用於多方向裝置加工的方向處理 Download PDF

Info

Publication number
TWI657482B
TWI657482B TW104113954A TW104113954A TWI657482B TW I657482 B TWI657482 B TW I657482B TW 104113954 A TW104113954 A TW 104113954A TW 104113954 A TW104113954 A TW 104113954A TW I657482 B TWI657482 B TW I657482B
Authority
TW
Taiwan
Prior art keywords
substrate
particle beam
source
processing
relative
Prior art date
Application number
TW104113954A
Other languages
English (en)
Other versions
TW201606852A (zh
Inventor
葛迪魯多維
戴輝雄
奈馬尼史林尼法斯D
葉怡利
英格爾尼汀庫利許納拉歐
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201606852A publication Critical patent/TW201606852A/zh
Application granted granted Critical
Publication of TWI657482B publication Critical patent/TWI657482B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/06Sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/245Detection characterised by the variable being measured
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/305Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching
    • H01J37/3053Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching for evaporating or etching
    • H01J37/3056Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching for evaporating or etching for microworking, e.g. etching of gratings, trimming of electrical components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3171Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation for ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation

Abstract

本揭露書的實施例包含利用表面改質製程而用於改質基板之表面的設備及方法。改質基板之表面的製程大體包含當基板係設置於粒子束改質設備內時,藉由使用一或多個能量粒子束改變物理或化學性質及/或再分配基板之表面上的曝露材料的一部分。本揭露書的實施例亦提供包含一或多個預改質處理步驟及/或一或多個後改質處理步驟的表面改質製程,一或多個預改質處理步驟及/或一或多個後改質處理步驟皆執行於一個處理系統內。

Description

用於多方向裝置加工的方向處理
於此提供之本揭露書的實施例大體關於用以選擇性地處理基板之一或多個表面的設備及方法。
縮小積體電路(ICs)可能導致改良的效能、增加的容量及/或減少的成本。每一裝置的縮小需要更精密的技術以形成特徵結構。光微影術係通常用以圖案化在基板上的特徵結構。示例性的特徵結構為材料的線,材料可為金屬、半導體或絕緣體。線寬(line width)為線的寬度且間隔(spacing)為鄰近線之間的距離。間距(pitch)被界定為在兩個相鄰線上之相同位置間的距離。間距等於線寬和間隔的總合。因為各種因素(包含階梯輻射波長),光微影術技術具有最小間距,低於此最小間距,特定的光微影術技術可能無法可靠地形成特徵結構。任何已知的光微影術技術之最小間距可能限制特徵結構尺寸的減少。
自對準雙圖案化(Self-aligned double patterning,SADP)係一種用以延伸光微影術技術之能力,使其超越這些光微影術技術之假定最小間距的方法。此方法係顯示於第1A-G圖中,第1A-G圖為SADP製程的一種形式,通常被稱之為「正性」(positive tone)製程流程。參照第1A圖,圖案化的特徵結構111係使用標準的光微影術及蝕刻技術,由在基板100之表面上之犧牲結構材料所形成。圖案化的特徵結構111係稱之為佔位符(placeholders)、心軸(mandrels)或核心,並具有接近使用 高解析度光罩之光微影術系統的光學解析度的線寬及/或間隔。如第1B圖中所示,硬遮罩材料之共形層130係接著沉積於核心111之上方。硬遮罩間隔件或肋部131係接著藉由以各向異性的間隔件蝕刻而優先地從水平表面蝕刻硬遮罩材料而形成在核心111的側邊上。部分形成的元件之所產生結構係顯示於第1C圖中(側截面圖)及第1D圖中(頂視圖)。標準的光微影術圖案化層135係接著形成在所產生結構之上方,如第1E圖中所示,且圖案化層135外側的曝露區域係藉由蝕刻技術而移除。核心111可接著被移除,留下硬遮罩間隔件131(第1F圖(側視圖)及第1G圖(頂視圖)),硬遮罩間隔件131具有至少部分地藉由所沉積之硬遮罩材料之厚度所設定之新的特徵結構間隔。於此時,硬遮罩間隔件131可被使用作為圖案化基板之蝕刻遮罩,且可接著在正性製程流程結束時移除。不論是以正性製程流程或負性製程流程,特徵結構的密度為光微影術圖案化特徵結構之密度的兩倍。在這些圖式中,硬遮罩間隔件或肋部131之間距係圖案化特徵結構111之間距的一半。於上所描述的製程流程沿著一個尺寸減少間距,然而,於上所描述的製程流程大體需要至少兩個微影術步驟,以形成結構(如,在第1A圖之前及顯示於第1E圖中)。增加在形成順序內增加步驟的數量亦增加處理順序的成本和錯誤處理基板的機會。因此需要增加替代的製程流程,此替代的製程流程移除額外的微影術處理步驟。
因此,有用於可選擇性地處理基板的區域之方法和設備的需求,使得經處理的區域或未處理的區域可於後續的濕式或乾式處理步驟期間被選擇性地移除。
本揭露書大體包含利用電漿處理製程而用於選擇性地改質表 面之多個區域的設備及方法。電漿改質基板之表面的製程大體包含當基板係設置於粒子束改質設備內時,藉由使用能量粒子束而將各種元素物種方向性地傳送至基板之曝露區域。在一些實施例中,電漿處理基板之曝露表面的一部分可藉由使用粒子束改質製程而執行,粒子束改質製程係執行於次大氣壓力處理環境中。粒子束改質製程可包含傳送能量粒子束,傳送能量粒子束包含空間定位的能量粒子群,能量粒子群被導向基板的表面一段所欲的時間週期,以達到所欲的劑量。
本揭露書的實施例亦提供用以改質基板之表面的設備,包 括:基板支撐件,具有基板支撐表面;第一粒子束源組件,經配置以產生以第一方向導向的第一粒子束,其中第一粒子束係導向基板支撐表面,且第一方向係以第一掠射角度而相對於第二方向,第二方向係正交於基板支撐表面;及致動器,經配置以相對於第一和第二粒子束在第三方向上移動基板支撐表面,其中第三方向係與包含第一方向之平面具有一角度。在一些例子中,角度可為約90°且基板支撐表面亦可相對於第一方向而維持於一固定定向中。設備可進一步包括檢測模組,經配置以決定基板的定向並提供與所決定的定向有關之資訊給系統控制器,其中系統控制器經配置以使得基板基於所提供的資訊以相對於第一方向的定向而被定位於基板支撐表面上。
本揭露書的實施例亦提供用以在處理腔室之處理區域中改質 基板之表面的方法,包括以下步驟:朝基板之表面傳送第一粒子束,其中第一粒子束係以第一方向導向,第一方向係以第一掠射角度而相對於第二方向,第二方向係垂直於基板;相對於第一粒子束而移動基板,包括在第二方向上移動基板,第二方向係以一角度相對於第一方向;及傳 送製程氣體至表面,以優先地移除曝露至所傳送之第一粒子束之基板的一部分或未曝露至所傳送之第一粒子束之基板的一部分。在一個例子中,角度可大於0並小於180度。
100‧‧‧基板
111‧‧‧圖案化特徵結構/核心
130‧‧‧共形層
131‧‧‧肋部/間隔件
135‧‧‧圖案化層
200‧‧‧裝置結構/基板
201‧‧‧特徵結構
205‧‧‧粒子束/光束
2051‧‧‧粒子束
2052‧‧‧粒子束
210‧‧‧角度
221‧‧‧非平面表面
222‧‧‧經改質區域
223‧‧‧未改質區域
241‧‧‧基板
242‧‧‧沉積層
243‧‧‧圖案化層
250‧‧‧處理順序
252‧‧‧預改質製程
254‧‧‧粒子束改質製程/電漿改質製程/製程
256‧‧‧後改質製程/後製程
260‧‧‧軸
270‧‧‧粒子束源組件
271‧‧‧氣源
272‧‧‧電漿產生源
273‧‧‧電極組件
300‧‧‧處理腔室
301‧‧‧腔室
301A‧‧‧晶粒
301B‧‧‧特徵結構
301C‧‧‧非平面表面
301D‧‧‧中央軸
301E‧‧‧凹口
310‧‧‧處理區域
311‧‧‧泵送系統
315‧‧‧腔室組件
316‧‧‧壁
317‧‧‧氣體輸送源
321‧‧‧孔
322‧‧‧光束傳送元件
330‧‧‧功率源
331‧‧‧天線
332‧‧‧電漿產生區域
335‧‧‧電漿
336‧‧‧壁
341‧‧‧氣源
349‧‧‧光束控制器
350‧‧‧反應劑源
360‧‧‧偏壓組件
363‧‧‧源
364‧‧‧支稱件電極
371‧‧‧基板支撐組件
376‧‧‧端點監控系統
377‧‧‧檢測模組
390‧‧‧系統控制器
500‧‧‧基板
501‧‧‧特徵結構
501A‧‧‧經改質裝置特徵結構
502‧‧‧基板表面
502A‧‧‧場表面區域
511‧‧‧第一側壁
512‧‧‧第二側壁
512A‧‧‧左側壁/側壁特徵結構
512B‧‧‧右側壁/側壁特徵結構
513‧‧‧第二側壁
514‧‧‧底表面
522‧‧‧經改質的區域
531‧‧‧初始長度
532‧‧‧初始寬度
533‧‧‧初始深度
534‧‧‧最終長度
662‧‧‧經改質材料輪廓
664‧‧‧臨界劑量水平
707‧‧‧基板傳送組件
800‧‧‧處理腔室
801‧‧‧基板
810‧‧‧處理區域
811‧‧‧排氣管
816‧‧‧壁
817‧‧‧氣體供應器
820‧‧‧致動器
836‧‧‧壁
840‧‧‧後改質組件
841‧‧‧氣體分配元件
842‧‧‧功率源
843‧‧‧氣源
850‧‧‧反應劑源
900‧‧‧腔室
901‧‧‧基板
910‧‧‧處理區域
928‧‧‧次處理區域
940‧‧‧後改質組件
941‧‧‧氣體分配元件
942‧‧‧選擇性的功率源
943‧‧‧氣源
944‧‧‧泵
945‧‧‧源組件
946‧‧‧壁
947‧‧‧開口
948‧‧‧次處理區域
970‧‧‧致動器
971‧‧‧基板支撐件
1000‧‧‧處理系統
1004‧‧‧處理腔室
1006‧‧‧處理腔室
1007‧‧‧基板傳送組件
1008‧‧‧處理腔室
1009‧‧‧處理區域
1010‧‧‧處理腔室
1012‧‧‧傳送腔室
1014‧‧‧機械手臂
1015‧‧‧處理區域
1016‧‧‧負載鎖定腔室
1018‧‧‧工廠介面
1020‧‧‧機械手臂
1022‧‧‧艙負載器
1024‧‧‧負載鎖定腔室
1028‧‧‧卡匣
1030‧‧‧機械手臂葉片
1032‧‧‧基板致動器組件/基板旋轉組件
1042‧‧‧狹縫閥
1044‧‧‧狹縫閥
1046‧‧‧狹縫閥
1048‧‧‧狹縫閥
1050‧‧‧狹縫閥
1052‧‧‧容積
CS‧‧‧表面集中度
CD‧‧‧臨界劑量集中度/臨界劑量
為使本發明的上述所載明之特徵可被仔細地理解之方式,藉由參照實施例(實施例的一些係描繪於所附隨的圖式中)而獲得本發明較特定的實施方式(如上述所簡單摘要的)。然而,應注意所附隨的圖式僅說明此發明的典型實施例,且因此不因被視為限制此發明的範圍,因為本發明可容許其他等效的實施例。
第1A-1G圖顯示於傳統之自對準雙圖案化(SADP)製程的不同階段期間之元件結構。
第2A圖為依據於此所述之實施例的使用粒子束改質製程而被處理的元件結構之概要截面圖。
第2B圖顯示依據於此所述之實施例的可被用以形成表面改質製程之一或多個方法步驟。
第3圖為依據於此所述之實施例的包含粒子束產生組件之處理腔室的一部分之概要側視圖。
第4圖為依據於此所述之實施例的基板之概要平面圖,該基板接收自粒子束改質設備所產生之粒子束的至少一部分。
第5A圖為依據於此所述之實施例的形成於基板之表面上的結構的概要平面圖,基板係放置以於粒子束改質製程期間接收粒子束。
第5B-5C圖為依據於此所述之實施例的形成於基板之表面上的結構的概要側截面圖,基板係放置以於粒子束改質製程期間接收粒子束。
第5D圖為依據於此所述之實施例的顯示於第5A圖中之結構在已執行粒子束改質製程後的概要平面圖。
第6圖為依據於此所述之實施例的粒子束改質輪廓為基板之表面中的深度之函數的圖。
第7A圖為依據於此所述之實施例的粒子束改質設備之概要側截面圖,粒子束改質設備係適以提供複數個粒子束。
第7B圖為依據於此所述之實施例的粒子束分配為用於粒子束之角度的函數之圖,粒子束係從第7A圖中所示的粒子束源組件所傳送。
第7C圖為依據於此所述之實施例的粒子束改質設備之概要側截面圖,粒子束改質設備係適以提供複數個粒子束。
第7D圖為依據於此所述之實施例的粒子束分配為用於粒子束之角度的函數之圖,粒子束係從第7C圖中所示的粒子束源組件所傳送。
第8圖為依據於此所述之實施例的處理腔室之側視圖,處理腔室經配置以處理基板。
第9圖為依據於此所述之實施例的處理腔室之側視圖,處理腔室經配置以處理基板。
第10圖為依據本發明之一個實施例的叢集工具之平面圖,叢集工具包含多個基板處理腔室。
為幫助理解,盡可能的使用相同的元件符號以指定共用於圖式中的相同元件。應理解於一個實施例中所揭露的元件可有利地使用於其他實施例中而無需特別的載明。
於此所提供之本揭露書的實施例包含利用表面改質製程而用於改質基板之表面的設備及方法。改質基板之表面的製程大體包含當基 板係設置於粒子束改質設備內時,藉由使用一或多個能量粒子束改變基板之表面的物理或化學性質及/或再分配基板之表面上的曝露材料的一部分。本揭露書的實施例亦提供包含一或多個預改質處理步驟及/或一或多個後改質處理步驟的表面改質製程,一或多個預改質處理步驟及/或一或多個後改質處理步驟皆執行於一個處理系統內。本揭露書的一些實施例可提供用以在相同的處理腔室、相同的處理系統內或在二或更多個處理系統中所供應的處理腔室內之任一種中,藉由執行所有的表面改質製程而改質基板之表面的設備及方法。
在一些實施例中,基板之曝露表面的一部分的表面改質可包 含使用粒子束改質製程,粒子束改質製程係執行於次大氣壓力處理環境中。大體而言,粒子束改質製程包含執行優先地改變在基板之外表面上之材料的物理及/或化學性質的一或多個步驟。在一些實施例中,粒子束改質製程係用以改變選擇表面上之材料的性質,選擇表面係相對於到來的粒子束而位於所欲的定向中。選擇性地改質基板的表面或沉積於基板表面上的材料可在執行後處理製程(如,蝕刻製程)之後,使所處理的材料自基板的表面移除,或保留於基板的表面上。改質製程可包含在基板的表面上之所選擇的區域內佈植特定的元素,以改變沉積於基板的表面上之材料的基板之成分、化學結構及/或物理結構(如,結晶結構、密度、晶粒尺寸、粗糙度等)。
大體而言,粒子束改質製程包含方向性地傳送一或多個能量 粒子束,能量粒子束包含空間定位的能量粒子群,能量粒子群被導向基板的表面一段所欲的時間週期。於粒子束中所供應的粒子可具有大約相同的動能並從粒子束源被導向至基板之表面,以改質基板之曝露表面的區域。在一些例子中,粒子束改質製程可包含傳送帶電粒子束,帶電粒 子束包含空間定位的帶電粒子群,帶電粒子群被導向基板之表面。製程可以各種材料執行,諸如導電材料、半導體材料及/或介電材料。粒子束改質製程係大體執行於約0.01mTorr和約10Torr之間的處理壓力中。
第2A圖顯示元件結構200的概要截面圖,元件結構200具有非 平面表面221,非平面表面221係曝露至一或多個能量粒子束205,以改質基板200之非平面表面221。元件結構200可包含圖案化層243及層242,圖案化層243係形成於基板241之表面上,層242係沉積於圖案化層243及底基板241上。由於在圖案化層243之各個區域中的材料的缺少,所沉積的層242之上表面將包含特徵結構,特徵結構可藉由於此所述的粒子束改質製程而被部分地改質,使得部分改質的部分可被選擇性地移除。
粒子束改質製程大體包含從粒子束源組件270傳送至少一個 能量粒子束205至基板200之非平面表面221,以改質在基板之表面上之材料的選擇部分。如第2A圖中所示,粒子束205經配置以處理/改質在基板200之非平面表面221上之區域222。
在粒子束改質製程期間,藉由控制所傳送之粒子束205之每一 者的方向性質及基板之表面對所傳送之粒子束205的定向,基板的某些區域將被改質(諸如經改質區域222),且基板的其他區域將保持未改質(諸如未改質區域223)。因此,由於粒子束改質製程的方向性質,依據改質製程的形式及改質製程在區域222中對材料的影響,基板表面上之改質區域可在後續的後處理製程步驟期間被選擇性地移除。後處理製程可在粒子改質製程的一部分期間而完成,或作為一獨立的製程步驟而完成。在後處理製程期間從基板之表面移除經改質區域之製程通常被稱作「負」型表面改質製程,而在經改質區域被改變,使得經改質區域在執 行後處理製程之後保留在基板之表面上的製程通常被稱作「正」型表面改質製程。
粒子束源組件270(如第2A圖中所示)通常包含氣源271、電漿 產生源272及電極組件273。氣源271大體包含一或多個氣體原子、氣相分子或其他蒸氣傳送源的來源,這些來源可提供包含氣體原子、分子或蒸氣的製程氣體,使得當製程氣體藉由電漿產生源272而離子化時,製程氣體可藉由電極組件273而被抽出,以形成至少部分的(若非為全部的時)粒子束205。
電漿產生源272大體包含電磁能之來源,電磁能經配置以傳送 能量至電漿產生區域,以在電漿產生區域中使用由氣源271所傳送的製程氣體而形成電漿。大體而言,電漿產生源272可使用一或多個電漿產生技術,以在電漿產生區域中形成電漿。電漿產生技術可包含(例如)從電容耦合電漿源、感應耦合電漿源、螺旋形式源、電子迴旋共振(electron cyclotron resonance,ECR)形式源傳送電磁能及/或從微波源傳送微波能量至電漿產生區域。
電極組件273係大體為用以抽取在電漿產生源272之電漿產生 區域內所產生之離子的裝置,以形成並傳送一或多個能量粒子束,能量粒子束之各者包含空間定位的能量粒子群,能量粒子群係導向基板之表面。一或多個能量粒子束205可包含圓柱形的光束、複數個鄰近或重疊的圓柱型光束,或帶形的光束(如矩形的光束)。在處理期間,一或多個能量粒子束205可相對於非平面表面221而移動,且/或基板可相對於能量粒子束205而移動,以改質基板之表面。在一些實施例中,電極組件273包含適以調節所產生之能量粒子束至基板之表面的軌道(如,角度 210)之組件,以補償在非平面表面221上之表面形態之變化並/或改善粒子束改質製程的結果。
第2B圖顯示表面改質處理順序250的一個例子。處理順序250大體包含選擇性的預改質製程252、粒子束改質製程254和後改質製程256。在一些配置中,粒子束改質製程254和後改質製程256可同時地執行。額外地,所需的步驟可直接加入於第2B圖中所示的任何步驟之間,以改善表面改質製程的成果。
處理順序250開始於製程252,其中選擇性的預改質製程係執行於基板之表面上。選擇性的預改質製程252可包含使用乾式或濕式化學清潔製程,乾式或濕式化學清潔製程係用以準備及/或清潔基板之表面的一部分。在一個例子中,氧化層或經汙染層可使用一或多個反應物種而從基板之表面移除,諸如傳送蝕刻氣體或清潔溶劑至基板之表面,以從基板之表面移除材料的一部分。在一些例子中,預改質製程252可包含將基板之表面曝露至含鹵素之電漿一段時間週期、熱除氣製程、非選擇性非反應性之表面蝕刻製程或其他類似的製程。
接著,粒子束改質製程254係執行於基板之曝露表面上,以改質基板之表面上的區域。粒子束改質製程254大體包含傳送能量粒子束至基板之表面一段時間週期。粒子束改質製程可包含同時地及/或依序地傳送複數個粒子束205至基板之表面。所傳送之粒子束的每一者可具有不同的處理性質,諸如光束能量、光束角度、光束成分(如,氣體離子)或其他有用的性質。在一些實施例中,粒子束改質製程步驟包含以數個連續的處理步驟傳送至少一個能量粒子束至基板之表面,以改質基板之表面。粒子束改質製程254亦可包含使用以單一製程步驟或以多個後續製程步驟之一或多個而執行的物理及/或化學材料改質製程。
粒子束改質製程的物理部分包含以能量轟擊基板之表面的一 部分,藉此在粒子束205中的能量粒子使得在基板之經改質區域222中的材料的物理及/或化學性質藉由曝露至粒子束而改變。藉由控制粒子束的參數(如,能量、角度等)及基板之表面被曝露至粒子束205的時間,所欲的經改質的材料輪廓可在基板的表面內達成。所欲的經改質的材料輪廓係大體為以材料的性質為基板內的深度的函數的相對變化。於粒子束改質製程期間所產生的經改質的材料輪廓的貢獻將於下結合第6圖而進一步討論。
典型地,在粒子束205中形成能量粒子的原子及/或分子的質 量越高,粒子束205物理地改變在基板之表面上的改質區域中222的材料之能力也越大。在一些實施例中,粒子束包括從電漿所形成之能量離子及/或中子,電漿包含一或多個氣體原子(諸如氬(Ar)、氖(Ne)、氪(Kr)、氙(Xe)、氡(Rn)、氮(N)、氦(He)和氫(H))及/或分子(諸如氮和氫的化合物(NxHy),或上述原子的組合(如,Ar/Xe)。在一個例子中,粒子束包括氬離子。
可影響粒子束205改質在基板之表面上的材料的另一因素為 入射角度210,入射角度210通常由垂直或正交於基板200之表面的方向測量。在第2A圖中,粒子束2051係定向成正交於基板之表面且粒子束2052係顯示與基板200之表面具有角度210。大體而言,粒子束可具有從約0度(如,正交)變化並小於約90度(如,小於89度)的角度210。
大體而言,粒子束改質製程的化學改質方面將包含在粒子束 205中所供應的離子及自由基,或從環繞粒子束205之處理環境所供應的氣相、蒸氣相及/或氣相或蒸氣相反應物種(如,自由基)與基板之表面的材料之氣相或蒸氣相交互作用。因此,於粒子束205中供應的化學物種 的化學交互作用,或與粒子束205交互作用之化學物種的化學交互作用係用以藉由使用非物理性的方法而幫助改質基板之表面上的材料。在一些例子中,化學材料改質製程可藉由將氣相或蒸氣相化學活性物種與基板之表面反應而發生。因此,在一些實施例中,粒子束205及/或環繞粒子束205之環境包括化學活性氣體(諸如,氫氣(H2)、水氣(H2O)、氯氣(Cl2)、氟氣(F2)、溴氣(Br2)、碘氣(I2))及/或分子(諸如,氨(NH3))。在一個例子中,粒子束205包括含氯(Cl)或含氟(F)離子。在一個例子中,粒子束205包括惰性氣體及蝕刻氣體(諸如包含氬氣及氟氣或氯氣的氣體混合物)。在另一個例子中,粒子束205包括惰性氣體,且環繞基板之處理區域包含蝕刻氣體(諸如氟氣或氯氣)。
接著,後改質製程256係執行於基板上。在一個例子中,後改 質製程256可包含將基板之經改質或未改質區域曝露至製程氣體(諸如蝕刻氣體),以優先地移除設置在基板之表面上經改質或未改質材料的至少一部分。後改質製程移除並/或進一步改質在基板之表面上的曝露區域。電漿改質製程254可提供基板之表面快速且/或部分改質,且後改質製程256可提供在基板之表面上所執行的最終改質製程。粒子束改質製程可改善經改質區域222相對於未改質區域223的蝕刻選擇性,使得經改質區域222在一些應用中在後改質製程(如,負改質製程)期間被優先地移除。替代地,粒子束改質製程可被用以改質在區域222中的材料,使得後改質製程可相對於經改質區域222而被用以選擇性地移除基板的未改質區域223(如,正改質製程)。
在一些實施例中,後改質製程包含將基板之表面曝露至包含 自由基、離子或中子的製程氣體。在一些實施例中,(多個)製程氣體可包含鹵素(如,氟、氟化合物、氯、氯化合物、溴、溴化合物)、含有氨 的化合物或其他有用的氣體或分子。在一些實施例中,後改質製程可包含傳送氣相或蒸氣相蝕刻劑至基板之表面。於後改質製程期間環繞基板的環境可包括蝕刻材料,諸如包含鹵素氣體(諸如氯氣(Cl2)、氟氣(F2)、溴氣(Br2)及碘氣(I2))的蝕刻氣體,及/或分子(諸如氨(NH3))。在一個例子中,粒子束205包括含氯(Cl)離子或含氟(F)離子,且環繞基板的環境可包括蝕刻氣體(諸如包含氟或氯的氣體混合物)。在另一個例子中,後改質製程氣體包括蝕刻氣體,其中蝕刻氣體可包含氟氣(F2)、三氟化氮(NF3)、四氟化碳(CF4)、三氟化硼(BF3)、二氟化氙(XeF2)、三氯化硼(BCl3)、三氟甲烷(CHF3)、六氟乙烷(C2F6)、氯氣(Cl2)或其他碳氟化合物或含氯氣體。
在一個實施例中,後改質製程為遠端電漿輔助乾蝕刻類型製程,遠端電漿輔助乾蝕刻類型製程包含將基板同時曝露至NF3及NH3電漿次產物。在一個例子中,電漿蝕刻製程可類似於或可包含可由加州聖克拉拉的應用材料公司所取得的SiCoNi®蝕刻製程。在一些實施例中,後改質製程256包含使用遠端電漿激發源提供能量至製程氣體,使得被激發的氣體物種在處理期間不會損害基板。遠端電漿蝕刻對於在製程254期間形成的經改質區域222或未改質區域223可具有大量的選擇性。遠端電漿製程可產生固體次產物,固體次產物在當基板材料被移除時,在基板的表面上生成,固體次產物可接著隨後於當基板的溫度升高時藉由昇華而移除。後改質製程可以約5Torr的壓力執行,且約30W的RF功率設定可用以離子化NF3及NH3的混合物,此混合物接著被傳送至基板之表面。次產物可接著藉由以約120℃或更高的溫度退火基板約5秒至約100秒(諸如約60秒)而被昇華。基於氟的清潔方法的其他實施例 包含以電漿加熱或熱力加熱之任一方式反應NF3氣體及F2或無水HF氣體。
在處理順序250的一些實施例中,粒子束改質製程254和後改質製程256係重複至少兩次,以達成經處理材料的所欲數量,經處理材料係待由基板之表面移除。在一些處理順序中,用於粒子束改質製程254的處理性質於當粒子束改質製程254每次重複執行時係完全相同的。在其他處理順序中,用於粒子束改質製程254的至少一個製程變數(如,粒子束能量、角度、環繞基板之環境的化學性質等)係不同於前一個所執行的粒子束改質製程254的製程步驟。
【硬體配置】
第3圖為處理腔室300的概要截面圖,處理腔室300可適以執行電漿改質製程。處理腔室300包含粒子束源組件270,粒子束源組件270經設置以改質基板301之一部分。處理腔室300大體包含腔室組件315和粒子束源組件270。腔室組件315大體包含一或多個壁316,一或多個壁316圍繞處理區域310,於粒子束改質製程期間,基板301係設置於處理區域310中。腔室組件315亦將典型地包含系統控制器390、泵送系統311及氣體輸送源317,系統控制器390、泵送系統311及氣體輸送源317係結合使用以控制處理區域310內的處理環境。泵送系統311可包含一或多個機械泵(如,粗略泵、渦輪泵),一或多個機械泵經配置以控制處理區域310內的所欲壓力。氣體輸送源317可包含一或多個來源,一或多個來源經配置以傳送一數量的惰性及/或反應氣體或一流量的惰性及/或反應氣體至處理區域310。在一些配置中,腔室組件315亦可包含熱源(圖未示)(如,燈、輻射加熱器),熱源係藉由系統控制器390而控制,以於處理期間調整基板301的溫度。在一個例子中,系統控制器390經配 置以於粒子束改質製程期間在處理區域310中控制氣體成分、腔室壓力、基板溫度、氣流或其他有用的製程參數。
腔室組件315亦將典型地包含基板支撐組件371,基板支撐組 件371係適以於處理期間支撐基板。基板支撐組件371可包含一或多個致動器(圖未示),一或多個致動器係適以於處理期間相對於電極組件273而移動或旋轉基板。在一些例子中,基板可繞基板的中央軸301D而旋轉。在需要基板301被移動或旋轉的應用中,一些驅動元件(諸如致動器或馬達)係設置於處理區域310之外側且係使用傳統的真空饋通或其他類似的機械裝置而耦接至在處理區域310內支撐基板301的元件。在一些配置中,一或多個致動器係適以相對於電極組件273而定位基板301,使得一所欲的間隙(圖未示)(間隙係於第3圖中之Z方向上測量)係形成於基板301及電極組件273之間。
如上所述,粒子束源組件270典型地包含氣源271、電漿產生 源272及電極組件273。在一個配置中,如第3圖中所示,氣源271大體包含一或多個獨立的氣源341,一或多個獨立的氣源341各經配置以傳送製程氣體(如,氣體原子、氣相分子或其他含蒸氣的材料)至粒子束源組件270的電漿產生區域332。電漿產生區域332可由壁336所界定。在一個例子中,氣源341經配置以傳送製程氣體至電漿產生區域332,製程氣體包含選自由以下所組成的群組之氣體:氬(Ar)、氖(Ne)、氪(Kr)、氙(Xe)、氡(Rn)、氮(N)、氦(He)、氫(H)、氯(Cl2)、氟(F2)溴(Br2)、碘(I2)、氨(NH3)及/或其組合。
參照第3圖,泵送系統311亦可被獨立地連接至處理區域310 及電漿產生區域332,使得可在每一區域維持不同的壓力。在一個例子中,泵送系統311、氣體輸送源317及/或氣源341經配置成一起作業以於 處理期間以大於處理區域310之壓力維持電漿產生區域332。在一個配置中,電漿產生區域332包含泵(圖未示),泵係獨立於泵送系統311,且經配置而以一所欲水平維持電漿產生區域332中的壓力。
電漿產生源272大體包含電磁能量源,電磁能量源經配置以使 用從一或多個氣源341所輸送的製程氣體而在電漿產生區域332中形成電漿335。電漿產生源272可包含功率源330和天線331,電漿產生源272係與電漿產生區域332電性連通。在一個非限制性的例子中,天線331可為感應耦合電極,當於處理期間,射頻(RF)能量由功率源330傳送至天線331時,感應耦合電極係適以在電漿產生區域332中產生電漿335電極組件273可包含光束控制器349和光束傳送元件322,光束控制器349和光束傳送元件322係用以抽取形成在電漿產生區域332內的離子,以形成一或多個能量粒子束205並通過形成在光束傳送元件322中的一或多個孔321而傳送一或多個能量粒子束205至基板301的表面。孔321的形狀係形成使得具有所欲形狀的光束藉由光束傳送元件322所產生,諸如帶形或圓柱形光束。在一些配置中,孔321亦經設置及對準,以於處理期間將粒子束205導向至基板之表面的所欲部分或區域。 系統控制器390大體經配置以藉由傳送指令至在光束控制器349和光束傳送元件322中所供應的各種組件而控制一或多個能量粒子束205的產生和傳送。耦接至光束控制器349之光束傳送元件322可包含「三極管」組件,三極管組件經配置以抽取在電漿產生源272之電漿產生區域332中所產生的離子,並形成能量粒子束205及通過形成在光束傳送元件322中的孔321而傳送能量粒子束205至基板301的表面之所欲區域。於操作時,三極管組件將包含第一電極、第二電極及第三電極,第一電極、第二電極及第三電極被獨立地偏壓,使得粒子束205的性質(諸如粒子束能 量(如,動能)和方向)可被控制。由於可能在電漿335中形成正離子或負離子,施加至各電極的偏壓可被相應地調整,以產生及傳送具有所欲成分和能量的粒子束205至基板301的表面。在一些實施例中,在粒子束205中的粒子(如,帶電粒子或中子)被以(例如)約0.1keV至20keV的能量而傳送至基板的表面。
腔室組件315可包含偏壓組件360,偏壓組件360係與系統控 制器390通訊且經配置以傳送能量至處理腔室300之處理區域310。偏壓組件360大體包含支撐件電極364及源363,源363係耦接至地面且可被用以移除在執行電漿改質製程期間或之後在基板301上所供應的任何累積電荷。為移除在基板上所供應的任何多餘的電荷,源363可利用AC或高頻功率源(如,2MHz至200MHz功率源),AC或高頻功率源(如,2MHz至200MHz功率源)經配置以於在處理區域310中所執行的一或多階段的電漿改質製程期間,在基板301上形成電漿。相信所形成的電漿將提供路徑至地面,此將允許在基板中任何儲存的電荷被消除。在一些例子中,偏壓組件360亦可被用以於電漿改質製程254期間幫助控制撞擊基板301之表面的粒子束205之軌跡及/或能量。
在一些實施例中,腔室301亦可包含反應劑源350,反應劑源 350經配置以傳送反應氣體至基板的表面之區域,基板的表面之區域係用以接收或正在接收所產生的粒子束205。在一個配置中,反應劑源係遠端電漿源(remote plasma source,RPS),遠端電漿源經配置以提供含離子、自由基及/或中子的氣體至基板的表面,以引起改質及/或從基板的表面移除材料的一部分。RPS可包含適以在製程氣體內產生離子或自由基的電容耦合的、感應耦合的或微波形式源,製程氣體係通過RPS組件之一部分從氣源傳送。在一些例子中,反應劑源350可提供至少一個 後處理製程氣體至基板的表面,使得製程254及256可同時執行。在一些例子中,反應氣體被提供至基板的區域,以強化粒子束改質製程254,使得後續的後改質製程256更快速的執行。
第4圖為設置於處理腔室300之處理區域310內之基板301的 平面圖。基板301可包含複數個晶粒301A,複數個晶粒301A包含形成在複數個晶粒301A中的複數個特徵結構301B。典型地,複數個晶粒301A係相對於對準光罩和基板301的凹口301E而對準。特徵結構301B將大體包含在基板301之非平面表面301C中的突起和凹陷,突起和凹陷將使用於此所述的製程而被選擇性地改質。特徵結構301B可類似於與第2A圖一起於上所討論的特徵結構,且僅提供作為可使用於此所述之製程而改質之特徵結構的例子。
在處理腔室300的一些實施例中,基板檢測模組377(第3圖) 係用以檢測基板301及從而特徵結構,並相對於粒子束源組件270而定向基板301及從而特徵結構301B,使得粒子束可被導向以僅改質在基板上被所欲地定向的特徵結構301B。大體而言,檢測及對準裝置可包含相機(圖未示(如,CCD相機))及一或多個致動器(圖未示)(諸如具有旋轉致動器(繞Z方向)的X-Y平台)。相機和一或多個致動器係與系統控制器390通訊,使得系統控制器390可提供指令給系統中的各個組件,以基於由相機所接收的資料和一或多個致動器的控制而重新定向及/或重新定位(如,角度及/或X-Y位置(第4圖))基板。一或多個致動器可被耦合至基板支撐元件(諸如基板支撐組件371)。檢測模組377亦可經配置以決定基板的定向並提供關於所決定之定向的資訊給系統控制器,使得系統控制器可基於所提供的資訊,使基板傳送組件(如,機械手臂、X-Y平台)將 基板在處理腔室中的基板支撐表面上相對於處理期間之基板,或粒子束源組件270的相對移動,而定位於所欲定向上。
在一個配置中,如第4圖中所示,單一帶形粒子束205經定向並越過基板301的表面而傳送,以改質基板301的表面301C之部分。在一些實施例中,粒子束205係相對於基板之表面而以所欲的、偏好的角度而維持,以確保所產生的粒子束205或複數個所產生的粒子束205之布局、定向或方向本質可被用以改質某些的特徵結構,這些特徵結構係以相對於基板表面之特定方向而對準,諸如與以下之第5A至5D圖一起討論的方向。在一個例子中,如第4至5B圖中所示,粒子束源組件270經配置以傳送帶形光束(如,粒子束205),帶形光束係以平行X-Z平面並以相對於正向(Z方向)之掠射角的方式提供。在此配置中,處理腔室300可包含移動基板支撐組件371,移動基板支撐組件371經配置以當基板301被設置於處理區域310時,相對於粒子束205而定位、支撐並移動基板301。藉由相對粒子束205而改變基板301之位置,由於入射粒子束205的方向本質,只有相對於粒子束具有特定定向的區域將被改質。移動基板支撐組件371經設置而以相對於(多個)粒子束205被傳送之方向具有一角度之方向而移動基板,使得僅有以特定方式在基板之表面上定向之特徵結構藉由所傳送之(多個)粒子束而改質。大體而言,在移動方向和光束方向間的角度將為一非零且為非平行的角度。在一些實施例中,基板係相對於所傳送的粒子束205及/或移動方向而維持於固定定向。在一個例子中,移動基板支撐組件371經配置以實質垂直粒子束被傳送之方向而移動基板。在此例子中,移動基板支撐組件371可經配置以在Y方向上移動基板,同時在X-Z平面中提供的掠射角度光束(第4圖)被傳送至在X-Y平面內具有固定定向之基板的表面。
參照第2B圖,於處理期間,製程254可因此包含以下的複數個次製程步驟。首先,一或多個氣源341傳送一或多個惰性及/或反應氣體至由壁336所圍繞之電漿產生區域332。電漿產生源272接著傳送一數量的電磁能量至所傳送的製程氣體,以在電漿產生區域332中形成電漿335。電極組件273和系統控制器390接著被用以抽取在電漿產生區域332內所供應的離子,以形成、控制並傳送一或多個能量粒子束205(能量粒子束205各具有所欲的粒子束性質)至設置在處理腔室300之處理區域310內的基板支撐件上之基板301的表面。在製程254的一些配置中,系統控制器390、泵送系統311及氣體輸送源317係結合使用以控制在處理區域310內的處理環境。氣體輸送源317和泵送系統311係通常被用以控制於處理區域310內所供應的處理環境之壓力及/或氣體成分。在一些例子中,處理環境可包含惰性及/或製程氣體之任一者,以促進電漿改質製程。於製程254期間,基板及/或一或多個能量粒子束205可相對於彼此而移動,以強化在基板之特定區域上的電漿改質製程。接著,在系統控制器390由端點監控系統376接收訊號後,或藉由簡單地達到基板被曝露至一或多個能量粒子束205之所欲時間後,停止在製程254中所執行的電漿改質製程。
【表面改質製程例子】
第5A至5D圖顯示在表面改質處理順序之不同階段期間,在基板上形成的元件特徵結構501之例子。在所描述的例子中,在基板之表面處於粒子束改質製程期間改質的材料為在基板上形成之半導體元件之接點層或通路層所供應的材料。這些材料可包含形成硬遮罩、中間介電質、含金屬溝槽及穿孔、淺溝槽絕緣(shallow trench isolation,STI)特徵結構、間隔件、閘介電質及/或其他有用材料的導電材料(如,金屬) 及/或介電材料。第5A圖為在執行表面改質處理順序之前之基板500的表面502中所形成之元件特徵結構501的平面圖。在此例子中,元件特徵結構501為在基板表面502之中央區域中所形成的凹陷。元件特徵結構501具有初始尺寸(諸如初始長度531(第5A圖)、初始寬度532(第5A圖)及初始深度533(第5B圖))。特徵結構501亦包含第一側壁511、第二側壁512、513及底表面514,其中第一側壁511相對於第二側壁512、513具有一角度(如,彼此垂直)。
接著,在此例子中,在選擇性地執行預改質製程252於基板500上之後,粒子束改質製程(製程254)係執行於基板上。第5B及5C圖為當粒子改質製程執行於元件特徵結構501上時,沿著剖面線5B-5B和5C-5C所取得之元件特徵結構501之不同部分的側截面圖。如第5B圖中所示,粒子束205之方向本質(亦即,以負X方向提供)改質所選擇基板500之表面502之曝露區域,以形成經改質的區域522。在此例子中,粒子束205為越過基板之表面而傳送之帶光束,其中在粒子束205中所傳送的粒子係以負X方向引導,且位於X-Z平面內。如第5B圖中所示,場表面區域502A、左側壁512A及底表面514藉由傳送的粒子束205而改質,且右側壁512B保持未改質。如第5C圖中所示,由於粒子束205的方向本質(亦即,以負X方向提供),僅場表面區域502A和底表面514藉由傳送的粒子束205而改質,且側壁511保持未改質。在完成製程254之後,原始的元件特徵結構501現包含經改質的特性,如於第5B及5C圖中之經改質區域522所示。
接著,在完成製程254之後,後製程256係執行於基板上,以從基板移除經改質區域222。在此例中,後製程256包含將基板曝露至乾蝕刻處理順序,以移除經改質區域222。第5D圖為在基板500之表面502 中所形成之經改質裝置特徵結構501A的平面圖。在此粒子中,原始裝置特徵結構501(具有初始長度531、初始寬度532及初始深度533)已被改變,使得經改質裝置特徵結構501A現具有最終長度534,但初始寬度532及初始深度533將保持不變。假設形成在(多個)場表面區域502A和底表面514上的經改質區域522將以相同的速率被移除,使得初始深度533在執行製程252-256之後保持不變。因此,由於粒子束的方向本質,表面改質製程在負X方向上選擇性地加長原始裝置特徵結構501一數量,此數量等於最終寬度534減掉初始寬度531,在一些例子中,此數量等於在左側壁512A上所形成之經改質區域522的厚度。
雖然第5A-5D圖顯示在基板之表面內形成之裝置特徵結構501,此配置並不意欲被限制為於此所提供之揭露書的範圍,因為從基板之表面突出的特徵結構(如在第1C及1D中的符號111及131)亦可使用於此揭露之技術而被所欲地處理。
第6圖為沿軸260(第2A圖)延伸進入基板200之表面而繪製的經改質材料輪廓662的圖。經改質材料輪廓為應用至經改質區域222中所供應之基板的表面的改質之數量,以深度作為函數的圖形表示。藉由控制粒子束參數及基板之表面曝露至粒子束205的時間,所欲的經改質材料輪廓可在基板之表面內達成。在粒子束改質製程經調整以將元素或分子佈植入基板之表面的一個例子中,經改質材料輪廓表示所佈植之元素的集中度為深度之函數(如,沿著軸260所測量之原子數/cm3)。因此,在基板之表面包含矽(Si)、摻雜矽(如,n型或p型)、氧化矽(SiOx)、氮化矽(SiN)或其他有用的矽化合物的一些例子中,所佈植的元素可包含優先地改變基板之表面的氫(Hx或Hx +)或摻雜原子(如,硼(B)、鎵(Ga)、磷(P)、砷(As)等)。替代地,在一個例子中,粒子束改質製程經調整以 藉由將含有氣體或分子之粒子束導向至基板之表面而首先改變在基板之表面處之材料的物理結構(如,非晶化、改變結晶結構),且因此在第6圖中所示之經改質材料輪廓表示所改變的物理結構之集中度為深度之函數(如,沿著軸260所測量之非晶化區域的厚度、瑕疵數/cm3、錯位/cm3等)。因此,在一些粒子中,粒子束205可包含惰性氣體,諸如氬(Ar)、氖(Ne)、氪(Kr)、氙(Xe)、氡(Rn)、氮(N)、氦(He)或上述原子的組合。
經改質材料輪廓662大體將具有表面集中度CS及臨界劑量集 中度CD,其中改質參數的集中度水平(如,所佈植元素的集中度、瑕疵的集中度等)等於或大於臨界劑量CD,臨界劑量CD界定經改質區域222的深度。大體而言,若使用負改質製程,臨界劑量CD將界定於後改質製程步驟期間將被移除之材料的深度。因此,小於臨界劑量CD之改質參數的集中度水平將於後改質製程256期間相較於在臨界劑量CD上之集中度水平,傾向於被較緩慢地移除。因此,期望為深度之函數的經改質材料輪廓的傾斜度在已達到臨界劑量(CD)水平664之後變得陡峭。大體而言,在臨界劑量水平664處的臨界劑量CD之數量將取決於在粒子束改質製程所接收之材料的特質和後改質製程的特性(諸如(例如)於後改質製程期間所使用的化學物質的種類、製程時間、製程溫度、電漿功率(若有使用的話)及於後改質製程期間所使用的處理化學的集中度)而變化。
回頭參照第5B圖,為允許改質在平面內之所有表面,且因此 可能地避免特徵結構501之右側邊緣的遮蔽效應(遮蔽效應防止側壁512B被改質),可期望地提供於粒子束改質製程254期間以不同方向而傳送的多個粒子束。在一個例子中,如第7A圖中所示,粒子束源組件270可經配置以傳送以不同方向所傳送之至少兩個粒子束205(諸如相對方向(亦即,負X和正X方向))。如第7B圖中所示,粒子束源組件270可經 配置而以雙模態分佈傳送兩光束205,其中在每個粒子束205中所提供之能量例子的分布(亦即,光束強度I1及I2)係以一較佳角度而導向,諸如用於正X方向粒子束205之角度A1及用於負X方向粒子束205之角度A2。因此,若相反方向粒子束配置已使用於第5A至5D圖中所示之製程例子中(其中相反光束係傳送於相同平面中或於平行平面中),兩個相反的側壁特徵結構512A及512B(第5圖)可被改質,同時側壁511(第5C圖)將仍保持未改質。在此例中,相較於如上所討論之僅負X方向之尺寸,在第5A圖中之特徵結構501之雙X方向的尺寸可被改變。
在第7C圖中所示的其他配置中,粒子束源組件270可經配置以傳送各於不同方向傳送之至少三個粒子束205。如所示,三個粒子束205係以負X方向、正X方向及正交方向傳送。如第7D圖中所示,由粒子束源組件270所提供之多重粒子束的效應之總和的強度經配置以傳送較寬的粒子束能量分布,其中由粒子束205所提供之能量粒子的分布具有如光束強度I3所示的平均形狀。藉由改變由不同粒子束所提供之能量,分布的形狀可被改變,以改善粒子束改質製程之一些方面。
處理腔室和系統例子
第8圖為依據本揭露書之一個實施例之用以執行一或多個基板處理步驟的處理腔室800之側視圖。處理腔室800包含複數個粒子束源組件270(顯示為三個),複數個粒子束源組件270個經配置以傳送一或多個粒子束205至基板801之表面。粒子束源組件270經配置以傳送一或多個粒子束205至基板301之表面於當基板藉由使用致動器820(諸如經配置以相對於粒子束源組件270而移動基板801之線性致動器)相對於粒子束源組件270而被移動時。在一些配置中,致動器820係適以相對於粒子束源組件270以往復運動(如,正X方向和負X方向)移動基板801。基板 可相對於沿著一或多個軸(如,Y軸及Z軸)所提供之粒子束205之定向而維持於一固定定向,且相對於沿其他軸(如,X軸)之粒子束而移動。在粒子束改質製程254之前或於粒子束改質製程254期間,可能需要偵測在基板之表面上形成之特徵結構的對準,並使用在處理腔室800中所供應的硬體組件(諸如致動器820)相對於粒子束源組件270而對準基板。
類似於前面所討論的,在處理腔室800內之粒子束源組件270 之每一者可經配置以提供相同種類的粒子束205至基板之表面於當基板801藉由使用致動器820相對於粒子束源組件270而移動時。在另一個配置中,兩或更多個粒子束源組件270係適以提供具有不同處理性質(諸如光束能量、光束角度(如,第2A圖中之角度210)、相對於基板之傳輸方向之光束角度、光束成分(如,氣體離子)或其他有用的特質)之至少一個粒子束205至基板之表面。在一些例子中,可能需要藉由第一粒子束源組件270而提供較高的能量粒子束205至基板之表面,且接著較少侵略性的改質製程係藉由第二及/或第三粒子束源組件270而執行。
第9圖為依據本揭露書之一個實施例,可被用以執行處理順序 250之多個處理步驟之處理腔室800的側視圖。處理腔室800至少部分地藉由壁816而界定處理區域810。在一個例子中,處理腔室800可經調適以執行至少部分的電漿改質製程254和後製程256。處理腔室800大體包含腔室組件315及後改質組件840,腔室組件315經配置以傳送一或多個粒子束205至基板901之表面。電漿產生區域332可由壁836所界定。腔室組件315經配置以傳送一或多個粒子束205至基板301之表面於當基板301藉由使用致動器970相對於粒子束源組件270而移動時。在一個例子中,致動器970可為經配置以相對於粒子束源組件270和後改質組件840而移動基板901之線性致動器。在一些配置中,致動器970係適以相 對於粒子束源組件270和後改質組件840以往復運動(如,正X方向和負X方向)移動基板901。基板可相對於沿著一或多個軸(如,Y軸及Z軸)所提供之粒子束205之定向而維持於一固定定向,且相對於沿其他軸(如,X軸)之粒子束而移動。在一些實施例中,腔室800亦可包含反應劑源850,反應劑源850經配置以傳送反應氣體至待接受,或正在接受一或多個粒子束205之基板的表面之區域。在一些實施例中,腔室800亦可包含氣體供應器817和排氣管811,以控制處理區域810內的壓力。
在一個實施例中,後改質組件840經配置以在粒子束改質製程254係於腔室組件315中執行之後,執行後改質製程256。後改質組件840大體包含在腔室800內界定次處理區域948之源組件945和壁946。源組件945可包含氣源843、氣體分配元件841及選擇的功率源842,氣體分配元件841經配置以傳送處理氣體至基板901之表面於當基板901係設置於次處理區域948內時,選擇的功率源842(如,RF功率源)係連接至氣體分配元件或遠端電漿系統的其他電極或部分。選擇的功率源842可為電源供應器,電源供應器係適以偏壓氣體分配元件841,以在次處理區域948中形成電漿,或選擇的功率源842可為遠端電漿源,遠端電漿源經配置以傳送離子或自由基至氣體分配元件841及次處理區域948。替代地或額外地,氣源843可經配置以傳送於上所討論與製程256相關的包含自由基、離子及/或中子的製程氣體。
於處理期間,次處理區域948被大體界定於當基板901和基板支撐件971被設置鄰近於壁946下方之開口947時。在此配置中,在處理順序250中的一或多個處理制度(如製程252及/或256)可使用處理腔室800之後改質組件840而執行於基板901上,而不汙染處理腔室800之處理區域810。在一些配置中,後改質組件840包含泵944,泵944係適以 抽空次處理區域948,以防止於處理期間在次處理區域948中所供應的製程氣體洩漏至處理區域810內。
在一些實施例中,當基板係設置於處理腔室800中時,粒子束改質製程254和後改質製程256可被執行於基板上。在一些實施例中,粒子束改質製程254係藉由腔室組件315之組件所執行,且後改質製程256係使用後改質組件840之組件而執行。此外,粒子束改質製程254和後改質製程256順序可在處理腔室800內被重複至少兩次,以確保從基板之表面移除所欲數量的材料。在一個例子中,處理順序250可包含在處理腔室800中以此順序執行製程254、製程256、製程254及製程256。
叢集工具配置例子
第10圖為依據本發明之一個實施例之用以執行一或多個基板處理步驟之處理系統1000之平面圖。在處理系統1000中所供應之一或多個處理腔室係適以執行於此所述之電漿改質製程。處理系統1000大體產生各種製程(諸如粒子束改質製程)可被執行於基板上的處理環境。處理系統1000大體包含系統控制器390,系統控制器390經編程以實施在處理系統1000中執行的各種製程。
系統控制器390可被用以控制在處理系統中所供應的一或多個組件。在一些配置中,系統控制器390可形成於上所討論之系統控制器390的一部分。系統控制器390經大體設計以幫助處理系統1000的控制和自動化,且通常包含中央處理單元(CPU)(圖未示)、記憶體(圖未示)及支援電路(或I/O)(圖未示)。CPU可為使用於工業設定中之任何形式之電腦處理器的一種,工業設定係用以控制各種系統功能、基板移動、腔室製程和控制支援硬體(如,感應器、機械手臂、馬達、燈等);及用以監控在系統中所執行的製程(如,基板支撐件溫度、電源供應器變數、 腔室製程時間、I/O訊號等)。記憶體係連接至CPU且可為易於取得之記憶體,諸如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟、硬碟或任何其他形式的局部或遠端數位儲存器。軟體指令和資料可被編碼及儲存於記憶體內,用以指示CPU。支援電路亦可連接至CPU而以傳統方式支援處理器。支援電路可包含快取、電源供應器、時脈電路、輸入/輸出電路、次系統及類似者。可藉由系統控制器390所讀取的程式(或電腦指令)決定何種工作係可在一或多個處理腔室及處理系統1000中執行於基板上。較佳地,程式為可藉由系統控制器390可讀的軟體,軟體包含程式碼,用以和在處理系統1000中待執行之各種製程配方任務和各種製程配方步驟一起執行與基板的移動、支撐及/或定位的監控、執行和控制有關的工作。
處理系統1000包含耦接至傳送腔室1012之複數個處理腔室1004、1006、1008、1010。每一處理腔室1004、1006、1008、1010可經配置以每次處理一或多個基板301。處理腔室1004、1006、1008、1010可具有相同的或不同的基板處理容量。舉例來說,處理腔室1004和1006可同時處理六個基板,而處理腔室1008和1010可被調適以每次處理一或多個基板。
處理系統1000亦可包含連接至傳送腔室1012之負載鎖定腔室1016和1024。在一個實施例中,負載鎖定腔室1016和1024亦可作為一或多個服務室,用以提供在處理系統1000內用於處理的各種功能,舉例來說,基板定向、基板檢測、加熱、冷卻、除氣或類似功能。
在一個實施例中,負載鎖定腔室1016、1024或工廠介面1018包含基板檢測組件(如,檢測模組377),基板檢測組件可偵測在系統內相對於一或多個特徵結構之基板(如,基板凹口)的位置和定向。在一些 例子中,基板檢測組件經配置以偵測基板的現在位置和定向,並接著重新定位和重新定向基板,使得基板可藉由處理系統之機械手臂元件而被正確地定位和定向於處理腔室1004、1006、1008、1010之一者中。基板檢測組件可接著被用以至少定向基板,使得粒子束改質製程254可被所欲地對準至基板之表面上所形成的特徵結構。
傳送腔室1012界定傳送容積1052。基板傳送機械手臂1014係設置於傳送容積1052中,用以在處理腔室1004、1006、1008、1010、負載鎖定腔室1016或1024之間傳送基板301。傳送容積1052係分別藉由狹縫閥1044、1046、1048、1050、1042而與處理腔室1004、1006、1008、1010、負載鎖定腔室1016和1024選擇性的流體連通。在一個例子中,當基板通過處理系統1000而被傳送時,傳送容積1052可被維持在次大氣壓力下。
處理系統1000包含連接一或多個艙負載器1022和負載鎖定腔室1016及1024之工廠界面1018。負載鎖定腔室1016和1024在工廠界面1018和傳送腔室1012之間提供第一真空介面,第一真空介面可在處理期間維持在真空狀態中。每一艙負載器1022經配置以容納用以保持和傳送複數個基板的卡匣1028。工廠界面1018包含經配置以在負載鎖定腔室1016和1024及一或多個艙負載器1022之間傳送基板的FI機械手臂1020。
基板傳送機械手臂1014包含機械手臂葉片1030,用以攜帶一或多個基板301於處理腔室1004、1006、1008、1010、負載鎖定腔室1016和1024之間,並負載/卸載每一腔室。
每一處理腔室1004、1006、1008、1010可經配置以執行於此所述之電漿改質製程。然而,在處理系統1000的一個實施例中,處理腔 室1004和1006係適以使用複數個粒子束源組件270在複數個基板上執行電漿改質製程。處理腔室1004和1006將大體包含與第1-9圖一起討論於上之一些或全部的處理腔室硬體組件。在一個配置中,處理腔室1008和1010各可經調適以在基板301被插入處理腔室1004或1006任一者中之前,在基板301上執行一或多個處理步驟(如,製程252),及/或以在基板301在處理腔室1004或1006之任一者中被處理之後,在基板301上執行後改質處理步驟(如,製程256)。
在處理系統1000的一個配置中,處理腔室1004和1006各包含基板傳送組件1007,基板傳送組件1007,經配置以保持和運輸分別被保持在處理腔室1004或1006之處理區域1009或1015內之複數個基板301。在一個例子中,每一基板傳送組件1007係適以保持六個基板301並藉由使用傳統的旋轉硬體組件而繞處理腔室1004或1006之中央軸711而旋轉基板301。基板傳送組件1007係因此能相對於每一粒子束源組件270而傳送並定位基板301,粒子束源組件270經設置以分別處理在處理腔室1004或1006之處理區域1009或1015中所供應的基板301。
在處理腔室1004的一些配置中,設置在基板傳送組件1007上之基板301之每一者可藉由使用基板致動器組件相對於粒子束源組件270而被獨立地移動。在此例中,基板旋轉組件1032大體包含經配置以獨立地、具方向性地移動、定位及/或定向基板支撐元件(圖未示)之致動器(圖未示),基板於處理期間相對於基板傳送組件1007而放置於基板支撐元件上。基板旋轉組件132藉此作為基板致動器組件而使用。
然而,在一些實施例中,由每一粒子束源組件270所產生的粒子束205可相對於基板的表面(如,X-Y平面)而移動。在此例中,在每一 粒子束源組件270內所供應的致動器(圖未示)經配置以相對於基板而移動及/或定向光束傳送元件322(第3圖),以確保完成基板之表面的處理。
舉例來說,在處理腔室1004中所執行的處理順序期間,傳送機械手臂1014將基板301傳送至基板傳送組件1007上的開放位置,並接著基板傳送組件1007和系統控制器390一起工作以定位基板301在一或多個粒子束源組件270下供處理。傳送機械手臂1014可重複此連續負載基板之工作直到在處理腔室1004中的所有位置被填滿,且接著一次在所有的基板301上執行批次處理的電漿改質處理順序。在(多個)基板於處理腔室390內被成功地處理之後,傳送機械手臂1014接著經配置以從處理區域1009移除基板。
替代地,在一些例子中,傳送機械手臂1014可如所需地插入基板至處理腔室1004中並從處理腔室1004移除基板,使得連續執行的電漿改質製程可被執行於依序地載入處理腔室1004中之每一基板301上。在一些處理配置中,基板傳送組件1007經配置以連續地定位所接收的基板在每一粒子束源組件270之下,使得電漿改質處理順序的至少一部分在基板離開處理腔室之前,係藉由每一粒子束源組件270而被執行於基板上。在一個配置中,在處理腔室390內的每一粒子束源組件270經配置以於連續製程期間獨立地提供相同種類的粒子束205至基板的表面。在其他配置中,兩或更多個粒子束源組件270係各適以獨立地提供具有一些不同處理性質(諸如光束能量、光束角度(如,角度210)、相對於基板傳送組件1007之徑向位置之光束角度、光束成分(如,氣體離子)或其他有用的特質)之粒子束205至基板之表面。
在處理腔室1004或1006的一些配置中,在每一粒子束源組件270下方的面積或區域可獨立於鄰近的粒子束源組件270,使得不同的處 理環境可被維持於每一粒子束源組件270的下方。在一個配置中,至少部分包圍的區域(如,氣簾或實體壁)係繞每一粒子束源組件270而形成,使得每一基板301可藉由基板傳送組件1007而被放置於次處理區域內,且藉由粒子束源組件270而被獨立地處理。在一些例子中,每一次處理區域經配置以執行製程254及256,使得當基板藉由基板傳送組件1007通過每一次處理區域而被連續地移動時,每一經處理的基板的一部分可在每一次處理區域中被連續地移除。
儘管前面部分係涉及本發明的實施例,本發明的其他和進一步的實施例可被設計,而不背離本發明之基本範圍,且本發明的範圍係由以下的申請專利範圍所決定。

Claims (18)

  1. 一種用以改質一基板之一表面的設備,包括:一腔室組件,該腔室組件由一或多個腔室組件壁所界定;該腔室組件中的一空間,該空間至少部份地由該一或多個腔室組件壁所界定;一基板支撐件,具有一基板支撐表面,該基板支撐件設置在該空間中;一第一粒子束源組件,該第一粒子束源組件設置在該空間中,該第一粒子束源組件包括:一第一電漿產生源,該第一電漿產生源經配置以在一電容耦合電漿產生區域形成一電漿,該電容耦合電漿產生區域由一或多個電漿產生區域壁所界定,該第一粒子束源組件經配置以產生以一第一方向導向的一第一粒子束,其中該第一粒子束係導向至該基板支撐表面,且該第一方向係以一第一掠射角度而相對於一第二方向,該第二方向係正交於該基板支撐表面;一反應劑源,該反應劑源包括一遠端電漿源,該反應劑源經配置以傳送一反應氣體至該一或多個電漿產生區域壁與該基板支撐表面之間的一區域(area);一或多個次區域壁,該一或多個次區域壁設置在該空間內,該一或多個次區域壁界定該空間的一次區域;一後改質組件,該後改質組件設置在該空間中的該次區域中,該後改質組件包括一氣體分配元件;及一致動器,經配置以相對於該第一粒子束在一第三方向上移動該基板支撐表面,其中該第三方向係與包含該第一方向之一平面具有一角 度。
  2. 如請求項1所述之設備,其中該角度係約90°且當該基板支撐件在該第三方向上移動時,該基板支撐表面係相對於該第一方向而維持於一固定定向中。
  3. 如請求項1所述之設備,其中該反應劑源進一步包括一製程氣體源,經配置以傳送一製程氣體通過該遠端電漿源並傳送至該基板支撐表面。
  4. 如請求項3所述之設備,其中該製程氣體包括一氣體,該氣體選擇性地移除在一基板之一表面上所形成的一經改質區域的一部分,該基板之該表面係已曝露至由該第一粒子束源組件所產生的第一粒子束。
  5. 如請求項1所述之設備,其中該第一粒子束源組件進一步包括一第一光束抽取組件,該第一光束抽取組件經配置以同時地產生:該第一粒子束;及一第二粒子束,以一第四方向離開該第一光束抽取組件,其中該第二粒子束係導向該基板支撐表面,且該第四方向係以一第二掠射角度而相對於該第二方向。
  6. 如請求項1所述之設備,進一步包括:一第二粒子束抽取組件,經配置以同步地產生一第二粒子束,該第二粒子束以一第四方向離開該第二粒子束抽取組件,其中該第二粒子束係導向該基板支撐表面,且該第四方向係以一第二掠射角度而相對於該第二方向。
  7. 如請求項1所述之設備,進一步包括:一檢測模組,經配置以決定一基板的一定向並提供與所決定的定向 有關之資訊給一系統控制器,其中該系統控制器經配置以使得該基板基於所提供的該資訊以相對於該第一方向的一定向而被定位於該基板支撐表面上。
  8. 一種處理一基板之方法,包括以下步驟:朝一基板之一表面傳送一第一粒子束,其中該第一粒子束係以一第一方向導向,該第一方向係以一第一掠射角度而相對於一第二方向,該第二方向係垂直於該基板;相對於該第一粒子束而移動該基板,包括在一第二方向上移動該基板,該第二方向係以一角度而相對於第一方向;及傳送一製程氣體至該基板之該表面,以優先地移除曝露至所傳送之該第一粒子束之該基板的一部分或未曝露至所傳送之該第一粒子束之該基板的一部分。
  9. 如請求項8所述之方法,其中該角度係約90°且當該基板係相對於該第一粒子束而移動時,該基板係相對於該第一方向而維持於一固定定向中。
  10. 如請求項8所述之方法,其中傳送該製程氣體至該基板之該表面的步驟被執行的同時,亦傳送該第一粒子束導向該基板之該表面。
  11. 如請求項8所述之方法,進一步包括:傳送一第二粒子束導向該基板之該表面,其中該第二粒子束係以一第三方向中導向,該第三方向係以一第二掠射角度而相對於該第二方向。
  12. 如請求項8所述之方法,進一步包括:檢測一基板,以決定該基板之一定向;及 使該基板基於所提供之該資訊相對於該第一方向而被定位。
  13. 一種用以改質一基板之一表面的系統,包括:一傳送腔室,具有一傳送區域;一第一處理腔室,係耦接至該傳送腔室,其中該第一處理腔室包括:一基板支撐件,具有一基板支撐表面;一第一粒子束源組件,經配置以產生以一第一方向導向的一第一粒子束,其中該第一粒子束係導向至該基板支撐表面,且該第一方向係以一第一掠射角度而相對於一第二方向,該第二方向係正交於該基板支撐表面;及一致動器,經配置以相對於該第一粒子束在一第三方向上移動該基板支撐表面,其中該第三方向係與包含該第一方向之一平面具有一角度。 一第二處理腔室,係偶接至該傳送腔室,且經配置以傳送一製程氣體至一基板支撐表面,其中該製程氣體包括一氣體,該氣體選擇性地移除在一基板之一表面上所形成的一經改質區域的一部分,該基板之該表面係已曝露至由該第一粒子束源組件所產生的第一粒子束;及一基板傳送機械手臂,設置於該傳送區域中,並經配置以負載和卸載設置於該第一處理腔室和該第二處理腔室中的多個基板。
  14. 如請求項13所述之系統,其中該角度係約90°且該基板支撐表面係相對於該第三方向而維持於一固定定向中。
  15. 如請求項13所述之系統,其中該第一處理腔室進一步包括:一反應劑源,經配置以傳送一製程氣體至該基板支撐表面,其中該反應劑源包括: 一遠端電漿源;及一製程氣體源,經配置以傳送一製程氣體通過該遠端電漿源並傳送至一處理區域,該基板支撐表面係設置於該處理區域中。
  16. 如請求項13所述之系統,其中該第一粒子束源組件進一步包括一第一光束抽取組件,該第一光束抽取組件經配置以同時地產生:該第一粒子束;及一第二粒子束,以一第四方向離開該第一光束抽取組件,其中該第二粒子束係導向該基板支撐表面,且該第四方向係以一第二掠射角度而相對於該第二方向。
  17. 如請求項13所述之系統,其中該第一處理腔室進一步包括:一第二粒子束抽取組件,經配置以同步地產生一第二粒子束,該第二粒子束以一第四方向離開該第二粒子束抽取組件,其中該第二粒子束係導向該基板支撐表面,且該第四方向係以一第二掠射角度而相對於該第二方向。
  18. 如請求項13所述之系統,進一步包括:一檢測模組,經配置以決定一基板的一定向並提供與所決定的定向有關之資訊給一系統控制器,其中該系統控制器經配置以使得該基板基於所提供的該資訊以相對於該第三方向的一定向而被定位於該基板支撐表面上。
TW104113954A 2014-05-06 2015-04-30 用於多方向裝置加工的方向處理 TWI657482B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201461989405P 2014-05-06 2014-05-06
US61/989,405 2014-05-06

Publications (2)

Publication Number Publication Date
TW201606852A TW201606852A (zh) 2016-02-16
TWI657482B true TWI657482B (zh) 2019-04-21

Family

ID=54368464

Family Applications (2)

Application Number Title Priority Date Filing Date
TW104113954A TWI657482B (zh) 2014-05-06 2015-04-30 用於多方向裝置加工的方向處理
TW108108232A TWI704596B (zh) 2014-05-06 2015-04-30 用於多方向裝置加工的方向處理

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW108108232A TWI704596B (zh) 2014-05-06 2015-04-30 用於多方向裝置加工的方向處理

Country Status (3)

Country Link
US (1) US10825665B2 (zh)
TW (2) TWI657482B (zh)
WO (1) WO2015171335A1 (zh)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9840778B2 (en) 2012-06-01 2017-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma chamber having an upper electrode having controllable valves and a method of using the same
TWI690968B (zh) * 2014-03-07 2020-04-11 美商應用材料股份有限公司 用於修改基板表面的掠射角電漿處理
JP6418794B2 (ja) * 2014-06-09 2018-11-07 東京エレクトロン株式会社 改質処理方法及び半導体装置の製造方法
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10692765B2 (en) * 2014-11-07 2020-06-23 Applied Materials, Inc. Transfer arm for film frame substrate handling during plasma singulation of wafers
WO2017173129A1 (en) 2016-03-30 2017-10-05 Applied Materials, Inc. Metrology system for substrate deformation measurement
JP6607827B2 (ja) * 2016-06-14 2019-11-20 東京エレクトロン株式会社 基板処理方法及び硼素添加珪素の除去方法
US10141161B2 (en) * 2016-09-12 2018-11-27 Varian Semiconductor Equipment Associates, Inc. Angle control for radicals and reactive neutral ion beams
TWI620228B (zh) * 2016-12-29 2018-04-01 財團法人工業技術研究院 電漿處理裝置與電漿處理方法
US11127593B2 (en) * 2018-05-18 2021-09-21 Varian Semiconductor Equipment Associates, Inc. Techniques and apparatus for elongation patterning using angled ion beams
US10629752B1 (en) 2018-10-11 2020-04-21 Applied Materials, Inc. Gate all-around device
DE102019201468A1 (de) * 2019-02-05 2020-08-06 Carl Zeiss Smt Gmbh Vorrichtung und Verfahren zum Reparieren einer fotolithographischen Maske
US11189635B2 (en) 2019-04-01 2021-11-30 Applied Materials, Inc. 3D-NAND mold
KR102608899B1 (ko) * 2019-04-11 2023-11-30 어플라이드 머티어리얼스, 인코포레이티드 광학 디바이스들을 위한 다중-깊이 막
US11056319B2 (en) * 2019-07-29 2021-07-06 Applied Materials, Inc. Apparatus and system having extraction assembly for wide angle ion beam
US11587796B2 (en) 2020-01-23 2023-02-21 Applied Materials, Inc. 3D-NAND memory cell structure
US11429026B2 (en) 2020-03-20 2022-08-30 Applied Materials, Inc. Lithography process window enhancement for photoresist patterning
US11495430B2 (en) * 2020-07-15 2022-11-08 Applied Materials, Inc. Tunable extraction assembly for wide angle ion beam

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5273588A (en) * 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
JPH07245332A (ja) * 1994-03-04 1995-09-19 Hitachi Ltd 半導体製造装置および半導体装置の製造方法ならびに半導体装置
US6042738A (en) 1997-04-16 2000-03-28 Micrion Corporation Pattern film repair using a focused particle beam system
US6054390A (en) * 1997-11-05 2000-04-25 Chartered Semiconductor Manufacturing Ltd. Grazing incident angle processing method for microelectronics layer fabrication
US6288357B1 (en) 2000-02-10 2001-09-11 Speedfam-Ipec Corporation Ion milling planarization of semiconductor workpieces
JP2002035572A (ja) * 2000-05-18 2002-02-05 Ulvac Japan Ltd 真空処理装置と多室型真空処理装置
TW471107B (en) * 2000-11-27 2002-01-01 Nanya Technology Corp Dual damascene manufacturing method of porous low-k dielectric material
JP2002353112A (ja) 2001-05-25 2002-12-06 Riipuru:Kk 電子ビーム近接露光装置における電子ビームの傾き測定方法及び傾き較正方法並びに電子ビーム近接露光装置
JP2004103971A (ja) * 2002-09-12 2004-04-02 Hitachi High-Technologies Corp ダマシン処理方法、ダマシン処理装置および、ダマシン構造
US20040222082A1 (en) * 2003-05-05 2004-11-11 Applied Materials, Inc. Oblique ion milling of via metallization
JP2005064037A (ja) * 2003-08-12 2005-03-10 Shibaura Mechatronics Corp プラズマ処理装置及びアッシング方法
JP2005129769A (ja) * 2003-10-24 2005-05-19 Hitachi Ltd 半導体薄膜の改質方法、改質した半導体薄膜とその評価方法、およびこの半導体薄膜で形成した薄膜トランジスタ、並びにこの薄膜トランジスタを用いて構成した回路を有する画像表示装置
US7675047B2 (en) 2005-11-15 2010-03-09 Varian Semiconductor Equipment Associates, Inc. Technique for shaping a ribbon-shaped ion beam
US8153513B2 (en) * 2006-07-25 2012-04-10 Silicon Genesis Corporation Method and system for continuous large-area scanning implantation process
TWI385699B (zh) 2007-05-22 2013-02-11 Semequip Inc 用於自一離子源萃取離子之離子萃取系統
US7745352B2 (en) * 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
DE102008030856B4 (de) * 2008-06-30 2015-12-03 Advanced Micro Devices, Inc. Verfahren zur Schwellwerteinstellung für MOS-Bauelemente
US8501624B2 (en) * 2008-12-04 2013-08-06 Varian Semiconductor Equipment Associates, Inc. Excited gas injection for ion implant control
US8603591B2 (en) * 2009-04-03 2013-12-10 Varian Semiconductor Ewuipment Associates, Inc. Enhanced etch and deposition profile control using plasma sheath engineering
WO2011007546A1 (ja) 2009-07-16 2011-01-20 キヤノンアネルバ株式会社 イオンビーム発生装置、基板処理装置及び電子デバイスの製造方法
US8992785B2 (en) * 2010-01-15 2015-03-31 Tel Epion Inc. Method for modifying an etch rate of a material layer using energetic charged particles
WO2011125471A1 (ja) * 2010-03-31 2011-10-13 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP5506560B2 (ja) 2010-06-18 2014-05-28 キヤノン株式会社 描画装置及びデバイス製造方法
JP2012061585A (ja) * 2010-09-17 2012-03-29 Tokyo Electron Ltd 真空処理装置、真空処理方法及び微細加工装置
US8716682B2 (en) * 2011-04-04 2014-05-06 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for multiple slot ion implantation
US8460569B2 (en) * 2011-04-07 2013-06-11 Varian Semiconductor Equipment Associates, Inc. Method and system for post-etch treatment of patterned substrate features
US8946061B2 (en) 2011-08-30 2015-02-03 Varian Semiconductor Equiptment Associates, Inc. Engineering of porous coatings formed by ion-assisted direct deposition
US9236257B2 (en) * 2013-03-13 2016-01-12 Varian Semiconductor Equipment Associates, Inc. Techniques to mitigate straggle damage to sensitive structures
US20160002784A1 (en) * 2014-07-07 2016-01-07 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for depositing a monolayer on a three dimensional structure

Also Published As

Publication number Publication date
WO2015171335A1 (en) 2015-11-12
TW201606852A (zh) 2016-02-16
US20150325411A1 (en) 2015-11-12
US10825665B2 (en) 2020-11-03
TWI704596B (zh) 2020-09-11
TW201937551A (zh) 2019-09-16

Similar Documents

Publication Publication Date Title
TWI657482B (zh) 用於多方向裝置加工的方向處理
JP6646978B2 (ja) 高アスペクト比構造におけるコンタクト洗浄
CN106030765B (zh) 用于修改基板表面的掠射角等离子体处理
US11170997B2 (en) Atomic layer deposition and etch for reducing roughness
US9609730B2 (en) Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
KR102483741B1 (ko) 진보된 패터닝 프로세스에서의 스페이서 퇴적 및 선택적 제거를 위한 장치 및 방법들
KR20170093718A (ko) 연속적인 플라즈마의 원자층 에칭
KR20200067213A (ko) Ale 및 선택적 증착을 사용한 금속 옥사이드 기판들 에칭
KR20170031041A (ko) 반도체 산업계 안팎에서 ale 평활도
CN111448641A (zh) 高能量原子层蚀刻
KR20160076471A (ko) 유전체 에칭 적용들을 위한 통합된 에칭/세정
KR20170100435A (ko) 극저온 웨이퍼 온도들을 활용하는 이온 빔 에칭
CN110998790A (zh) 在水平表面上的选择性沉积SiN
TWI703618B (zh) 用於圖案化具有所需尺度的材料層的方法
CN111630664A (zh) 用于形成鳍式场效晶体管的单等离子体室中的原子层沉积及蚀刻
TW201639000A (zh) 利用掩模及方向性電漿處理之選擇性沉積
CN102652351A (zh) 在高剂量植入剥除前保护硅的增强式钝化工艺
KR20150141906A (ko) 포토레지스트-스트립 성능을 향상시키고 유기 막들을 개질하기 위한 과산화증기 처리
US20230298896A1 (en) Metal-based liner protection for high aspect ratio plasma etch
KR20210011493A (ko) 고 종횡비 구조체들의 효율적인 세정 및 에칭
US20230127597A1 (en) High aspect ratio dielectric etch with chlorine
KR20220148253A (ko) 고 종횡비 3D NAND 에칭을 위한 측벽 노칭 (notching) 감소
Darnon Plasma etching in microelectronics
TWI837105B (zh) 用於降低粗糙度的原子層沉積和蝕刻