CN111448641A - 高能量原子层蚀刻 - Google Patents

高能量原子层蚀刻 Download PDF

Info

Publication number
CN111448641A
CN111448641A CN201880078758.3A CN201880078758A CN111448641A CN 111448641 A CN111448641 A CN 111448641A CN 201880078758 A CN201880078758 A CN 201880078758A CN 111448641 A CN111448641 A CN 111448641A
Authority
CN
China
Prior art keywords
substrate
bias
modified surface
plasma
exposing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201880078758.3A
Other languages
English (en)
Inventor
杨文兵
萨曼莎·坦
塔玛尔·穆克吉
克伦·雅各布斯·卡纳里克
潘阳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN111448641A publication Critical patent/CN111448641A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)
  • Weting (AREA)

Abstract

本发明提供了用于执行高能原子层蚀刻的方法和设备。方法包括提供具有待蚀刻材料的衬底,将所述材料的表面暴露于改性气体以使所述表面改性并形成经改性的表面;以及将所述经改性的表面暴露于高能粒子,以相对于下伏的未经改性的表面优先去除所述经改性的表面,所述高能粒子具有足以克服所述下伏的未经改性的表面的平均表面束缚能的离子能量。所用的高能粒子的能量很高;在一些情况下,当将改性表面暴露于高能粒子时施加到偏置的功率至少为150eV。

Description

高能量原子层蚀刻
相关申请的交叉引用
本申请要求于2018年10月1日提交的名称为“HIGH ENERGY ATOMIC LAYERETCHING”的美国临时专利申请No.16/148,939的权益,该申请要求于2017年10月6日提交的名称为“PULSING ATOMIC LAYER ETCHING”的美国临时专利申请No.62/569,443和2017年12月15日提交的名称为“HIGH ENERGY ATOMIC LAYER ETCHING”的美国临时专利申请No.62/599,613的优先权,其全部内容都通过引用并入本文并用于所有目的。
背景技术
半导体制造处理包含蚀刻各种材料。当三维结构朝向次10nm节点收缩,传统的蚀刻处理面对前所未有挑战。例如,由于蚀刻率受到持续增加的深宽比的影响,节距负载成为问题。与输送中性物质与离子至蚀刻前线、在蚀刻前线处的表面反应速率、以及自蚀刻前线去除蚀刻产物相关的挑战随着装置缩小而变得重要。
发明内容
本文提供了用于执行高能原子层蚀刻的方法和设备。一个方面涉及一种衬底处理方法,该方法包括:提供包含待蚀刻的材料的衬底;将所述待蚀刻的材料的表面暴露于改性气体,以使所述表面改性并形成经改性的表面;以及将所述经改性的表面暴露于高能粒子,以相对于下伏的未经改性的表面优先去除所述经改性的表面,所述高能粒子具有足以克服所述下伏的未经改性的表面的平均表面束缚能的离子能量。
在多种实施方案中,所述高能粒子的所述离子能量足以打断所述下伏的未经改性的表面的键。所述高能粒子可以以在时间上分离的剂量输送,所述时间上分离的剂量具有介于约1%至约10%之间的工作周期。
在多种实施方案中,在将所述经改性的表面暴露于所述高能粒子的期间施加偏压至保持所述衬底的衬底支撑件。
在多种实施方案中,所述高能粒子去除一定量的所述经改性的表面,所去除的所述经改性的表面的所述量由下式所表示:
其中Y为所述高能粒子的离子产率、F为所述高能粒子的通量、t为所述高能粒子的暴露期间、而d为所述待蚀刻的材料的表面密度。
在多种实施方案中,其所述高能粒子不会明显地溅射所述下伏的未经改性的材料。例如,可以将所述经改性的表面暴露于所述高能粒子持续足以以自限制方式去除所述经改性的表面的持续期间。
另一方面涉及一种衬底处理方法,所述方法包括:提供包含待蚀刻的材料的衬底;将所述待蚀刻的材料的表面暴露于改性气体,以使所述表面改性并形成经改性的表面;以及施加偏置,同时将所述经改性的表面暴露于高能粒子以去除所述经改性的表面,其中施加至所述偏置的电能至少为150eV。
在多种实施方案中,其中施加至所述偏压的所述电能至少为500eV。
另一方面涉及一种衬底处理方法,所述方法包括:提供包含待蚀刻的材料的衬底;将所述待蚀刻的材料的表面暴露于改性气体,以使所述表面改性并形成经改性的表面;以及输送高能粒子的剂量至所述经改性的表面以去除所述经改性的表面,使得所述剂量在使用小于下伏的未经改性的表面的表面束缚能的偏压而输送时不足以去除所述经改性的表面。
另一方面涉及一种衬底处理方法,所述方法包括:提供包含待蚀刻的材料的衬底;将所述待蚀刻的材料的表面暴露于改性气体,以使所述表面改性并形成经改性的表面;以及将所述经改性的表面暴露于高能粒子持续大于足以通过离子轰击去除所述经改性的表面与所述下伏的未经改性的表面的持续时间的持续时间,以相对于下伏的未经改性的表面优先去除所述经改性的表面的至少80%。
另一方面涉及一种衬底处理方法,所述方法包括:提供包含待蚀刻的材料的衬底;将所述待蚀刻的材料的表面暴露于改性气体,以使所述表面改性并形成经改性的表面;以及将所述经改性的表面暴露于具有小于100%的工作周期的脉冲形式的高能粒子。
另一方面涉及一种衬底处理方法,所述方法包括:提供包含待蚀刻的材料的衬底;将所述待蚀刻的材料的表面暴露于改性气体,以使所述表面改性并形成经改性的表面;以及将所述经改性的表面暴露于经减弱的剂量的高能粒子,使得未经减弱的所述剂量在连续输送至所述经改性的表面时具有高于所述待蚀刻的材料的表面束缚能的能量。
在多种实施方案中,通过改变经活化的物质的离子通量来减弱所述剂量。
在一些实施方案中,通过改变所述经改性的表面被暴露于经活化的物质的持续时间来减弱所述剂量。
在多种实施方案中,所述经减弱的剂量包含通向所述经改性的表面的经活化的物质的在时间上分离的两或更多个脉冲,以去除所述经改性的表面的至少部分。
在一些实施方案中,通过改变通向所述经改性的表面的经活化的物质中的离子的加速来减弱所述剂量。
在多种实施方案中,通过改变被施加至保持所述衬底的衬底支撑件以用于将经活化的物质有方向性地输送至所述经改性的表面的偏压来减弱所述剂量。
另一方面涉及一种衬底处理方法,所述方法包括:提供包含待蚀刻的材料的衬底;将所述待蚀刻的材料的表面暴露于改性气体,以使所述表面改性并形成经改性的表面;以及将所述经改性的表面暴露于在时间上分离的脉冲形式的高能粒子;以及在所述时间上分离的脉冲期间调制离子能量与剂量。在一些实施方案中,调制离子能量与剂量包含增加所述离子能量以及以减少的剂量补偿所述离子能量的增加。
另一方面涉及一种衬底处理方法,所述方法包含:将所述衬底暴露于改性气体,以使所述衬底的表面改性而形成经改性的表面;将所述衬底的所述经改性的表面暴露于去除气体;以及在将所述经改性的表面暴露于所述去除气体期间,提供从活化源所产生的能量的时间上分离的多个脉冲,以从所述衬底去除所述经改性的表面的至少一些。
在多种实施方案中,所述方法还包括在两或更多循环中重复将所述衬底暴露于所述改性气体并将所述经改性的表面暴露于所述去除气体,使得在每一循环中在将所述经改性的表面暴露于所述去除气体期间提供所述能量的时间上分离的多个脉冲。
在多种实施方案中,所述能量的时间上分离的多个脉冲包含每一循环至少100个能量的时间上分离的脉冲。
在多种实施方案中,所述能量的时间上分离的脉冲足以去除所述经改性的表面但不足以物理溅射所述经改性的表面。
在多种实施方案中,提供的所述能量通过在暴露于所述去除气体期间施加至所述衬底的足以去除所述经改性的表面的最小电压和在暴露于所述去除气体期间施加至所述衬底的不足以溅射所述经改性的表面的最大电压的偏置窗口定义。
在多种实施方案中,所述能量的时间上分离的多个脉冲在介于约10Hz至约200Hz之间的频率下脉冲化。
在多种实施方案中,所述能量的时间上分离的多个脉冲在介于约1%至约10%之间的工作周期下脉冲化。
在一些实施方案中,所述活化源包含两或更多个源。
在一些实施方案中,所述活化源选自由射频等离子体、施加至衬底的偏置、紫外线辐射、光子、及其组合所组成的群组。
在一些实施方案中,所述活化源包含被施加以使所述衬底偏置的电压。所述偏置电压可以至少介于约500V至约1500V之间。所述偏置可以在0V与介于约500V和约1500V间的偏置电压之间脉冲化。
在一些实施方案中,所述偏置电压在低偏置电压与高偏置电压之间脉冲化,所述低偏置电压介于约100V至约300V之间,而所述高偏置电压介于约500V至约1500V之间。
在一些实施方案中,所述偏置电压利用介于约10Hz和约200Hz之间的脉冲化频率脉冲化。
在一些实施方案中,所述偏置电压利用介于约1%至约20%之间的工作周期脉冲化。
在一些实施方案中,所述活化源包含射频等离子体。
在一些实施方案中,所述射频等离子体通过施加功率产生,所述射频等离子体功率在OFF状态与ON状态之间脉冲化,其中所述OFF状态的等离子体功率为0W,而所述ON状态的等离子体功率介于约50W和约900W之间。
在一些实施方案中,所述射频等离子体通过施加功率产生,并且所述射频等离子体功率是在低等离子体功率与高等离子体功率之间脉冲化,所述低等离子体功率介于约10W和约100W之间,而所述高等离子体功率介于约900W和约1500W之间。
在一些实施方案中,所述射频等离子体利用脉冲化频率脉冲化,所述脉冲化频率介于约10Hz和约200Hz之间。
在一些实施方案中,所述射频等离子体脉冲化的工作周期介于约1%和约20%之间。
在一些实施方案中,使得所述活化源包含射频等离子体以及施加至所述衬底的偏置。所述偏置可以在0V与偏置电压之间脉冲化,所述偏置电压介于约500V至约1500V之间。所述偏置可以在低偏置电压与高偏置电压之间脉冲化,所述低偏置电压介于约100V和约300V之间,而所述高偏置电压介于约500V和约1500V之间。所述射频等离子体可以通过施加功率产生,且所述射频等离子体功率是在OFF状态与ON状态之间脉冲化,其中所述OFF状态的等离子体功率为0W,而所述ON状态的等离子体功率介于约50W和约900W之间。所述射频等离子体可以在低等离子体功率与高等离子体功率之间脉冲化,其中所述低等离子体功率介于约10W和约100W之间,而所述高等离子体功率介于约900W和约1500W之间。
在多种实施方案中,所述衬底包含一或多个窄特征及一或多个宽特征。
在一些实施方案中,在介于约0℃至约120℃之间的衬底温度下处理所述衬底。
在一些实施方案中,在将所述衬底暴露于所述改性气体期间,在具有介于约5mTorr和约1Torr之间的处理室压强的处理室中处理所述衬底。
在一些实施方案中,在将所述衬底暴露于所述去除气体期间,在具有介于约5mTorr至约200mTorr之间的处理室压强的处理室中处理所述衬底。
另一方面涉及一种衬底处理方法,所述方法包含:将所述衬底暴露于改性气体,以使所述衬底的表面改性而形成经改性的表面;将所述衬底的所述经改性的表面暴露于去除气体;以及在将所述经改性的表面暴露于所述去除气体期间,以时间上分离的两或更多个脉冲的形式周期性地点燃等离子体,以从所述衬底去除所述经改性的表面的至少一些。
所述方法还可以包括在两或更多循环中重复将所述衬底暴露于所述改性气体并将所述经改性的表面暴露于所述去除气体,使得在每一循环中在将所述经改性的表面暴露于所述去除气体期间提供所述等离子体的时间上分离的两或更多个脉冲。
在一些实施方案中,所述等离子体的时间上分离的多个脉冲包含每一循环至少100个能量的脉冲。
该方法还可以包括在将所述经改性的表面暴露于所述去除气体期间,以脉冲形式施加偏置。在多种实施方案中,该方法还可包括在两或更多循环中重复将所述衬底暴露于所述改性气体,并将所述经改性的表面暴露于所述去除气体,使得在每一循环中在将所述经改性的表面暴露于所述去除气体期间提供等离子体和偏置的时间上分离的两或更多个脉冲。
在一些实施方案中,所述等离子体和所述偏置的所述时间上分离的多个脉冲包含每一循环至少100个脉冲,循环包含将所述衬底暴露于所述改性气体以及将所述经改性的表面暴露于所述去除气体。
在一些实施方案中,所述等离子体和所述偏置在相同的频率下脉冲化。
在一些实施方案中,所述等离子体和所述偏置使用相同的工作周期脉冲化。
另一方面涉及一种衬底处理方法,所述方法包含:将所述衬底暴露于改性气体,以使所述衬底的表面改性而形成经改性的表面;将所述衬底的所述经改性的表面暴露于去除气体;在暴露所述经改性的表面期间点燃等离子体;以及在将所述经改性的表面暴露于所述去除气体期间,以时间上分离的两或更多个脉冲的形式周期性地施加偏置,以从所述衬底去除所述经改性的表面的至少一些。
该方法还可包括在将所述经改性的表面暴露于所述去除气体期间以脉冲形式点燃等离子体。
在一些实施方案中,该方法还可包括在两或更多循环中重复将所述衬底暴露于所述改性气体,并将所述经改性的表面暴露于所述去除气体,其中在每一循环中在将所述经改性的表面暴露于所述去除气体期间提供偏置功率的时间上分离的两或更多个脉冲。
在一些实施方案中,所述偏置功率的所述时间上分离的多个脉冲包含每一循环至少100个脉冲,循环包含将所述衬底暴露于所述改性气体以及将所述经改性的表面暴露于所述去除气体。
另一方面涉及一种衬底处理设备,所述设备包含:处理室,其包括喷淋头与用于保持具有材料的所述衬底的衬底支撑件;等离子体产生器;以及控制器,其具有存储器与至少一个处理器,其中所述至少一个处理器与所述存储器彼此通信连接,所述至少一个处理器系与流动控制硬件至少操作性地连接,以及所述存储器存储机器可读指令,所述机器可读指令用于:使改性气体导入所述处理室;使去除气体导入所述处理室;以及在导入所述去除气体的期间使活化源脉冲化。
在多种实施方案中,所述存储器还存储用于下述操作的机器可读指令:在导入所述去除气体期间使所述活化源的脉冲频率介于约10Hz和约200Hz之间。
在一些实施方案中,所述存储器还存储用于下述操作的机器可读指令:在导入所述去除气体期间使所述活化源的工作周期介于约1%和约10%之间。
在一些实施方案中,所述活化源为在所述处理室中利用等离子体功率所产生的等离子体,且所述存储器还存储用于下述操作的机器可读指令:使所述活化源在OFF状态与ON状态之间脉冲化,其中所述OFF状态的等离子体功率为0W,而所述ON状态的等离子体功率介于约50W至约900W之间。
在一些实施方案中,所述活化源为在所述处理室所产生的等离子体,且所述存储器还存储用于下述操作的机器可读指令:使所述活化源在低等离子体功率与高等离子体功率之间脉冲化,其中所述低等离子体功率介于约10W和约100W之间,而所述高等离子体功率介于约900W和约1500W之间。
在一些实施方案中,所述存储器还存储用于下述操作的机器可读指令:使偏置以脉冲方式施加至所述衬底支撑件上。例如,所述存储器还可以存储用于下述操作的机器可读指令:使所述偏压在0V与偏置电压之间脉冲化,所述偏置电压介于约500V和约1500V之间。在一些实施方案中,所述存储器还存储用于下述操作的机器可读指令:使所述偏置与所述活化源在相同的脉冲化频率下脉冲化。在一些实施方案中,所述存储器还存储用于下述操作的机器可读指令:使所述偏置与所述活化源在相同的脉冲化工作周期下脉冲化。
另一方面可以涉及一种衬底处理设备,所述设备包含:处理室,其包括喷淋头与用于保持具有材料的所述衬底的衬底支撑件;等离子体产生器;以及控制器,其具有存储器与至少一个处理器,其中所述至少一个处理器与所述存储器彼此通信连接,所述至少一个处理器系与流动控制硬件至少操作性地连接,以及所述存储器存储机器可读指令,所述机器可读指令用于:使改性气体导入所述处理室;使去除气体导入所述处理室;以及致使在导入所述去除气体期间,以时间上分离的两或更多个脉冲的形式在所述处理室中产生射频等离子体功率。
在一些实施方案中,所述存储器还存储用于下述操作的机器可读指令:在导入所述去除气体期间使所述射频等离子体功率的脉冲频率介于约10Hz和约200Hz之间。
在一些实施方案中,所述存储器还存储用于下述操作的机器可读指令:在导入所述去除气体期间使所述射频等离子体功率的工作周期介于约1%和约10%之间。
在一些实施方案中,所述存储器还存储用于下述操作的机器可读指令:使所述射频等离子体功率在OFF状态与ON状态之间脉冲化,其中所述OFF状态的等离子体功率为0W,而所述ON状态的等离子体功率介于约50W至约900W之间。
在一些实施方案中,所述存储器还存储用于下述操作的机器可读指令:使所述射频等离子体功率在低等离子体功率与高等离子体功率之间脉冲化,其中所述低等离子体功率介于约10W和约100W之间,而所述高等离子体功率介于约900W和约1500W之间。
在一些实施方案中,所述存储器还存储用于下述操作的机器可读指令:使偏置以脉冲方式施加至所述衬底支撑件上。
在一些实施方案中,所述存储器还存储用于下述操作的机器可读指令:使所述偏压在0V与偏置电压之间脉冲化,所述偏置电压介于约500V和约1500V之间。
在一些实施方案中,所述存储器还存储用于下述操作的机器可读指令:使所述偏置在低偏置电压与高偏置电压之间脉冲化,其中所述低偏置电压介于约100V和约300V之间,而所述高偏置电压介于约500V和约1500V之间。
在一些实施方案中,所述存储器还存储用于下述操作的机器可读指令:使所述偏置与所述射频等离子体功率在相同的脉冲化频率下脉冲化。
在一些实施方案中,所述存储器还存储用于下述操作的机器可读指令:使所述偏置与所述射频等离子体功率在相同的脉冲化工作周期下脉冲化。
另一方面涉及一种衬底处理设备,所述设备包含:处理室,其包括喷淋头与用于保持具有材料的所述衬底的衬底支撑件;等离子体产生器;以及控制器,其具有存储器与至少一个处理器,其中所述至少一个处理器与所述存储器彼此通信连接,所述至少一个处理器系与流动控制硬件至少操作性地连接,以及所述存储器存储机器可读指令,所述机器可读指令用于:使改性气体导入所述处理室;使去除气体导入所述处理室;以及致使在导入所述去除气体期间,以时间上分离的两或更多个脉冲的形式将偏置功率施加至所述衬底支撑件上,至所述衬底上。
在一些实施方案中,所述存储器还存储用于下述操作的机器可读指令:在导入所述去除气体期间使所述偏置功率的脉冲频率介于约10Hz和约200Hz之间。
在一些实施方案中,所述存储器还存储用于下述操作的机器可读指令:在导入所述去除气体期间使所述偏置功率的工作周期介于约1%和约10%之间。
在一些实施方案中,所述存储器还存储用于下述操作的机器可读指令:使所述偏置功率在OFF状态与ON状态之间脉冲化,其中所述OFF状态的所述偏置功率为0V,而所述ON状态的所述偏置功率介于约500V和约1500V之间。
在一些实施方案中,所述存储器还存储用于下述操作的机器可读指令:使所述偏置功率在低偏置功率与高偏置功率之间脉冲化,其中所述低偏置功率介于约100V和约300V之间,而所述高偏置功率介于约500V和约1500V之间。
在一些实施方案中,所述存储器还存储用于下述操作的机器可读指令:致使在导入所述去除气体期间,通过以脉冲形式施加等离子体功率以点燃等离子体。
在一些实施方案中,所述存储器还存储用于下述操作的机器可读指令:使所述等离子体功率在0W与等离子体功率之间脉冲化,所述等离子体功率介于约50W和约900W之间。
在一些实施方案中,所述存储器还存储用于下述操作的机器可读指令:使所述等离子体功率与所述偏置功率在相同的脉冲化频率下脉冲化。
在一些实施方案中,所述存储器还存储用于下述操作的机器可读指令:使所述等离子体功率与所述偏置功率在相同的脉冲化工作周期下脉冲化。
下面结合附图进一步描述这些和其他方面。
附图说明
图1显示了受到原子层蚀刻的衬底的示例性示意图。
图2显示了FinFET半导体装置的三维示意图。
图3A与3B的处理流程图显示根据本发明一些实施方案的方法的操作。
图4A-4G的时序示意图显示根据本发明一些实施方案所进行的操作的示例。
图4H为示例性低能与高能ALE的时序示意图。
图4I与4J为在ALE中低与高离子能量去除经改性的膜层的示例性示意图。
图5为用于进行本发明的实施方案的示例性处理室的示意图。
图6为用于进行本发明的实施方案的示例性处理设备的示意图。
图7为每一循环的绝缘体上的硅的蚀刻与在脉冲化ALE中所用的偏置功率的函数关系图,其中偏置功率针对根据本发明的实施方案进行的实验被脉冲化。
图8A为每一循环的非晶硅与氧化硅的蚀刻与在脉冲化ALE中所用的偏置功率的函数关系图,其中偏置功率针对根据本发明的实施方案进行的实验被脉冲化。
图8B为蚀刻选择比与在脉冲化ALE中所用的偏置功率的函数关系图,其中偏置功率针对图8A进行的实验被脉冲化。
图9A为每一循环的非晶硅与氧化硅的蚀刻与在脉冲化ALE中所用的偏置功率的函数关系图,其中偏置功率针对根据本发明的实施方案进行的实验被脉冲化。
图9B为蚀刻选择比与在ALE中所用的脉冲化偏置功率的函数关系图,其中偏置功率针对图9A进行的实验被脉冲化。
图10A与10B为节距负载的图,节距负载为根据本发明的实施方案进行的一实验的不同偏置功率的脉冲化ALE中所用的沟槽关键尺寸的函数。
图11描绘了使用脉冲化ALE的每一循环的材料蚀刻与没有脉冲化的ALE的每一循环的材料蚀刻的关系图。
图12A为基于方程式5B所提供的函数的每一循环蚀刻模型的示例。
图12B显示每一循环的蚀刻与在低能ALE的示例中的氩偏压的函数关系图。
图13为离子能量与用于完整去除的氩暴露的“开启”时间的函数关系的示例。
图14A为ALE每一循环的标准化蚀刻与用于脉冲化ALE的氩暴露的各种“开启”时间的函数关系的示例。
图14B为针对仿真数据及实验数据的氩暴露“开启”时间的每一循环的示例性蚀刻。
图15显示针对硅与SiCl的各种氩偏压的示例性蚀刻率。
图16A为在一实验中将衬底暴露于50V氩偏压的示例性图。
图16B为在一实验中将衬底暴露于200V氩偏压的示例性图。
具体实施方式
在下面的描述中,阐述了许多具体细节以提供对所呈现的实施方案的透彻理解。在没有这些具体细节中的一些或全部的情形下可以实施所公开的实施方案。在其他情形下,未详细描述众所周知的处理操作,以避免不必要地模糊所公开的实施方案。虽然将结合具体的实施方案描述所公开的实施方案,但是应理解的是这并非意在限制所公开的实施方案。
半导体处理通常涉及各种蚀刻操作。处理及形成3D结构的一示例性技术涉及反应性离子蚀刻(RIE),反应性离子蚀刻产生方向性蚀刻及侧壁钝化。一般而言,RIE产生反应性物质,如从含氟化合物、氯、溴化氢所产生的含卤素物质;以及离子,如用于方向性蚀刻的氦和/或氩,以及用于钝化侧壁表面的各种物质。然而当蚀刻深度增加,会部分因为当物质被输送至特征底部时会碰撞到特征孔洞的侧壁,发生不同深宽比的特征的深度负载。深度负载也会因为各种孤立区域(如具有宽特征开口的特征)与致密区域(如具有窄特征开口的特征)而发生。具有“窄”开口的特征可被定义为,开口直径或线宽相对小于“宽”特征的开口或线宽的特征。宽特征所具有的开口直径或关键尺寸可为窄特征的关键尺寸的至少1.5倍、或至少2倍、或至少5倍、或至少10倍、或大于10倍。“窄”特征的示例包含开口直径介于约1nm至约10nm之间的特征。“宽”特征的示例包含开口尺寸具有约数百纳米至约1微米的规模的特征。
蚀刻处理通常涉及将待蚀刻的材料暴露于蚀刻气体的组合以去除材料。然而,这种去除可能不是自限制的,并且在一些情况下可能蚀刻超过所期望的,或导致不期望的特征轮廓。随着特征尺寸缩小,对原子尺度处理(例如原子层蚀刻(ALE))的需求不断增长。ALE为在名义上的自限制步骤的循环中利用依顺序的自限制反应去除薄材料层的技术,其导致膜厚的数字的且小的变化。该处理的特征在于平滑性和保形性,以及在一些ALE的情况下的方向性。
ALE可以用于先进半导体制造(例如,技术节点小于约10nm),用于具有原子尺度深度分辨和控制的超薄材料层的覆盖物去除或图案定义蚀刻。一般而言,可使用任何合适的技术执行ALE。原子层蚀刻技术的示例在2014年11月11日公告的美国专利No.8,883,028和2014年8月19日公告的美国专利No.8,808,561中进行了描述,这些专利在此通过引用并入本文,以用于描述示例性原子层蚀刻和蚀刻技术的目的。在多个实施方案中,ALE可以利用等离子体进行,或者可以利用热方式进行。
ALE可以循环进行。“ALE循环”的构思与本文的多个实施方案的讨论相关。通常,ALE循环是用于实施一次蚀刻处理(例如蚀刻单层)的最小的一组操作。一个循环的结果是蚀刻在衬底表面上的至少一些膜层。通常,ALE循环包括形成反应层的改性操作,随后是仅去除或蚀刻此改性层的去除操作。该循环可包括某些辅助操作,例如扫除反应物或副产物之一。通常,循环包括独特系列的操作的一个示例。举例而言,ALE循环可包括以下操作:(i)输送反应物气体,(ii)从室清扫反应物气体,(iii)输送去除气体和任选的等离子体,以及(iv)清扫室。在一些实施方案中,可以非保形地执行蚀刻。图1显示了ALE循环的两个示例性示意图。图171a-171e示出了通用的ALE循环。在171a中,提供衬底。在171b中,使衬底的表面改性。在171c中,准备下一步。在171d中,蚀刻改性层。在171e中,去除改性层。类似地,图表172a-172e示出了用于蚀刻硅膜的ALE循环的示例。在172a中,提供硅衬底,其包括许多硅原子。在172b中,将反应物气体氯引入衬底,这使衬底的表面改性。172b中的示意图显示,作为一个示例,一些氯被吸附到衬底的表面上。尽管图1中描绘了氯,但是可以使用任何含氯化合物或合适的反应物。在172c中,从室中清扫反应物气体氯。在172d中,去除气体氩利用定向等离子体引入,定向等离子体如由Ar+等离子体物质和箭头所表示,并且进行离子轰击以去除衬底的改性表面。在低能量ALE中于能避免衬底受到溅射的处理条件下连续地进行离子轰击。在此操作中,施加偏置至衬底以吸引离子朝向衬底。由于在此去除操作中连续地输送功率,因此通常将偏置功率设定至能避免溅射的功率。因此,施加至偏置的功率通常落在小于约100V的规模。偏置功率取决于待蚀刻的材料,例如针对锗偏置功率可介于约10V至约35V之间,但针对硅偏置功率可介于约35V至约65V之间。在这些示例中,在大于35V的偏置功率处锗会溅射,在大于65V的偏置功率处硅会溅射。因此,通常将偏置功率维持在低电平以避免溅射且避免对衬底与周边材料造成损害。在这些示例中最小偏置功率的“偏置窗口”为对衬底提供足够能量以去除经改性的材料所需的最小偏置功率。因此,针对锗在小于20V的偏置功率处、针对硅在小于35V的偏置功率处连续地输送偏置功率,经改性的表面不会有足够的能量从衬底被去除。在172e中,清扫室并去除副产物。
循环可以仅部分蚀刻约0.1nm至约50nm的材料,或介于约0.1nm和约20nm之间的材料,或介于约0.1nm和约2nm之间的材料,或介于约0.1nm和约5nm之间的材料,或介于约0.2nm和约50nm之间的材料,或介于约0.2nm和约5nm之间的材料。在循环中蚀刻的材料量可以取决于以自限制方式蚀刻的目的。在一些实施方案中,ALE循环可以去除少于单层的材料。
ALE处理条件(例如室压强、衬底温度、等离子体功率、频率和类型以及偏置功率)取决于待蚀刻的材料、用于使待蚀刻材料改性的气体的组成、待蚀刻材料下面的材料以及用于去除改性材料的气体的组成。然而,这些因素的组合使得执行ALE以蚀刻各种材料具有挑战性。
以自限制方式进行ALE而不溅射各种材料是很有挑战的且因为通常将偏置功率维持在低电平以避免溅射及损伤衬底,因此其通常受限于某些处理条件。
当特征尺寸缩小至小于10nm特征宽度时,特征之间的1nm关键尺寸变异会造成特征与特征之间的深宽比的大变动,且在通过连续偏置的传统ALE(低能ALE)进行的蚀刻处理中会观察到深度负载效应。在蚀刻处理期间,ALE的每一循环在较深沟槽中的材料蚀刻量少于ALE的每一循环在较浅沟槽中的材料蚀刻量。在某些情况中即便在单一特征内,每一循环在特征底部处的蚀刻量会少于靠近特征的上部处的蚀刻量。不期望被特定的理论所限制,一般认为为了在极端3D的结构中达到ALE的自限制特性,可能必须要改变从等离子体供给的离子能量。然而对于具有各种深宽比的特征的衬底而言,如果选择离子能量以使其足以利用ALE蚀刻具有大特征开口的特征,具有较窄特征开口的特征将接收到具有较少能量及通量的离子,由此不足以蚀刻较小的特征。然而如果选择较大的离子能量以使其能有效地蚀刻具有窄特征开口的特征,则较大特征将经历到远远较高的离子能量,由此溅射较大特征的表面,消除了ALE的自限制方面。在3D结构中显现的该深度负载效应表明,蚀刻率取决于特征的几何特征。
在蚀刻期间可能有几何依赖性有几个原因。一示例可能存在的挑战为,因产生正离子而发生的充电效应,在高深宽比特征的特征开口处或附近因窄特征开口而累积了某些此类正离子,由此导致特征深度内的带电差异,其中特征开口处带的正电多于特征底部带的正电,因而导致用于去除经改性的表面的进入的带正电离子与带正电的特征开口之间的排斥现象,由此造成特征底部处的经改性的表面的不均匀去除。
鉴于许多新材料被导入集成电路处理中以及大量的处理参数(气体压强、晶片温度、等离子体功率、离子能量等)组合,达到不溅射但却以逐层自限制性方式蚀刻特定材料且避免高深宽比特征中的充电效应的ALE处理是有挑战性的。
文中提供利用高能进行受到控制的原子层蚀刻的方法。所公开的实施方案涉及,将待蚀刻的材料的表面暴露于改性气体以改性该表面并形成经改性的表面,及将经改性的表面暴露于高能粒子,以相对于下方未经改性的表面优先去除经改性的表面,该高能粒子具有足以克服下方未经改性的表面的平均表面束缚能的离子能量。
例如,衬底可包含待蚀刻的材料,材料具有平均表面束缚能。待蚀刻的材料包含各种材料层。如果有原子层蚀刻的自限制方面,则在暴露于改性气体的期间,改性气体使待蚀刻的材料的表面改性,以使受到裸露的表面改性但不使下伏的材料改性。当经改性的材料被暴露于高能粒子,如离子、电子、中子、光子或其他物质时,高能粒子去除经改性的表面并留下下伏的未经改性的表面并维持ALE的自限制方面。高能粒子以高能输送,其可为足以克服待蚀刻的材料的下伏的未经改性的表面的平均表面束缚能的能量。意即在一些实施方案中,如果高能粒子以较大剂量被输送至表面,如一次输送许多高能粒子,则高能粒子会打断下伏材料的键,由此溅射经改性的表面的下方的材料并最小化了ALE的自限制方面。相对地,所公开的实施方案涉及以输送高能粒子的剂量来调制高能粒子的能量,以通过输送小剂量来补偿高能。在低能ALE中,以输送高剂量来补偿低能,意即以低能输送高能粒子但持续以高剂量输送,其仍然能够只去除经改性的表面却不影响下伏的未经改性的表面以维持ALE的自限制方面。然而在高能ALE中,以输送低剂量来补偿高能,意即以远远高于低能ALE中所用的能量的高能来输送低剂量的高能粒子(因此所去除的经改性的表面多于在低能ALE中以相同剂量输送去除的经改性的表面)以使蚀刻仍维持自限制特性。
多种实施方案涉及使待蚀刻的材料的表面改性并将经改性的表面暴露于从活化去除气体所产生的经活化的物质的经减弱的剂量以去除经改性的表面的部分或全部。经减弱的剂量可利用各种方式达到。一种提供经活化的物质的经减弱的剂量的方式是以时间上分离的脉冲输送经活化的物质,其可涉及在高/低之间以及开启/关闭处理之间以同步或异步方式脉冲化等离子体功率、偏压、气体流、或更多。经减弱的剂量被定义为输送效应减弱的剂量,该剂量所具有的能量在连续输送至经改性的表面时高于待蚀刻的材料的溅射阈值能量。在某些情况中,溅射阈值为待蚀刻的材料的表面束缚能。
输送经减弱的剂量的另一方式为改变经活化的物质的离子通量,但未经减弱的剂量具有大于表面束缚能的能量。输送经减弱的剂量的另一示例为,改变经改性的表面暴露于经活化的物质的经减弱的剂量的时间期间。时间期间可能不足以去除经改性的表面。例如,经减弱的剂量持续特定时间期间的单一脉冲可能不足以去除经改性的表面,但以经减弱的剂量输送持续相同时间期间的两或更多脉冲随着时间的推移可能足以去除经改性的表面。另一示例涉及,通过改变在经活化的物质中所产生的离子的加速,将经减弱的剂量输送至经改性的表面。另一示例涉及,通过改变被施加至支撑衬底的衬底支撑件并用于将经活化的物质有方向性地输送至经改性的表面的偏压,而输送经减弱的剂量。在一些实施方案中,经减弱的剂量足以去除经改性的表面但无法溅射下伏的未经改性的材料。
前面用于进行ALE的技术是基于在ALE的去除操作期间获得施加至支撑衬底的衬底支撑件的偏压的“ALE窗口”,以对经改性的表面提供充分的能量以从衬底去除经改性的表面的分子(ALE窗口的下限)但所提供的能量系低于经改性的表面的阈值能量(ALE窗口的上限),如果超过阈值能量会造成经改性的表面的下方的材料和/或表面的物理溅射。这样的技术聚焦于提供低偏压与低等离子体功率以避免表面上的材料的溅射以确保ALE去除为自限制性的,并且因此可在逐层处理中受到控制。
相对地,所公开的实施方案涉及操作高等离子体功率、高偏压、或两者。应了解,“偏置功率”与“偏压”等词在文中可互换使用,以说明当偏置被施加至衬底支撑件时衬底支撑件被设定的电压。阈值偏置功率或阈值偏压代表在衬底支撑件上的衬底的表面上的材料受到溅射前能被施加至衬底支撑件的偏置的最大电压。因此阈值偏置功率部分取决于待蚀刻的材料、用于产生等离子体的气体、用于点燃等离子体的等离子体功率以及等离子体频率。文中所述的偏置功率或偏压是以伏特作为量测单位,其是以“V”或“Vb”代表,其中b代表偏置。文中所述的电子伏特(eV)为一电子通过1伏特的电位差加速后所获得的能量的量。所公开的实施方案可在低工作周期(如介于1%至10%之间的工作周期)脉冲下操作。所公开的实施方案因为被输送至经活化的去除气体的高能足以克服排斥效应,因此可克服充电问题。虽然在低能ALE中,可想象尝试使用较高偏置功率克服排斥效应,但低能ALE中的较高偏置功率会因为长暴露时间而导致溅射或损伤衬底,因此失去ALE的自限制特征。相对地,使用高能下的脉冲化ALE能克服排斥效应并在不溅射的情况下维持ALE的自限制特性。
如下文将进一步说明的图13中所示,通常预期利用短工作周期进行的经改性的膜层的去除会不完全。
然而,所公开的实施方案涉及利用短工作周期来作为一种技术以执行利用高能的ALE。不期望被特定的理论所限制,一般认为ALE的去除操作是时间依赖性的。
让该示例涉及经氯等离子体改性的硅表面且具有SiCl的经改性的表面可利用氩等离子体去除。应理解,这仅作为一个示例提供,下面的表示式与任何合适的等离子体(替代氯)蚀刻的任何合适的材料以及用于ALE的能量物质(替代氩等离子体)相关,ALE通常包含低能ALE与高能ALE两者。
假设N0代表衬底上的表面位点的总数。表面位点的总数系由下式得到:
N0=N+S 式1
其中N代表未经反应的位点的总数(在表面上保留SiCl的位点),而S代表经反应的位点(仅下层的Si保留的位点)的总数。
将在衬底上的氯解吸的反应由下式表示:
Si-SiCl(s)+Ar+ions→Si(s)+SiCl(g) 式2
该式描绘了离子辅助的脱吸且假设无逆向反应,其中,速率效率Y=每一离子反应中的产率。
假设F为离子的通量,单位为离子/cm2-sec。
因此速率的表示式如下所示:
Figure BDA0002525734760000191
[S]在时间t处的导数由下式表示,假设k与[S]及t相关:
Figure BDA0002525734760000192
已经反应的表面的比例由θ表示如下:
Figure BDA0002525734760000193
Figure BDA0002525734760000194
式5A改写为式5B。在式5B中,θ(t)代表作为时间函数的去除量,其中Y(ε)是用于去除产品的离子产量(在0eV处为0.1离子,由此
Figure BDA0002525734760000195
d为单位为1/cm2的表面密度,F为离子通量(其在某些设备中于50eV处约为~1E16/cm2s),t为氩离子“开启”的时间,如针对2秒操作的10%工作周期的0.2秒剂量。
下文将说明的图12B显示针对硅的ALE的使用式5A的逆相关函数的每一循环的蚀刻的示例。不被特定的理论所约束,一般认为在较高能量时动量传输更有效率因此在较高能量时需要较少的离子。图4I与4J中提供了示例。在图4I中,被输送至经改性的表面的50eV的低离子能量利用在速度13000m/s下输送的氩去除经改性的表面,其中Y~0.1SiClx/离子。相对地,在图4J中,被输送至经改性的表面的300eV的高离子能量利用于速度33000m/s输送的氩去除表面,其中Y~0.5SiClx/离子。因此,针对利用低离子能量需要10个离子才能去除的表面,利用高离子能量只需要两个离子便能去除相同的表面。
不被特定的理论所约束,虽然溅射随着离子能量增加而增强,但SiCl表面比下伏的Si表面蚀刻得更快,因此对蚀刻量的贡献小,直到反应层被去除为止。如果暴露时间非常短,则反应层在去除时间的大部分时间处都存在。例如,图15显示蚀刻率与氩偏压的函数关系的示例,由此在所有能量处SiCl(1501)都蚀刻得比Si(1502)更快。
图16A显示在1.5秒/循环下利用50V偏压的低能ALE的示例,其导致较平滑的表面、较大的暴露时间窗口以及更高的分辨率/循环,其可对下层造成较少的损伤。灰色代表衬底上的TEOS。绿色代表下伏材料。图16B显示被暴露于使用高能的脉冲化ALE的相同衬底结构的示例,其导致:利用类似协同效应的较高产量、因较窄的离子角分布函数(IADF)的改进的深宽比依赖性蚀刻(ARDE)(在50eV处其为8°而在500eV处其为2°)、较小的充电效应、及较少的再沉积与离子散射。高能的ALE可以短脉冲输送,其在CCP反应器中会是有用的。高能的ALE使得能有较大的离子能量窗口。
所公开的实施方案适合蚀刻各种材料,所述材料包括金属、含金属材料、介电材料、半导体材料、绝缘材料等。非限制性的示例包括硅、氧化硅、氮化硅、钨、碳、锗、金属氧化物以及金属氮化物(如氮化钛、氮化铝等)。虽然文中所提供的示例是针对蚀刻硅,但应理解所公开的实施方案可用于蚀刻各种材料,并且改性气体化学品、去除气体化学品以及处理条件可取决于待蚀刻的材料。
本文中的方法及设备是针对执行高能原子层蚀刻。可通过以低剂量补偿高能而使用高能原子层蚀刻(高能ALE)。相对地,在低能下进行的传统ALE(低能ALE)涉及利用高剂量的低能。剂量被定义为,在ALE的去除操作期间所使用的离子的数目。
在一些实施方案中,可利用在本文中被称为脉冲化原子层蚀刻的蚀刻处理进行高能ALE。在某些情况中,术语“高能ALE”及“脉冲化ALE”可互换使用。单一高能ALE循环包含至少两个操作:1)以连续或脉冲化的等离子体进行表面改性;以及2)利用一或多个脉冲化能量源去除经改性的表面以去除经改性的膜层,一或多个脉冲化能量源例如是偏置功率、或射频等离子体功率、或两者、或光能。在单一的高能ALE循环中的表面改性和/或去除操作的任一者中可使用多个脉冲。例如,在一些实施方案中,在去除期间可进行偏置功率脉冲化中的100或更多个脉冲。在去除期间,可脉冲化偏置或功率或两者,并且在某些情况下,可使用其他能量如光子能。当使用偏置与等离子体功率脉冲化两者时,脉冲可为同步的或异步的。脉冲化的频率可相同或不同。可仔细调整脉冲化条件,脉冲化条件包括脉冲的开启/关闭,或低与高功率或电压之间施加脉冲、脉冲的频率、脉冲的工作周期、脉冲的持续时间,具体取决于改性化学品、去除气体化学品、待蚀刻的材料、衬底特征轮廓以及所公开的实施方案的应用。
可利用比低能ALE处理高介于约10倍至约20倍之间的较高离子能量而于高能ALE状态(regime)中进行所公开的实施方案。一些实施方案通过在很低的工作周期下同步脉冲化感应耦合电的功率和通过输送电压至衬底而对衬底施加的偏置以进行一些实施方案,很低的工作周期介于约1%至约10%之间。新处理状态使得能蚀刻具有高深宽比(如大于约30:1的深宽比)的极窄特征,其中实现低负载效应,并且减少或消除横向蚀刻。
脉冲化的ALE可涉及脉冲化等离子体和脉冲化离子偏置两者,使得在改性和去除两者期间进行脉冲化。
在表面改性期间的脉冲化可控制有效的等离子体时间且可针对用于进行改性的设备进行调整。在去除期间的脉冲化可用于使用高能量去除经改性的表面。在一些实施方案中,在去除期间的脉冲化可包含脉冲化RF等离子体与脉冲化偏置功率两者,且脉冲化可同步以使RF等离子体功率脉冲化与偏置功率脉冲化是在相同的脉冲化频率下脉冲化并使用相同的工作周期脉冲化。
使用经脉冲化的能量的脉冲化的ALE提供一种延伸自限制协同窗口的方式。协同意味着因表面改性与去除操作两者的交互作用而发生有利的蚀刻。经延伸的协同窗口到达较高偏置/能量状态,其可补偿因与极窄3D结构相关的离子散射在到达蚀刻前线之前的离子能量/通量损失。因此,高能ALE提供一种操作状态,其导致在自限制反应中针对广大范围的关键尺寸和深宽比能实现相同的蚀刻/循环。
高能ALE针对原子层蚀刻的协同行为延伸自限制能量窗口。在连续的离子轰击中,硅的溅射阈值电压例如可大于100V。意即,在大于100V的偏置功率下,硅表面将受到溅射。脉冲化模式在给定频率与工作周期下产生等离子体及离子。利用在较低工作周期下的功率/偏置脉冲化,可将阈值溅射偏置增加至较高的偏置功率。因此,相较于低能ALE,偏置脉冲化将自限制能量窗口的范围与大小增加至少一个数量级。
不被特定的理论所约束,相信脉冲化原子层蚀刻是由于利用脉冲化减少离子注量而实现。鉴于注量等于通量乘以时间,其代表离子的剂量。作为最简单的估计,剂量的减少可通过工作周期及步骤时间的比值加以计算。例如,针对10%的工作周期,在脉冲化下,剂量时间为2秒而非5秒,则注量有效减少是94%。因此,在该示例中,晶片被暴露于0.06倍低能ALE中所用的原始离子剂量。每一循环的蚀刻量取决于离子剂量及离子能量。一般而言,减少剂量会导致较低的蚀刻量。不被特定的理论所约束,相信,通过选择较高的离子能量可补偿较低剂量。
相较于反应性离子蚀刻,高能ALE使用两个自限制步骤以独立地控制反应物的输送和表面反应。脉冲化偏置模式重新定义ALE的自限制窗口以对ALE蚀刻3D结构提供一状态,在该状态中偏置功率或RF功率独立于沟槽尺寸及深宽比。所提供的实施方案适合用于全面蚀刻及图案化衬底蚀刻两者。在一些实施方案中,高能ALE的偏置窗口可具有非尖锐的最大值以使高能ALE所用的不会造成溅射的最大偏置为一系列的值而非单一设定值。
所公开的实施方案扩大了ALE能量窗口,其被称为使蚀刻为实质上自限制性的电压的范围。在一些实施方案中,ALE能量窗口由评估每一循环的蚀刻与电压的关系曲线图所决定,并且在一些实施方案中ALE能量窗口涉及识别每一循环的蚀刻为高原的位置而能量窗口被计算为+高原值的±约10%。在一些实施方案中,这可通过判断反曲点来进行:判断正斜率变为斜率0的反曲点(最小值)、及判断斜率0变为正斜率的反曲点(最大值)。在一些实施方案中,ALE能量窗口为可施加至衬底以去除材料的经改性的表面但不溅射衬底的电压的范围。电压的范围包含最小电压及最大电压,最小电压为提供至经改性的表面上足以去除经改性的材料所需的最小电压,最大电压为在去除气体溅射衬底之前衬底可耐受的最大电压。
在低能ALE中,在倾向于被减少以避免溅射对衬底所造成的损伤的偏压的范围中,偏置窗口通常更窄。通过改变工作周期可因而改变施加能量至去除气体的持续时间,随着工作周期减少,并且因此随着暴露于能量的持续时间减少,偏置窗口的范围与大小增加以使可施加至衬底的偏压可大至在低能ALE期间所使用的偏压的10至20倍。大致上可预期,在去除期间对去除气体使用较高的能量会导致较多的损伤,因此,可通过减少偏压或RF等离子体功率而减少能量的量以试着减轻损伤。然而不被特定的理论所约束,相信针对每一组改性化学品、去除气体化学品及衬底材料化学物的随着时间的推移而累积的能量的量用于提供偏置窗口,该偏置窗口去除经改性的材料。在低能ALE中,观察到为了避免溅射在偏置功率上的某些限制。然而在高能ALE中,由于偏置随着时间的推移以脉冲方式输送,偏置功率可大至低能ALE期间所用的偏置功率的10倍或20倍。应注意,虽然高能ALE以脉冲化方式进行,但在一些实施方案中在ALE期间可使用其他技术输送高能量。虽然在高能ALE所使用的偏置功率下的低能ALE会造成溅射,但随着时间的推移,高能ALE将高偏置功率分离出来,由此避免在衬底上发生任何溅射。可修改施加能量与时间(如工作周期)与通量的组合(具体取决于改性化学品、去除气体化学及待蚀刻的材料),以利用某些所公开的实施方案最大化偏置窗口。
所公开的实施方案可能尤其适合用于蚀刻FinFET应用的特征。图2显示了示例性的FinFET结构(鳍形场效晶体管)200。衬底202可为半导体衬底。在该结构中,表面214a与204a对应于源极区域,而214b与204b对应于漏极区域。衬垫212使衬底202的半导体材料与绝缘体材料230如氧化硅分离。可将薄栅极介电层206b与206a沉积于绝缘体材料230上方以使绝缘体材料230与栅极分离,栅极包含间隔物210、栅极电极208与栅极电极阻隔层208a。电触点250形成在栅极的上部上方。某些所公开的实施方案可用于针对形成在衬底202中的沟槽定义鳍/浅沟槽隔离,其中深度负载最小。此外,某些所公开的实施方案可适合用于栅极电极沉积的伪栅极去除。
图3A与3B的流程图显示根据某些所公开的实施方案进行的方法的操作。图3A与3B中的操作可在介于约1mTorr至约100Torr之间,如介于约1mTorr至约1Torr之间,如约50mTorr的处理室压强下进行。图3A与3B中的操作可在介于约0℃至约120℃之间的衬底温度下进行。
对于下面的讨论应了解,图3A的操作302、304、306、310与312可对应于和/或等于图3B的操作302、304、306、310与312。在操作302中,将衬底提供至处理室。衬底可为硅晶片,如200-mm晶片、300-mm晶片、或450-mm晶片,其包含具有沉积于其上的一或多个材料(如介电材料、导电材料或半导电材料)层的晶片。图案化的衬底可具有“特征”,如通孔或接触孔,其特征在于一或多个窄的和/或内凹的开口、特征内的收缩部以及高深宽比。特征可形成在上述膜层中的一或多层中。特征的一示例为在半导体衬底中或衬底上的层中的孔洞或通孔。另一示例为衬底或层中的沟槽。在多种实施方案中,特征可具有下层,如阻隔层或黏着层。下层的非限制性示例包含介电层及导电层,如氧化硅、氮化硅、碳化硅、金属氧化物、金属氮化物、金属碳化物及金属层。
在一些实施方案中,衬底不具有任何特征且衬底的表面为材料的覆盖层。在一些实施方案中,衬底包含各种尺寸的特征。在多种实施方案中,通过执行所公开的实施方案所制造的衬底可取决于在进行所公开的实施方案之前衬底上的特征的深宽比。在一些实施方案中,在操作301中所公开的衬底上的特征可具有至少约2:1、至少约3:1、至少约4:1、至少约6:1、至少约10:1、至少约30:1、或更高的深宽比。特征也可具有接近开口的尺寸,如介于约5nm至500nm之间,如介于约25nm至约300nm之间的开口直径或线宽。所公开的方法可在具有小于约20nm的开口的特征的衬底上进行。
通孔、沟槽或其他凹陷特征可指未经填充的特征或特征。根据多种实施方案,特征轮廓可逐渐变窄和/或在特征开口处包含悬突部。内凹轮廓为一种从特征底部、封闭端或内部到特征开口变窄的轮廓。内凹轮廓可通过下列方式产生:在图案化期间非对称性的蚀刻动力学和/或因在先前膜沉积(如扩散阻隔层沉积)时的非保形膜台阶覆盖而导致悬突部。在各种示例中,特征在特征上部处的开口中的宽度小于特征的中间和/或底部的宽度。
在操作304中,将衬底暴露于改性气体一段足以至少使衬底的表面改性的期间。在操作304中将蚀刻化学品导入至处理室中。如本文中所述,在将材料导入至处理室中的操作中,在涉及利用等离子体的原子层蚀刻的一些实施方案中,可在处理衬底或晶片之前将化学品导入至处理室中以稳定反应器或处理室。稳定处理室可使用与在稳定操作后的操作中所使用的化学品相同的流率、压强、温度及其他条件。在一些实施方案中,稳定处理室可涉及不同的参数。在一些实施方案中,在操作304期间使载气(如N2、Ar、Ne、He及其组合)连续流动。在一些实施方案中,载气仅用于去除期间。在如下所述的某些操作中可使用载气作为清扫气体。
改性操作形成薄的反应性表面层,在随后的去除操作中其厚度比未经改性的材料更容易被去除。在改性操作中,可将氯导入处理室中以氯化衬底。氯用于在所公开的实施方案中作为示例性的蚀刻剂物质,但应理解在一些实施方案中,将不同的蚀刻气体导入至处理室中。可选择蚀刻气体,具体取决于待蚀刻的衬底的类型与化学品。在一些实施方案中,可点燃等离子体,然后氯与衬底反应以进行蚀刻处理。在一些实施方案中,氯可与衬底反应或吸附至衬底表面上。在多种实施方案中,将气体形式的氯导入处理室中且氯可任选地伴随载气,载气可为上面所述的任何载气。从氯等离子体所产生的物质可通过在容纳衬底的处理室中形成等离子体而直接产生,或在不容纳衬底的处理室中远程产生,然后供给至容纳衬底的处理室中。在一些实施方案中,未使用等离子体且可将氯热导入处理室中。
在多种实施方案中,等离子体可为感应耦合等离子体或电容耦合等离子体。感应耦合等离子体可被设定为介于约50W至约2000之间的等离子体。在一些实施方案中,施加介于约0V至约500V之间的偏压。
在多种实施方案中,点燃等离子体以促进衬底表面的改性。在一些实施方案中,在远程等离子体室中点燃改性气体以产生等离子体物质,然后将等离子体物质输送至容纳衬底的处理室。在一些实施方案中,在处理室内点燃改性气体。
在多种实施方案中,在操作304期间脉冲化等离子体。可使等离子体在开启(ON)状态与关闭(OFF)状态之间脉冲化,ON状态的等离子体功率介于约50W至约2000W之间,而OFF状态的等离子体功率为0W。在一些实施方案中,等离子体可在低状态与高状态之间脉冲化,低状态的等离子体功率介于约10W至约100W之间,而高状态的等离子体功率介于约900W至约1500W之间。
脉冲化可在介于约10Hz至约200Hz之间的脉冲化频率下进行。针对改性气体的等离子体脉冲化的工作周期可介于约1%至约20%之间。应理解,脉冲化可涉及重复循环且每一循环可持续时间期间T。时间期间T包含在给定期间内脉冲ON时间的持续时间(等离子体处于ON状态的持续时间)和OFF时间的持续时间(等离子体处于OFF状态的持续时间)。脉冲频率可被理解为1/T。例如,针对脉冲化期间T=100μs,频率为1/T=1/100μs或10kHz。工作周期或占空比为在期间T中能量源处于ON状态以使工作周期或工作比为脉冲ON时间除以T的占比或百分比。例如,针对脉冲化期间T=100μs,如果脉冲ON时间为70μs(以使在一期间中能量源处于ON状态的持续期间为70μs)且脉冲OFF时间为30μs(以使在一期间中能量源处于OFF状态的持续期间为30μs),则工作周期为70%。
图4H提供可用于ALE循环的各种工作周期的示例,其包含3%、10%、40%以及100%(100%为ALE以充分协同但在低的连续能量下进行)。在图4H中的示意图中提供当Ar开启时对应的“ON”时间。
在一些实施方案中,脉冲化等离子体以使更高的能量可被输送至改性气体。在一些实施方案中,可脉冲化等离子体以使用于产生等离子体的设备在解决设备限制的特定条件下操作。例如,针对无法输送短的连续持续期间的等离子体功率的设备而言,将可在短的连续持续期间中输送的剂量在较长的时间期间分离为多个脉冲,以使总等离子体ON时间与短的连续期间相同,容易输送可充分使衬底表面上的大部分或全部活化位点改性的等离子体功率。例如,如果氯使硅表面连续改性所需的最少时间量为400毫秒,但设备无法输送氯气与等离子体功率持续如此短又连续的期间,则该400毫秒期间可以在2秒的时间内,利用连续氯气流以及四个循环的100ms脉冲等离子体功率与400ms无等离子体功率来得到。
在操作306中,任选地清扫处理室以去除多余的没有使衬底表面改性的改性气体分子。在清扫操作中,可从处理室去除未表面键合的活化氯物质。这可通过清扫和/或排放处理室以去除活化物质但不去除已吸收的层来完成。通过简单地停止等离子体并使剩下的物质衰退并任选地搭配清扫和/或排放处理室可去除在氯等离子体中所产生的物质。可利用任何惰性气体如N2、Ar、Ne、He、及其组合来进行清扫。
在操作308a中,将活化气体输送至衬底并使用活化源自活化气体产生经活化的物质,经活化的物质用于去除经改性的表面。在操作308a中,将衬底暴露于经活化的物质的高能剂量以蚀刻衬底,经活化的物质可通过脉冲化能量源(如RF等离子体功率、偏置功率、光子、或其他能量源)输送。在一些实施方案中,在一个ALE循环中于操作308a期间提供多于一个的高能剂量。以高于能溅射经改性的表面的阈值能量的能量提供高能剂量并且持续不足以利用单一能量剂量去除经改性的表面的持续期间。活化气体可为惰性气体或贵重气体,如氩、氦、氖、氪、氙、或其组合。在一些实施方案中,单一高能剂量的能量是溅射阈值能量的至少两倍至至少15倍。例如,在一些实施方案中,针对使用所公开的实施方案蚀刻硅,相较于65V的示例性阈值溅射偏置功率,在至少150eV、或至少500eV、或至少1000eV、或介于100eV至约1500eV之间的偏置功率下提供高能剂量。
在一些实施方案中,脉冲化一或多个能量源,同时连续输送一或多个能量源。例如,在一些实施方案中,在RF等离子体功率为连续时,脉冲化偏置功率。在一些实施方案中,脉冲化RF等离子体功率并脉冲化偏置功率。在一些实施方案中,脉冲化RF等离子体功率且偏置功率为连续的。在多种实施方案中,在脉冲化功率的情况中,脉冲化可在ON状态与OFF状态之间、或在低状态与高状态之间进行。
等离子体功率与偏置功率的脉冲化条件(包含频率与工作周期)取决于待蚀刻的材料。可使用下列的范围,利用氯作为改性气体去除硅。对于RF等离子体功率而言,当其在ON状态与OFF状态之间脉冲化时,在ON状态期间的功率可介于约50W至约900W之间。对于RF等离子体功率而言,当其在低功率与高功率之间脉冲化时,在高功率期间的功率可介于约900W至约1500W之间,且在低功率期间的功率可介于约10W至约100W之间。对于偏置功率而言,当其在ON状态与OFF状态之间脉冲化时,偏置功率可为低能ALE的偏置功率的约10倍至约20倍。对于蚀刻硅而言,针对介于约1%至约10%之间的工作周期,ON状态的偏置功率可介于约100V至约1500V之间。对于偏置功率而言,当其在高功率与低功率之间脉冲化时,针对介于约1%至约10%之间的工作周期,高功率的偏置功率可介于约500V至约1500V之间,针对介于约1%至约10%之间的工作周期,低功率可介于约100V至约300V之间。在一些实施方案中,在一个ALE循环期间偏置和RF等离子体功率脉冲化至少约100次。
在一示例中,可使用下列处理条件蚀刻硅:
表1.硅的高能ALE的示例性处理条件
Figure BDA0002525734760000281
在一些实施方案中,RF等离子体功率连续但偏置功率在ON状态与OFF状态之间脉冲化。在一些实施方案中,当RF等离子体功率是连续的时,偏置功率在高功率与低功率之间脉冲化。在一些实施方案中,当RF等离子体功率在ON状态与OFF状态之间脉冲化时,偏置功率在ON状态与OFF状态之间脉冲化。在一些实施方案中,当RF等离子体功率在高功率与低功率之间脉冲化时,偏置功率在ON状态与OFF状态之间脉冲化。在一些实施方案中,当RF等离子体功率在ON状态与OFF状态之间脉冲化时,偏置功率在高功率与低功率之间脉冲化。在一些实施方案中,当RF等离子体功率在高功率与低功率之间脉冲化时,偏置功率在高功率与低功率之间脉冲化。在一些实施方案中,高偏置功率可高至提供足以去除经改性的表面但不溅射的能量的最大偏置功率,低偏置功率可低至提供在给定RF等离子体功率与工作周期下足以去除经改性的表面的能量的最小偏置功率。
在一些实施方案中,RF功率与偏置功率的脉冲化是同步的,以在偏置功率开启时,RF功率开启,且在偏置功率关闭时,RF功率关闭;或者当偏置功率高时,RF功率开启,且当偏置功率低时RF功率关闭;或者当偏置功率开启时,RF功率高,且当偏置功率关闭时,RF功率低;或者当偏置功率高时,RF功率高,且当偏置功率低时,RF功率低。
在一些实施方案中,RF功率与偏置功率的脉冲化是异步的,以在偏置功率开启时,RF功率关闭,且在偏置功率关闭时,RF功率开启;或者当偏置功率高时,RF功率关闭,且当偏置功率低时,RF功率开启;或者当偏置功率开启时,RF功率低,且当偏置功率关闭时,RF功率高;或者当偏置功率高时,RF功率低,且当偏置功率低时,RF功率高。在一些实施方案中,脉冲化RF功率的频率与脉冲化偏置功率的频率相同。在一些实施方案中,脉冲化RF功率的频率与脉冲化偏置功率的频率不同。
脉冲化可在介于约10Hz至约200Hz之间(如约200Hz)的频率下进行。活化气体的等离子体脉冲化的工作周期可介于约1%至约10%之间。在多种实施方案中,减少工作周期会增加偏置窗口的范围与大小,因此较小的工作周期会造成较宽的偏压窗口及施加至衬底的较大偏置功率的容差。
针对图3B,在操作308b中,将经改性的表面暴露于高能粒子以蚀刻经改性的表面。高能粒子所具有的离子能量可高于待蚀刻的材料的下方未经改性的表面的平均表面束缚能。如上面针对操作308a所述,可以以脉冲形式将高能粒子输送至衬底。在一些实施方案中,高能粒子不会明显地溅射下方的未经改性的材料。例如,在一循环中,在去除期间高能粒子溅射的下方未经改性的材料的量少于高能粒子所去除的材料总量的约10%。
在多种实施方案中,操作308b涉及如果将经改性的表面暴露于低离子能量,则暴露经改性的表面不足以去除经改性的表面的至少80%的持续期间。
在操作310中,任选地清扫处理室以去除来自操作308a或308b的去除操作的多余的活化气体和反应副产物。
在操作312中,任选地在若干循环中重复操作304-310。在多种实施方案中,可在若干循环中重复改性与去除操作,如介于约1循环至约200循环之间、或介于约1循环至约150循环之间、或介于约1循环至约70循环之间、或介于约1循环至约40循环之间、或介于约1循环至约30循环之间、或介于约1循环至约20循环之间。可包含任何适合数目的ALE循环以蚀刻膜期望的量。在一些实施方案中,在若干循环中进行ALE以蚀刻衬底上的层的表面介于约
Figure BDA0002525734760000301
至约
Figure BDA0002525734760000302
之间的厚度。在一些实施方案中,ALE的若干循环蚀刻衬底上的层的表面介于约
Figure BDA0002525734760000303
至约
Figure BDA0002525734760000304
之间的厚度。
在图4A-4G描绘了各种时序示意图的示例。对于这些图而言,虽然在表面改性期间显示RF等离子体是关闭的,但在多种实施方案中在表面改性期间开启等离子体。在图4A-4G的所有示例中,在表面改性期间开启改性气体并使其维持恒定,并在清扫阶段及去除期间关闭改性气体;在去除期间开启去除气体并使其维持恒定,并在清扫阶段及去除期间关闭去除气体。虽然未显示但应了解,在蚀刻循环期间可使载气连续地流动。在一些实施方案中,清扫阶段气体与去除气体相同,因此在清扫阶段期间可开启去除气体至不输送等离子体或偏置功率(未显示)。
图4A显示表面改性、清扫、去除及清扫的两个蚀刻循环,其中当在去除操作期间偏置功率是在ON与OFF之间脉冲化时在去除操作期间,RF等离子体维持恒定。虽然在一个ALE循环中在去除期间针对偏置功率只显示四个ON脉冲,但可使用多个脉冲及各种工作周期,如介于1%至10%之间的工作周期。
图4B显示表面改性、清扫、去除及清扫的两个蚀刻循环,其中当在去除操作期间偏置功率是在低功率与高功率之间脉冲化时,在去除操作期间RF等离子体维持恒定。虽然在一个ALE循环中在去除期间针对偏置功率只显示四个高功率脉冲,但可使用多个脉冲及各种工作周期,如介于1%至10%之间的工作周期。
图4C显示表面改性、清扫、去除及清扫的两个蚀刻循环,其中当在去除操作期间偏置功率是在ON与OFF之间脉冲化时,在去除操作期间RF等离子体也是在ON与OFF之间脉冲化。虽然在一个ALE循环中在去除期间只显示四个ON脉冲,但可使用多个脉冲及各种工作周期,如介于1%至10%之间的工作周期。在该示例中,RF脉冲化与偏置功率脉冲化是以相同的频率与工作周期同步脉冲化。
图4D显示表面改性、清扫、去除及清扫的两个蚀刻循环,其中当在去除操作期间偏置功率是在高功率与低功率之间脉冲化时,在去除操作期间RF等离子体也是在ON与OFF之间脉冲化。虽然在一个ALE循环中于去除期间只显示四个脉冲,但可使用多个脉冲及各种工作周期,如介于1%至10%之间的工作周期。在该示例中,RF脉冲化与偏置功率脉冲化是以相同的频率与工作周期同步脉冲化。
图4E显示了表面改性、清扫、去除及清扫的两个蚀刻循环,其中当在去除操作期间偏置功率是在ON与OFF之间脉冲化时,在去除操作期间RF等离子体也是在高功率与低功率之间脉冲化。虽然在一个ALE循环中于去除期间只显示四个脉冲,但可使用多个脉冲及各种工作周期,如介于1%至10%之间的工作周期。在该示例中,RF脉冲化与偏置功率脉冲化是以相同的频率与工作周期同步脉冲化。
图4F显示了表面改性、清扫、去除及清扫的两个蚀刻循环,其中当在去除操作期间偏置功率是在高功率与低功率之间脉冲化时,在去除操作期间RF等离子体也是在高功率与低功率之间脉冲化。虽然在一个ALE循环中于去除期间只显示四个脉冲,但可使用多个脉冲及各种工作周期,如介于1%至10%之间的工作周期。在该示例中,RF脉冲化与偏置功率脉冲化是以相同的频率与工作周期同步脉冲化。
图4G显示表面改性、清扫、去除及清扫的两个蚀刻循环,其中当在去除操作期间偏置功率是在ON与OFF之间脉冲化时,在去除操作期间RF等离子体也是在ON与OFF之间脉冲化。虽然在一个ALE循环中于去除期间只显示四个偏置功率脉冲(及只显示三个RF等离子体脉冲),但可使用多个脉冲及各种工作周期,如介于1%至10%之间的工作周期。在该示例中,RF脉冲化与偏置功率脉冲化是以相同的频率与工作周期同步脉冲化。在该示例中,RF脉冲化与偏置功率脉冲化不同步但具有相同频率,以使当RF等离子体关闭时,偏置功率开启,且当RF等离子体开启时,偏置功率关闭。
图4A-4G提供在各种脉冲化ALE实施方案中的脉冲时序的示例。应当理解,在某些所公开的脉冲化ALE实施方案中可使用许多变化。
本文中提供了用于实现蚀刻选择比的实施方案。例如,蚀刻选择比可取决于待蚀刻的材料、所用的去除气体与改性气体以及在ON状态期间脉冲所使用的偏置功率,因此在较低的偏置功率下可以观察到两种材料间的较高选择比,但在较高的偏置功率下可以观察到两种材料间的较低选择比。由于所公开的实施方案针对操作自限制性脉冲化ALE扩大了偏置窗口,因此这使得能在脉冲化ALE期间利用各种偏置功率调节一种材料相对于另一材料的蚀刻选择比以获得期望的蚀刻特性及在一些实施方案中获得期望的特征轮廓。
设备
现在描述在某些实施方案中可适用于原子层蚀刻(ALE)操作的感应耦合等离子体(ICP)反应器。这样的ICP反应器还描述在2013年12月10日提交的并且名称为“IMAGEREVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING”的美国专利申请公开No.2014/0170853中,其在此通过引用整体并入本文并用于所有目的。尽管本文描述了ICP反应器,但是应该理解的是,在一些实施方案中也可以使用电容耦合等离子体反应器。在一些实施方案中,可使用电子回旋共振等离子体。
图5示意性地示出了适于实施本文的某些实施方案的感应耦合等离子体蚀刻设备500的横截面图,其示例是KiyoTM反应器,由加利福尼亚州弗里蒙特的Lam Research Corp.生产。所述感应耦合等离子体设备500包括由室壁501和窗511结构上限定的总处理室501。室壁501可以由不锈钢或铝制成。窗511可以由石英或其他介电材料制成。任选的内部等离子体栅格550将总处理室501分为上副室502和下副室503。在大多数实施方案中,等离子体栅格550可以被移除,从而利用由副室502和503构成的室空间。卡盘517定位在下副室503中在底部内表面附近。卡盘517被配置成接收和保持在其上执行蚀刻和沉积处理的半导体晶片519。卡盘517可以是当晶片519存在时用于支撑晶片519的静电卡盘。在一些实施方案中,边缘环(未示出)围绕卡盘517,并具有大致与晶片519(当晶片存在于卡盘517上方时)的顶面在同一平面的上表面。卡盘517还包括用于夹紧和松开晶片的静电电极。可设置过滤器和DC钳位功率源(未示出)用于此目的。也可以提供其他的控制系统用于提升晶片519使其离开卡盘517。卡盘517可以用RF功率源523充电。RF功率源523通过连接件527被连接到匹配电路521。可将偏置功率输送至卡盘517以给衬底施加偏置。在多种实施方案中,可将偏置功率设定至介于0V(无偏置)至约2000V之间、或介于0V至1800V之间、或介于0V至1500V之间、或介于500V至约1500V之间的值。匹配电路521通过连接件527连接到卡盘517。以这种方式,RF功率源523被连接到卡盘517上。
用于等离子体产生的元件包括位于窗511上方的线圈533。在一些实施方案中,所公开的实施方案中未使用线圈。线圈533由导电材料制成,并包括至少一整匝。在图5中所示的线圈533的示例包括三匝。线圈533的横截面用符号示出,具有“X”符号的线圈表示线圈533旋转地延伸到页面内,相反,具有“●”符号的线圈表示线圈旋转地延伸出页面。用于等离子体产生的元件还包括被配置为提供RF功率至线圈533的RF功率源541。一般地,RF功率源541通过连接件545被连接到匹配电路539。匹配电路539通过连接件543连接到线圈533。以这种方式,RF功率源541被连接到线圈533。针对ALE循环,RF电源541在改性操作期间可利用介于1%至约20%之间的工作周期在介于10Hz至200Hz之间的频率下脉冲化和/或在去除操作期间可利用介于1%至约20%的工作周期在介于10Hz至200Hz之间的频率下脉冲化。任选的法拉第屏蔽件549被定位在线圈533和窗511之间。法拉第屏蔽件549以相对于线圈533成隔开的关系被保持。法拉第屏蔽件549被设置在窗511的正上方。线圈533、法拉第屏蔽件549和窗511各自被配置为基本上彼此平行。法拉第屏蔽件可以防止金属或其它物质沉积在等离子体室501的介电窗上。
处理气体(例如氯气、氩气、氧气等)可以通过位于上室502中的一个或多个主气体流入口560和/或通过一个或多个侧气体流入口570流入处理室501。同样,虽然未明确示出,但是类似的气流入口可用于向电容耦合等离子体处理室供应处理气体。真空泵,例如,一级或两级干式机械泵和/或涡轮分子泵540,可用于将处理气体从处理室501抽出并维持处理室501内的压强。例如,该泵可用于在ALE清扫操作过程中排空室501。阀控制的导管可用于使真空泵流体连接在处理室501上,以便任选地控制由真空泵提供的真空环境的应用。在操作等离子体处理过程中,这可以使用封闭环控制的流量限制设备例如节流阀(未示出)或钟摆阀(未示出)进行。同样,也可以使用受控地流体连接在电容耦合等离子体处理室上的真空泵和阀。
在设备的操作过程中,一种或多种处理气体可通过气体流入口560和/或570供给。在某些实施方案中,处理气体可以仅通过主气体流入口560供给,或者仅通过侧气体流入口570供给。在一些情况下,在图中所示的气体流入口可以由较复杂的气体流入口替代,例如,由一个或多个喷头替代。法拉第屏蔽件549和/或任选的栅格550可以包括使处理气体能输送至室501的内部通道和孔。法拉第屏蔽件549和任选的栅格550中的一者或两者可以作为用于输送处理气体的喷头。在一些实施方案中,液体蒸发和输送系统可位于室501的上游,使得一旦液体反应物或前体被蒸发,那么蒸发的反应物或前体通过气体流入口560和/或570引入到室501中。示例性液体前体包括SiCl4和硅酰胺。
射频功率从RF功率源541供给到线圈533以使RF电流流过线圈533。流过线圈533的RF电流产生围绕线圈533的电磁场。电磁场在上副室502内产生感应电流。所生成的各离子和自由基与晶片519的物理和化学相互作用任选地在晶片上蚀刻特征和沉积层。
如果使用等离子体栅格使得存在上副室502和下副室503二者,则感应电流作用于存在于上副室502中的气体上以在上副室502中产生电子-离子等离子体。任选的内部等离子体栅格550限制下副室503中的热电子的量。在一些实施方案中,设计和操作所述设备使得存在于下副室503中的等离子体是离子-离子等离子体。
上部的电子-离子等离子体和下部的离子-离子等离子体二者可包含阳离子和阴离子,但是离子-离子等离子体将具有更大的阴离子:阳离子比率。挥发性的蚀刻和/或沉积的副产物可通过端口522从下副室503去除。本文公开的卡盘517可以在范围介于约-200℃和约600℃之间或约-20℃和约250℃之间的温度下操作,以处理衬底以蚀刻钽,卡盘517可以设定在低于约0℃的温度。温度取决于处理操作和具体配方以及所使用的工具。
室501当安装在干净的房间或制造厂中时可耦合到设施(未示出)。设施包括管道,管道提供处理气体、真空、温度控制和环境微粒控制。这些设施当安装在目标制造厂时耦合到室501。此外,室501可耦合在传送室上,从而允许使用典型的自动化由机器人进出室501传送半导体晶片。
在一些实施方案中,系统控制器530(其可包括一个或多个物理或逻辑控制器)控制处理室的一些或所有操作。系统控制器530可包括一个或多个存储器器件和一个或多个处理器。在一些实施方案中,所述设备包括当进行所公开的实施方案时用于控制流速和持续时间的开关系统。在一些实施方案中,所述设备可具有高达约500ms或高达约750ms的切换时间。切换时间可取决于流动化学物质、配方选择、反应器的体系结构和其他因素。
在一些实现方式中,控制器530是系统的一部分,该系统可以是上述实例的一部分。这种系统可以包括半导体处理装置,其包括一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片衬底支撑件、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称作“控制器”,该控制器可以控制一个或多个系统的各种元件或子部件。根据处理参数和/或系统的类型,控制器530可以被编程以控制本文公开的任何处理,包括控制处理气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的装载锁。
宽泛地讲,控制器530可以被定义为具有接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式通信到控制器的指令,该设置定义用于在半导体晶片上或针对半导体晶片或系统执行特定处理的操作参数。在一些实施方案中,操作参数可以是由处理工程师定义的用于在制备晶片的一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。在一些实施方案中,控制器530可以用于确定用于ALE的改性操作的温度窗,或者用于确定用于ALE的去除操作的处理条件窗口或两者。
在一些实现方式中,控制器530可以是与系统集成、耦合或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦合。例如,控制器可以在“云”中或者是晶片厂(fab)主机系统的全部或一部分,其可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,以改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的处理。在一些实例中,远程计算机(例如,服务器)可以通过网络给系统提供处理配方,网络可以包括本地网络或互联网。远程计算机可以包括能够输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机通信到系统。在一些实例中,该控制器530接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的处理类型以及工具类型,控制器被配置成连接或控制该工具。因此,如上所述,该控制器530可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的处理和控制)工作。用于这些目的的分布式控制器的实例可以是与一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路,其结合以控制室内的处理。
示例性的系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、ALD室或模块、ALE室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其他的半导体处理系统。
如上所述,根据工具将要执行的一个或多个处理步骤,控制器可以与一个或多个其他的工具电路或模块、其他工具组件、群集工具、其他工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
图6描述了半导体处理集群结构,其中各个模块与真空传送模块638(VTM)接口。在多个存储装置和处理模块之间“传送”晶片的传送模块的配置可以被称为“集群工具架构”系统。气密室630(也被称为装载锁或传送模块)在具有四个处理模块620a-620d的VTM 638中示出,四个处理模块620a-620d可以被单独优化以执行各种制造处理。例如,处理模块620a-620d可以被实现以执行衬底蚀刻、沉积、离子注入、晶片清洁、溅射和/或其它半导体处理。衬底蚀刻处理模块中的一个或多个(620a-620d中的任意一个或多个)可以如本文所公开的被实施,即,用于引入改性气体,用于引入去除气体,以及根据所公开的实施方案的其它合适的功能。气密室630和处理模块620可以被称为“站”。每个站具有将站与VTM 638连接的小面636(facet 636)。在每个小面内部,传感器1-18被用于在衬底626在各站之间移动时检测衬底626的通过。
机械手622将晶片626在各站之间传输。在一个实施方案中,机械手622具有一个臂,而在另一实施方案中,机械手622具有两个臂,其中每个臂具有端部执行器624以拾取晶片(例如晶片626)以供运输。在大气传送模块(ATM)640中,前端机械手632用于从在负载端口模块(LPM)642中的晶片盒或前开式标准盒(FOUP)634传送晶片626到气密室630。处理模块620内的模块中心628是用于放置晶片626的一个位置。在ATM 640中的对准器644用于对齐晶片。
在一示例性的处理方法中,晶片被放置在LPM 642中的多个FOUP 634中的一个中。前端机械手632将晶片从FOUP 634传送到对准器644,其允许晶片626在被蚀刻或处理之前适当地居中。对准后,晶片626由前端机械手632移动到气密室630中。由于气密模块具有匹配ATM和VTM之间的环境的能力,因此晶片626能够在两种压强环境之间移动而不被损坏。从气密模块630,晶片626通过机械手622移动通过VTM 638并进入处理模块620a-620d中的一个。为了实现这种晶片移动,机械手622在其每一个臂上使用端部执行器624。一旦晶片626已被处理,则通过机械手622将其从处理模块620a-320d移动到气密模块630中。晶片626可以从这里通过前端机械手632移动到多个FOUP 634中的一个中或到对准器644。
应当注意的是,控制晶片运动的计算机对于集群架构可以是本地的,或者它可以位于在制造工厂中的集群架构的外部,或在远程位置并通过网络连接到集群架构。如上参照图5所述的控制器可以用图6中的工具实施。
实验
实验1
通过将衬底暴露于脉冲化原子层蚀刻的40个循环,在衬底上的绝缘材料上的硅上进行实验,其中每一循环包含:在40mTorr下以100Hz频率脉冲化的改性用的200sccm的氯剂量;利用氩清扫;400sccm的氦流与300W的等离子体,利用在100Hz频率下的脉冲化偏置(ON/OFF);利用氩清扫。针对每一次试行决定每一循环的蚀刻,每一试行针对ON状态偏置使用不同的偏置功率,其中ON状态偏置的范围从100至500V,且每50V为增量。在图7中绘制了偏置功率与每一循环的蚀刻厚度(单位为埃)的关系图,其显示在介于300V至500V之间的高偏置功率处出现具有自限制状态的饱和蚀刻率,该高偏置功率显著高于连续ALE中的自限制偏置功率。图7中的Y轴为线性刻度。
实验2
进行实验以确定衬底上的非晶硅与氧化硅材料的每一循环的蚀刻量。将非晶硅与氧化硅两者皆暴露于使用氦作为去除气体的脉冲化原子层蚀刻的70个循环,其中每一循环包含:在20mTorr下以100Hz频率脉冲化的改性用的180sccm的氯与180sccm的氦,未施加偏压;利用氩清扫;400sccm的氦流,0W等离子体,利用在100Hz频率下的脉冲化偏置(ON/OFF);利用氩清扫。针对ON状态偏压的各种偏置功率确定每一循环的蚀刻,ON状态偏置的范围是从100至500V,且每100V为增量。在图8A中绘制了偏置功率与每一循环的蚀刻厚度(单位为埃)的关系图,其显示了非晶硅(三角形)比氧化硅(圆形)有更高的蚀刻量/循环。图8A中的Y轴为线性刻度。非晶硅对氧化硅的蚀刻选择比被计算并显示于图8B中,其显示在较低偏置功率处有较高的选择比而在高偏置功率处有较低的选择比。这些结果表明,利用脉冲化ALE可调节出较宽的偏置功率范围以实现蚀刻选择比。
实验3
进行实验以确定衬底上的非晶硅与氧化硅材料的每一循环的蚀刻量。将非晶硅与氧化硅两者皆暴露于使用氩作为去除气体的脉冲化原子层蚀刻的200个循环,其中每一循环包含:在20mTorr下以100Hz频率脉冲化的改性用的180sccm的氯与180sccm的氦,未施加偏置,且等离子体功率为200W;利用氩清扫;400sccm的氩流,0W等离子体功率,利用在100Hz频率下的脉冲化偏置(ON/OFF);利用氩清扫。针对ON状态偏置的各种偏置功率确定每一循环的蚀刻量,ON状态偏置的范围是从200至400V,且增量为25V或50V。在图9A中绘制了偏置功率与每一循环的蚀刻厚度(单位为埃)的关系图,其显示非晶硅(三角形)比氧化硅(圆形)有更高的蚀刻量/循环。图9A中的Y轴为线性刻度。非晶硅对氧化硅的蚀刻选择比被计算并显示于图9B中,其显示在较低偏置功率处有较高的选择比,而在高偏置功率处有较低的选择比。这些结果表明,利用脉冲化ALE可调节出较宽的偏置功率范围以实现蚀刻选择比。
实验4
在图案化衬底上利用脉冲化ALE进行实验,其中使用氦作为去除气体。衬底的图案包含孤立与致密结构两者,孤立结构具有80nm的特征而致密结构具有在特征开口附近具有约5nm的特征,特征深度是在60nm至80nm的规模。在120℃的衬底温度下将衬底暴露于脉冲化ALE的多个循环,每一循环包含:利用等离子体的氯剂量;利用氦清扫;利用等离子体的氦去除气体的暴露,每次暴露3秒,且在25%的工作周期下的脉冲化偏置,偏置功率介于0V至65V之间;利用氦清扫。针对具有广泛关键尺寸范围的各种沟槽计算节距的负载百分比并将结果绘制于图10A中。如图所示,当沟槽尺寸增加时,节距负载减少。
在120℃的衬底温度下将具有类似结构的图案暴露于脉冲化ALE的多个循环,每一循环包含:利用等离子体的氯剂量;利用氦清扫;利用等离子体的氦去除气体的暴露,每次暴露3秒,且在10%的工作周期下的脉冲化偏置,偏置功率介于0V至150V之间;利用氦清扫。针对具有关键尺寸范围的各种沟槽计算节距的负载百分比并将结果绘制于图10B中。如图所示,当沟槽尺寸增加时,节距负载减少。
产生衬底的影像,其显示:对于特征开口小于10nm的沟槽而言,与暴露于利用25%工作周期的介于0V至65V之间的脉冲化的低氦偏置的衬底比,利用10%工作周期的介于0V至150V之间的脉冲化的较高的氦偏置对于整个衬底上的每一特征实现了更类似的蚀刻深度。
实验4
图11显示了在去除操作期间,针对三条不同的曲线,每一循环的蚀刻量与偏置的关系的比较。曲线1002显示,当在去除操作期间利用2秒暴露时间并以3%工作周期进行ALE时每一循环的蚀刻量的示例。曲线1101显示,当在去除操作期间利用2秒暴露时间并以10%工作周期进行ALE时每一循环的蚀刻量的示例。前两者是与曲线1103比较,曲线1103显示在去除期间利用7秒暴露时间在没有脉冲化(如工作周期为100%)的情况下进行ALE。如图所示,脉冲化实施方案饱和(10%工作周期在约900eV处饱和且3%工作周期在约1500eV处饱和),但非脉冲化实施方案所提供的数据不会具有特定的饱和偏压。
实验4
图12A显示了针对在去除期间的连续暴露中,每一循环的蚀刻量与偏压的关系所收集到的实验数据的示例。箭头1201显示每一循环的蚀刻饱和处的电压的ALE窗口的示例。
图12B显示了在硅的连续ALE中每一循环的蚀刻量与时间的关系的示例。相比之下,文献显示在蚀刻反应器中离子束的蚀刻量(为氩暴露的持续时间的函数)涉及在介于100至300秒的氩暴露的蚀刻量增加、接着在上至约650秒处蚀刻量的相对水平斜率、然后在某些情况中氩暴露超过700秒后蚀刻量的稍微增加。
实验5
图13显示了完全去除的离子能量取决于氩离子的“ON”时间。
图14A显示利用氯作为改性气体并利用氩作为去除气体在硅衬底上各种ON时间的ALE范围,假设通量率为F=F0(1+a*V偏置^1.5),其中F0由实验数据所确定。例如,曲线1401代表在每一脉冲为0.06秒时的标准化蚀刻量/循环、曲线1402代表在每一脉冲为0.2秒时的标准化蚀刻量/循环、曲线1403代表在每一脉冲为0.5秒时的标准化蚀刻量/循环、曲线1405代表在每一脉冲为1秒时的标准化蚀刻量/循环、曲线1407代表在每一脉冲为2秒时的标准化蚀刻量/循环、曲线1408代表在每一脉冲为3秒时的标准化蚀刻量/循环、曲线1409代表在每一脉冲为7秒时的标准化蚀刻量/循环。线1410显示了在一层被蚀刻掉时的量。
图14B显示了脉冲化ALE的每一循环的蚀刻量的数据的示例性仿真拟合,脉冲化ALE使得能利用特定的氩ON时间并利用ALE协调效应实现完全去除。这些结果表明,在去除期间内即使利用远远较高的“ON”时间期间,脉冲化ALE使得能使用较高的蚀刻率/循环来实现完全去除但不发生实质上的溅射。
结论
虽然为了清楚理解的目的已经相当详细地描述了前述的实施方案,但是显而易见的是,可在所附权利要求的范围内实施某些变化和修改。应当注意的是,具有实施本发明实施方案的处理、系统和装置的许多替代方式。因此,本发明的实施方案应被视为是说明性的而不是限制性的,并且所述实施方案并不限于本文所给出的细节。

Claims (89)

1.一种衬底处理方法,所述方法包括:
提供包含待蚀刻的材料的衬底;
将所述待蚀刻的材料的表面暴露于改性气体,以使所述表面改性并形成经改性的表面;以及
将所述经改性的表面暴露于高能粒子,以相对于下伏的未经改性的表面优先去除所述经改性的表面,所述高能粒子具有足以克服所述下伏的未经改性的表面的平均表面束缚能的离子能量。
2.根据权利要求1所述的方法,其中所述高能粒子的所述离子能量足以打断所述下伏的未经改性的表面的键。
3.根据权利要求1所述的方法,其中所述高能粒子是以在时间上分离的剂量输送,所述时间上分离的剂量具有介于约1%至约10%之间的工作周期。
4.根据权利要求1所述的方法,其中在将所述经改性的表面暴露于所述高能粒子的期间施加偏压至保持所述衬底的衬底支撑件。
5.根据权利要求1所述的方法,其中所述高能粒子去除一定量的所述经改性的表面,所去除的所述经改性的表面的所述量由下式所表示:
Figure FDA0002525734750000011
其中Y为所述高能粒子的离子产率、F为所述高能粒子的通量、t为所述高能粒子的暴露期间、而d为所述待蚀刻的材料的表面密度。
6.根据权利要求1所述的方法,其中所述高能粒子不会明显地溅射所述下伏的未经改性的材料。
7.根据权利要求5所述的方法,其中将所述经改性的表面暴露于所述高能粒子持续足以以自限制方式去除所述经改性的表面的持续期间。
8.一种衬底处理方法,所述方法包括:
提供包含待蚀刻的材料的衬底;
将所述待蚀刻的材料的表面暴露于改性气体,以使所述表面改性并形成经改性的表面;以及
施加偏置,同时将所述经改性的表面暴露于高能粒子以去除所述经改性的表面,其中施加至所述偏置的电能至少为150eV。
9.根据权利要求8所述的方法,其中施加至所述偏压的所述电能至少为500eV。
10.一种衬底处理方法,所述方法包括:
提供包含待蚀刻的材料的衬底;
将所述待蚀刻的材料的表面暴露于改性气体,以使所述表面改性并形成经改性的表面;以及
输送高能粒子的剂量至所述经改性的表面以去除所述经改性的表面,
其中所述剂量在使用小于下伏的未经改性的表面的表面束缚能的偏压而输送时不足以去除所述经改性的表面。
11.一种衬底处理方法,所述方法包括:
提供包含待蚀刻的材料的衬底;
将所述待蚀刻的材料的表面暴露于改性气体,以使所述表面改性并形成经改性的表面;以及
将所述经改性的表面暴露于高能粒子持续大于足以通过离子轰击去除所述经改性的表面与所述下伏的未经改性的表面的持续时间的持续时间,以相对于下伏的未经改性的表面优先去除所述经改性的表面的至少80%。
12.一种衬底处理方法,所述方法包括:
提供包含待蚀刻的材料的衬底;
将所述待蚀刻的材料的表面暴露于改性气体,以使所述表面改性并形成经改性的表面;以及
将所述经改性的表面暴露于具有小于100%的工作周期的脉冲形式的高能粒子。
13.一种衬底处理方法,所述方法包括:
提供包含待蚀刻的材料的衬底;
将所述待蚀刻的材料的表面暴露于改性气体,以使所述表面改性并形成经改性的表面;以及
将所述经改性的表面暴露于经减弱的剂量的高能粒子,其中未经减弱的所述剂量在连续输送至所述经改性的表面时具有高于所述待蚀刻的材料的表面束缚能的能量。
14.根据权利要求13所述的方法,其中通过改变经活化的物质的离子通量来减弱所述剂量。
15.根据权利要求13所述的方法,其中通过改变所述经改性的表面被暴露于经活化的物质的持续时间来减弱所述剂量。
16.根据权利要求13所述的方法,其中所述经减弱的剂量包含通向所述经改性的表面的经活化的物质的在时间上分离的两或更多个脉冲,以去除所述经改性的表面的至少部分。
17.根据权利要求13所述的方法,其中通过改变通向所述经改性的表面的经活化的物质中的离子的加速来减弱所述剂量。
18.根据权利要求13所述的方法,其中通过改变被施加至保持所述衬底的衬底支撑件以用于将经活化的物质有方向性地输送至所述经改性的表面的偏压来减弱所述剂量。
19.一种衬底处理方法,所述方法包括:
提供包含待蚀刻的材料的衬底;
将所述待蚀刻的材料的表面暴露于改性气体,以使所述表面改性并形成经改性的表面;以及
将所述经改性的表面暴露于在时间上分离的脉冲形式的高能粒子;以及
在所述时间上分离的脉冲期间调制离子能量与剂量。
20.根据权利要求19所述的方法,其中调制离子能量与剂量包含增加所述离子能量以及以减少的剂量补偿所述离子能量的增加。
21.一种衬底处理方法,所述方法包含:
将所述衬底暴露于改性气体,以使所述衬底的表面改性而形成经改性的表面;
将所述衬底的所述经改性的表面暴露于去除气体;以及
在将所述经改性的表面暴露于所述去除气体期间,提供从活化源所产生的能量的时间上分离的多个脉冲,以从所述衬底去除所述经改性的表面的至少一些。
22.根据权利要求21所述的方法,其还包括在两或更多循环中重复将所述衬底暴露于所述改性气体并将所述经改性的表面暴露于所述去除气体,其中在每一循环中在将所述经改性的表面暴露于所述去除气体期间提供所述能量的时间上分离的多个脉冲。
23.根据权利要求22所述的方法,其中所述能量的时间上分离的多个脉冲包含每一循环至少100个能量的时间上分离的脉冲。
24.根据权利要求21所述的方法,其中所述能量的时间上分离的脉冲足以去除所述经改性的表面但不足以物理溅射所述经改性的表面。
25.根据权利要求21所述的方法,其中提供的所述能量通过在暴露于所述去除气体期间施加至所述衬底的足以去除所述经改性的表面的最小电压和在暴露于所述去除气体期间施加至所述衬底的不足以溅射所述经改性的表面的最大电压的偏置窗口定义。
26.根据权利要求21-25中任一项所述的方法,其中所述能量的时间上分离的多个脉冲在介于约10Hz至约200Hz之间的频率下脉冲化。
27.根据权利要求21-25中任一项所述的方法,其中所述能量的时间上分离的多个脉冲在介于约1%至约10%之间的工作周期下脉冲化。
28.根据权利要求21-25中任一项所述的方法,其中所述活化源包含两或更多个源。
29.根据权利要求21-25中任一项所述的方法,其中所述活化源选自由射频等离子体、施加至衬底的偏置、紫外线辐射、光子、及其组合所组成的群组。
30.根据权利要求21-25中任一项所述的方法,其中所述活化源包含被施加以使所述衬底偏置的电压。
31.根据权利要求30所述的方法,其中所述偏置电压至少介于约500V至约1500V之间。
32.根据权利要求30所述的方法,其中所述偏置在0V与介于约500V和约1500V间的偏置电压之间脉冲化。
33.根据权利要求30所述的方法,其中所述偏置电压在低偏置电压与高偏置电压之间脉冲化,所述低偏置电压介于约100V至约300V之间,而所述高偏置电压介于约500V至约1500V之间。
34.根据权利要求30所述的方法,其中所述偏置电压利用介于约10Hz和约200Hz之间的脉冲化频率脉冲化。
35.根据权利要求30所述的方法,其中所述偏置电压利用介于约1%至约20%之间的工作周期脉冲化。
36.根据权利要求21-25中任一项所述的方法,其中所述活化源包含射频等离子体。
37.根据权利要求36所述的方法,其中所述射频等离子体通过施加功率产生,所述射频等离子体功率在OFF状态与ON状态之间脉冲化,其中所述OFF状态的等离子体功率为0W,而所述ON状态的等离子体功率介于约50W和约900W之间。
38.根据权利要求36所述的方法,其中所述射频等离子体通过施加功率产生,并且所述射频等离子体功率是在低等离子体功率与高等离子体功率之间脉冲化,所述低等离子体功率介于约10W和约100W之间,而所述高等离子体功率介于约900W和约1500W之间。
39.根据权利要求36所述的方法,其中所述射频等离子体利用脉冲化频率脉冲化,所述脉冲化频率介于约10Hz和约200Hz之间。
40.根据权利要求36所述的方法,其中所述射频等离子体脉冲化的工作周期介于约1%和约20%之间。
41.根据权利要求21-25中任一项所述的方法,其中所述活化源包含射频等离子体以及施加至所述衬底的偏置。
42.根据权利要求41所述的方法,其中所述偏置是在0V与偏置电压之间脉冲化,所述偏置电压介于约500V至约1500V之间。
43.根据权利要求41所述的方法,其中所述偏置是在低偏置电压与高偏置电压之间脉冲化,所述低偏置电压介于约100V和约300V之间,而所述高偏置电压介于约500V和约1500V之间。
44.根据权利要求41所述的方法,其中所述射频等离子体通过施加功率产生,且所述射频等离子体功率是在OFF状态与ON状态之间脉冲化,其中所述OFF状态的等离子体功率为0W,而所述ON状态的等离子体功率介于约50W和约900W之间。
45.根据权利要求41所述的方法,其中所述射频等离子体是在低等离子体功率与高等离子体功率之间脉冲化,其中所述低等离子体功率介于约10W和约100W之间,而所述高等离子体功率介于约900W和约1500W之间。
46.根据权利要求21-25中任一项所述的方法,其中所述衬底包含一或多个窄特征及一或多个宽特征。
47.根据权利要求21-25中任一项所述的方法,其中在介于约0℃至约120℃之间的衬底温度下处理所述衬底。
48.根据权利要求21-25中任一项所述的方法,其中在将所述衬底暴露于所述改性气体期间,在具有介于约5mTorr和约1Torr之间的处理室压强的处理室中处理所述衬底。
49.根据权利要求21-25中任一项所述的方法,其中在将所述衬底暴露于所述去除气体期间,在具有介于约5mTorr至约200mTorr之间的处理室压强的处理室中处理所述衬底。
50.一种衬底处理方法,所述方法包含:
将所述衬底暴露于改性气体,以使所述衬底的表面改性而形成经改性的表面;以及
将所述衬底的所述经改性的表面暴露于去除气体;以及
在将所述经改性的表面暴露于所述去除气体期间,以时间上分离的两或更多个脉冲的形式周期性地点燃等离子体,以从所述衬底去除所述经改性的表面的至少一些。
51.根据权利要求50所述的方法,其还包括在两或更多循环中重复将所述衬底暴露于所述改性气体并将所述经改性的表面暴露于所述去除气体,其中在每一循环中在将所述经改性的表面暴露于所述去除气体期间提供所述等离子体的时间上分离的两或更多个脉冲。
52.根据权利要求50所述的方法,其中所述等离子体的时间上分离的多个脉冲包含每一循环至少100个能量的脉冲。
53.根据权利要求50-52中任一项所述的方法,其还包括在将所述经改性的表面暴露于所述去除气体期间,以脉冲形式施加偏置。
54.根据权利要求53所述的方法,其还包括在两或更多循环中重复将所述衬底暴露于所述改性气体,并将所述经改性的表面暴露于所述去除气体,其中在每一循环中在将所述经改性的表面暴露于所述去除气体期间提供等离子体和偏置的时间上分离的两或更多个脉冲。
55.根据权利要求53所述的方法,其中所述等离子体和所述偏置的所述时间上分离的多个脉冲包含每一循环至少100个脉冲,循环包含将所述衬底暴露于所述改性气体以及将所述经改性的表面暴露于所述去除气体。
56.根据权利要求53所述的方法,其中所述等离子体和所述偏置在相同的频率下脉冲化。
57.根据权利要求53所述的方法,其中所述等离子体和所述偏置使用相同的工作周期脉冲化。
58.一种衬底处理方法,所述方法包含:
将所述衬底暴露于改性气体,以使所述衬底的表面改性而形成经改性的表面;以及
将所述衬底的所述经改性的表面暴露于去除气体;
在暴露所述经改性的表面期间点燃等离子体;以及
在将所述经改性的表面暴露于所述去除气体期间,以时间上分离的两或更多个脉冲的形式周期性地施加偏置,以从所述衬底去除所述经改性的表面的至少一些。
59.根据权利要求58所述的方法,其还包括在将所述经改性的表面暴露于所述去除气体期间以脉冲形式点燃等离子体。
60.根据权利要求58所述的方法,其还包括在两或更多循环中重复将所述衬底暴露于所述改性气体,并将所述经改性的表面暴露于所述去除气体,其中在每一循环中在将所述经改性的表面暴露于所述去除气体期间提供偏置功率的时间上分离的两或更多个脉冲。
61.根据权利要求58所述的方法,其中所述偏置功率的所述时间上分离的多个脉冲包含每一循环至少100个脉冲,循环包含将所述衬底暴露于所述改性气体以及将所述经改性的表面暴露于所述去除气体。
62.一种衬底处理设备,所述设备包含:
处理室,其包括喷淋头与用于保持具有材料的所述衬底的衬底支撑件;
等离子体产生器;以及
控制器,其具有存储器与至少一个处理器,
其中所述至少一个处理器与所述存储器彼此通信连接,
所述至少一个处理器系与流动控制硬件至少操作性地连接,以及所述存储器存储机器可读指令,所述机器可读指令用于:
使改性气体导入所述处理室;
使去除气体导入所述处理室;以及
在导入所述去除气体的期间使活化源脉冲化。
63.根据权利要求62所述的设备,其中所述存储器还存储用于下述操作的机器可读指令:在导入所述去除气体期间使所述活化源的脉冲频率介于约10Hz和约200Hz之间。
64.根据权利要求62所述的设备,其中所述存储器还存储用于下述操作的机器可读指令:在导入所述去除气体期间使所述活化源的工作周期介于约1%和约10%之间。
65.根据权利要求62所述的设备,其中所述活化源为在所述处理室中利用等离子体功率所产生的等离子体,且所述存储器还存储用于下述操作的机器可读指令:使所述活化源在OFF状态与ON状态之间脉冲化,其中所述OFF状态的等离子体功率为0W,而所述ON状态的等离子体功率介于约50W至约900W之间。
66.根据权利要求62所述的设备,其中所述活化源为在所述处理室所产生的等离子体,且所述存储器还存储用于下述操作的机器可读指令:使所述活化源在低等离子体功率与高等离子体功率之间脉冲化,其中所述低等离子体功率介于约10W和约100W之间,而所述高等离子体功率介于约900W和约1500W之间。
67.根据权利要求62-66中任一项所述的设备,其中所述存储器还存储用于下述操作的机器可读指令:使偏置以脉冲方式施加至所述衬底支撑件上。
68.根据权利要求67所述的设备,其中所述存储器还存储用于下述操作的机器可读指令:使所述偏压在0V与偏置电压之间脉冲化,所述偏置电压介于约500V和约1500V之间。
69.根据权利要求67所述的设备,其中所述存储器还存储用于下述操作的机器可读指令:使所述偏置与所述活化源在相同的脉冲化频率下脉冲化。
70.根据权利要求67所述的设备,其中所述存储器还存储用于下述操作的机器可读指令:使所述偏置与所述活化源在相同的脉冲化工作周期下脉冲化。
71.一种衬底处理设备,所述设备包含:
处理室,其包括喷淋头与用于保持具有材料的所述衬底的衬底支撑件;
等离子体产生器;以及
控制器,其具有存储器与至少一个处理器,
其中所述至少一个处理器与所述存储器彼此通信连接,
所述至少一个处理器系与流动控制硬件至少操作性地连接,以及所述存储器存储机器可读指令,所述机器可读指令用于:
使改性气体导入所述处理室;
使去除气体导入所述处理室;以及
致使在导入所述去除气体期间,以时间上分离的两或更多个脉冲的形式在所述处理室中产生射频等离子体功率。
72.根据权利要求71所述的设备,其中所述存储器还存储用于下述操作的机器可读指令:在导入所述去除气体期间使所述射频等离子体功率的脉冲频率介于约10Hz和约200Hz之间。
73.根据权利要求71所述的设备,其中所述存储器还存储用于下述操作的机器可读指令:在导入所述去除气体期间使所述射频等离子体功率的工作周期介于约1%和约10%之间。
74.根据权利要求71所述的设备,其中所述存储器还存储用于下述操作的机器可读指令:使所述射频等离子体功率在OFF状态与ON状态之间脉冲化,其中所述OFF状态的等离子体功率为0W,而所述ON状态的等离子体功率介于约50W至约900W之间。
75.根据权利要求71所述的设备,其中所述存储器还存储用于下述操作的机器可读指令:使所述射频等离子体功率在低等离子体功率与高等离子体功率之间脉冲化,其中所述低等离子体功率介于约10W和约100W之间,而所述高等离子体功率介于约900W和约1500W之间。
76.根据权利要求71-75中任一项所述的设备,其中所述存储器还存储用于下述操作的机器可读指令:使偏置以脉冲方式施加至所述衬底支撑件上。
77.根据权利要求76所述的设备,其中所述存储器还存储用于下述操作的机器可读指令:使所述偏压在0V与偏置电压之间脉冲化,所述偏置电压介于约500V和约1500V之间。
78.根据权利要求76所述的设备,其中所述存储器还存储用于下述操作的机器可读指令:使所述偏置在低偏置电压与高偏置电压之间脉冲化,其中所述低偏置电压介于约100V和约300V之间,而所述高偏置电压介于约500V和约1500V之间。
79.根据权利要求76所述的设备,其中所述存储器还存储用于下述操作的机器可读指令:使所述偏置与所述射频等离子体功率在相同的脉冲化频率下脉冲化。
80.根据权利要求76所述的设备,其中所述存储器还存储用于下述操作的机器可读指令:使所述偏置与所述射频等离子体功率在相同的脉冲化工作周期下脉冲化。
81.一种衬底处理设备,所述设备包含:
处理室,其包括喷淋头与用于保持具有材料的所述衬底的衬底支撑件;
等离子体产生器;以及
控制器,其具有存储器与至少一个处理器,
其中所述至少一个处理器与所述存储器彼此通信连接,
所述至少一个处理器系与流动控制硬件至少操作性地连接,以及所述存储器存储机器可读指令,所述机器可读指令用于:
使改性气体导入所述处理室;
使去除气体导入所述处理室;以及
致使在导入所述去除气体期间,以时间上分离的两或更多个脉冲的形式将偏置功率施加至所述衬底支撑件,至所述衬底上。
82.根据权利要求81所述的设备,其中所述存储器还存储用于下述操作的机器可读指令:在导入所述去除气体期间使所述偏置功率的脉冲频率介于约10Hz和约200Hz之间。
83.根据权利要求81所述的设备,其中所述存储器还存储用于下述操作的机器可读指令:在导入所述去除气体期间使所述偏置功率的工作周期介于约1%和约10%之间。
84.根据权利要求81所述的设备,其中所述存储器还存储用于下述操作的机器可读指令:使所述偏置功率在OFF状态与ON状态之间脉冲化,其中所述OFF状态的所述偏置功率为0V,而所述ON状态的所述偏置功率介于约500V和约1500V之间。
85.根据权利要求81所述的设备,其中所述存储器还存储用于下述操作的机器可读指令:使所述偏置功率在低偏置功率与高偏置功率之间脉冲化,其中所述低偏置功率介于约100V和约300V之间,而所述高偏置功率介于约500V和约1500V之间。
86.根据权利要求81-85中任一项所述的设备,其中所述存储器还存储用于下述操作的机器可读指令:致使在导入所述去除气体期间,通过以脉冲形式施加等离子体功率以点燃等离子体。
87.根据权利要求86所述的设备,其中所述存储器还存储用于下述操作的机器可读指令:使所述等离子体功率在0W与等离子体功率之间脉冲化,所述等离子体功率介于约50W和约900W之间。
88.根据权利要求86所述的设备,其中所述存储器还存储用于下述操作的机器可读指令:使所述等离子体功率与所述偏置功率在相同的脉冲化频率下脉冲化。
89.根据权利要求86所述的设备,其中所述存储器还存储用于下述操作的机器可读指令:使所述等离子体功率与所述偏置功率在相同的脉冲化工作周期下脉冲化。
CN201880078758.3A 2017-10-06 2018-10-02 高能量原子层蚀刻 Pending CN111448641A (zh)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201762569443P 2017-10-06 2017-10-06
US62/569,443 2017-10-06
US201762599613P 2017-12-15 2017-12-15
US62/599,613 2017-12-15
US16/148,939 2018-10-01
US16/148,939 US10763083B2 (en) 2017-10-06 2018-10-01 High energy atomic layer etching
PCT/US2018/054001 WO2019070737A1 (en) 2017-10-06 2018-10-02 HIGH-ENERGY ATOMIC LAYER ETCHING

Publications (1)

Publication Number Publication Date
CN111448641A true CN111448641A (zh) 2020-07-24

Family

ID=65994055

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880078758.3A Pending CN111448641A (zh) 2017-10-06 2018-10-02 高能量原子层蚀刻

Country Status (7)

Country Link
US (2) US10763083B2 (zh)
EP (1) EP3692567A4 (zh)
JP (2) JP7293211B2 (zh)
KR (1) KR20200053623A (zh)
CN (1) CN111448641A (zh)
TW (1) TWI808998B (zh)
WO (1) WO2019070737A1 (zh)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
WO2019190781A1 (en) 2018-03-30 2019-10-03 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials
JP2022507368A (ja) 2018-11-14 2022-01-18 ラム リサーチ コーポレーション 次世代リソグラフィにおいて有用なハードマスクを作製する方法
JP7345382B2 (ja) 2018-12-28 2023-09-15 東京エレクトロン株式会社 プラズマ処理装置及び制御方法
US11518674B2 (en) * 2019-02-04 2022-12-06 Ut-Battelle, Llc Atomic-scale e-beam sculptor
US11270893B2 (en) * 2019-04-08 2022-03-08 International Business Machines Corporation Layer-by-layer etching of poly-granular metal-based materials for semiconductor structures
WO2020223152A1 (en) * 2019-04-29 2020-11-05 Lam Research Corporation Atomic layer etching for subtractive metal etch
US11817295B2 (en) * 2019-08-14 2023-11-14 Tokyo Electron Limited Three-phase pulsing systems and methods for plasma processing
KR102431292B1 (ko) 2020-01-15 2022-08-09 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
CN113808931A (zh) * 2020-06-11 2021-12-17 中国科学院微电子研究所 圆弧形鳍顶形成方法及鳍式场效应晶体管
KR20220022502A (ko) 2020-08-18 2022-02-28 주식회사 원익아이피에스 원자층 식각 방법 및 장치
FR3113769B1 (fr) * 2020-09-03 2023-03-24 Commissariat Energie Atomique Procede de gravure d’une couche de materiau iii-n
WO2022051045A1 (en) 2020-09-03 2022-03-10 Applied Materials, Inc. Selective anisotropic metal etch
GB202020822D0 (en) * 2020-12-31 2021-02-17 Spts Technologies Ltd Method and apparatus
US20230326761A1 (en) * 2021-02-03 2023-10-12 Lam Research Corporation Etch selectivity control in atomic layer etching
WO2023176558A1 (ja) * 2022-03-18 2023-09-21 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
WO2023183129A1 (en) * 2022-03-22 2023-09-28 Lam Research Corporation Fast atomic layer etch
WO2023183199A1 (en) * 2022-03-22 2023-09-28 Lam Research Corporation High energy atomic layer etch of a carbon containing layer
JP7462065B2 (ja) 2022-03-29 2024-04-04 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、プログラム、および基板処理装置
WO2024049699A1 (en) * 2022-08-31 2024-03-07 Lam Research Corporation Nitride thermal atomic layer etch

Family Cites Families (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3798056A (en) 1972-04-05 1974-03-19 Bell Telephone Labor Inc Electroless plating process
JPH03133128A (ja) 1989-10-19 1991-06-06 Res Dev Corp Of Japan ディジタル・エッチング方法
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
US6527855B2 (en) 2000-10-10 2003-03-04 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
US6448192B1 (en) 2001-04-16 2002-09-10 Motorola, Inc. Method for forming a high dielectric constant material
JP4429605B2 (ja) 2001-05-04 2010-03-10 東京エレクトロン株式会社 シーケンシャルな堆積及びエッチングを備えたイオン化pvd方法及び装置
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7589017B2 (en) 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US6664122B1 (en) 2001-10-19 2003-12-16 Novellus Systems, Inc. Electroless copper deposition method for preparing copper seed layers
US7690324B1 (en) 2002-06-28 2010-04-06 Novellus Systems, Inc. Small-volume electroless plating cell
US6841943B2 (en) 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
US7297641B2 (en) 2002-07-19 2007-11-20 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
TWI303090B (en) 2002-08-13 2008-11-11 Lam Res Corp Method for in-situ monitoring of patterned substrate processing using reflectometry
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7829152B2 (en) 2006-10-05 2010-11-09 Lam Research Corporation Electroless plating method and apparatus
KR100905278B1 (ko) 2007-07-19 2009-06-29 주식회사 아이피에스 박막증착장치, 박막증착방법 및 반도체 소자의 갭-필 방법
US9059116B2 (en) 2007-11-29 2015-06-16 Lam Research Corporation Etch with pulsed bias
US7772114B2 (en) 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
CN102084468B (zh) 2008-02-08 2014-10-29 朗姆研究公司 包括横向波纹管和非接触颗粒密封的可调节间隙电容耦合rf等离子反应器
US9048088B2 (en) 2008-03-28 2015-06-02 Lam Research Corporation Processes and solutions for substrate cleaning and electroless deposition
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
WO2012023537A1 (ja) 2010-08-19 2012-02-23 株式会社 アルバック ドライエッチング方法及び半導体装置の製造方法
US8974684B2 (en) * 2011-10-28 2015-03-10 Applied Materials, Inc. Synchronous embedded radio frequency pulsing for plasma etching
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US20130129922A1 (en) 2011-11-21 2013-05-23 Qualcomm Mems Technologies, Inc. Batch processing for electromechanical systems and equipment for same
US8633115B2 (en) 2011-11-30 2014-01-21 Applied Materials, Inc. Methods for atomic layer etching
US8883028B2 (en) * 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
JP2013235912A (ja) 2012-05-08 2013-11-21 Tokyo Electron Ltd 被処理基体をエッチングする方法、及びプラズマエッチング装置
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US20140349469A1 (en) 2013-05-22 2014-11-27 Qualcomm Mems Technologies, Inc. Processing for electromechanical systems and equipment for same
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
US9318304B2 (en) * 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
US9620382B2 (en) * 2013-12-06 2017-04-11 University Of Maryland, College Park Reactor for plasma-based atomic layer etching of materials
FR3017241B1 (fr) * 2014-01-31 2017-08-25 Commissariat Energie Atomique Procede de gravure plasma
US20150345029A1 (en) 2014-05-28 2015-12-03 Applied Materials, Inc. Metal removal
US9773683B2 (en) * 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US10047438B2 (en) 2014-06-10 2018-08-14 Lam Research Corporation Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas
KR101677748B1 (ko) * 2014-10-29 2016-11-29 삼성전자 주식회사 펄스 플라즈마 장치 및 펄스 플라즈마 장치 구동 방법
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
KR102510737B1 (ko) 2015-03-30 2023-03-15 도쿄엘렉트론가부시키가이샤 원자층 에칭 방법
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
TWI808473B (zh) * 2015-06-05 2023-07-11 美商蘭姆研究公司 GaN及其他Ⅲ-Ⅴ族材料之原子層蝕刻
US20160381060A1 (en) 2015-06-23 2016-12-29 Veracode, Inc. Systems and methods for aggregating asset vulnerabilities
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
WO2017099718A1 (en) 2015-12-08 2017-06-15 Intel Corporation Atomic layer etching of transition metals by halogen surface oxidation
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9865484B1 (en) * 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10692724B2 (en) * 2016-12-23 2020-06-23 Lam Research Corporation Atomic layer etching methods and apparatus
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10494715B2 (en) 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography

Also Published As

Publication number Publication date
JP2020536393A (ja) 2020-12-10
TW201923893A (zh) 2019-06-16
EP3692567A1 (en) 2020-08-12
EP3692567A4 (en) 2021-07-14
JP2023113837A (ja) 2023-08-16
KR20200053623A (ko) 2020-05-18
WO2019070737A1 (en) 2019-04-11
US20190108982A1 (en) 2019-04-11
TWI808998B (zh) 2023-07-21
JP7293211B2 (ja) 2023-06-19
US20200402770A1 (en) 2020-12-24
US10763083B2 (en) 2020-09-01

Similar Documents

Publication Publication Date Title
JP7293211B2 (ja) 高エネルギー原子層エッチング
TWI832325B (zh) 設計者原子層蝕刻
KR102504770B1 (ko) Ale 및 선택적인 증착을 사용하여 기판들 에칭
KR102663156B1 (ko) 텅스텐 및 다른 금속들의 원자층 에칭
US9991128B2 (en) Atomic layer etching in continuous plasma
TWI673791B (zh) 高深寬比結構中的接觸窗清洗
KR20230005066A (ko) 향상된 텅스텐 증착 충진을 위한 텅스텐의 원자층 에칭
US20180308680A1 (en) Selective deposition with atomic layer etch reset
KR20200067213A (ko) Ale 및 선택적 증착을 사용한 금속 옥사이드 기판들 에칭
KR20170031041A (ko) 반도체 산업계 안팎에서 ale 평활도
KR20160143553A (ko) GaN 및 다른 III-V 족 재료들의 원자층 에칭
US20230298904A1 (en) Electron excitation atomic layer etch

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination