KR20160143553A - GaN 및 다른 III-V 족 재료들의 원자층 에칭 - Google Patents

GaN 및 다른 III-V 족 재료들의 원자층 에칭 Download PDF

Info

Publication number
KR20160143553A
KR20160143553A KR1020160069175A KR20160069175A KR20160143553A KR 20160143553 A KR20160143553 A KR 20160143553A KR 1020160069175 A KR1020160069175 A KR 1020160069175A KR 20160069175 A KR20160069175 A KR 20160069175A KR 20160143553 A KR20160143553 A KR 20160143553A
Authority
KR
South Korea
Prior art keywords
plasma
iii
substrate
bias voltage
rti
Prior art date
Application number
KR1020160069175A
Other languages
English (en)
Other versions
KR102399578B1 (ko
Inventor
웬빙 양
토미히토 오바
사만다 탄
케렌 제이콥스 카나릭
제프리 막스
카즈오 노지리
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20160143553A publication Critical patent/KR20160143553A/ko
Priority to KR1020220059043A priority Critical patent/KR102652921B1/ko
Application granted granted Critical
Publication of KR102399578B1 publication Critical patent/KR102399578B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/2633Bombardment with radiation with high-energy radiation for etching, e.g. sputteretching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30612Etching of AIIIBV compounds
    • H01L21/30621Vapour phase etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1066Gate region of field-effect devices with PN junction gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66446Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET]
    • H01L29/66462Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET] with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7786Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT

Abstract

갈륨 나이트라이드 (GaN) 와 같은 III-V 족 재료들을 제거하는 ALE 방법들 및 관련 장치가 본 명세서에 제공된다. 일부 실시예들에서, 방법들은 개질된 III-V 족 표면 층을 형성하기 위해 기판을 바이어싱하지 않고 염소 함유 플라즈마에 III-V 족 재료를 노출시키는 단계; 및 개질된 III-V 족 표면 층을 제거하기 위해 개질된 III-V 족 표면 층을 플라즈마에 노출시키는 동안 기판에 바이어스 전압을 인가하는 단계를 수반한다. 개시된 방법들은 트렌치들 및 홀들에 대한 에칭 프로세스들을 포함하는 광범위한 애플리케이션들, HEMT들의 제조, LED들의 제조, 및 에칭 프로세스에서 개선된 선택도에 적합하다.

Description

GaN 및 다른 III-V 족 재료들의 원자층 에칭{ATOMIC LAYER ETCHING OF GaN AND OTHER III-V MATERIALS}
GaN (gallium nitride) 는 HEMT (high-electron-mobility transistors), LED들, 및 UV 검출기들 내에서 사용된 바이너리 폭 밴드갭 III-V 족 반도체이다. 예시적인 애플리케이션들에서, n-타입 AlGaN (aluminum gallium nitride)/p-타입 GaN 이질 접합 (heterojunction) 을 포함하는 AlGaN/GaN HEMT들은, 이들의 고 전압, 고 전류, 및 저 온-저항으로 인해 고 전력-고 효율 산업 및 자동화 애플리케이션들에 사용될 수도 있다.
개시의 양태들은 III-V 족 반도체 재료를 에칭하는 방법 및 관련된 장치에 관한 것이다. 일부 실시예들에서, 방법들은 개질된 III-V 족 표면 층을 형성하도록 기판을 바이어싱하지 않고 III-V 족 재료를 염소 함유 플라즈마에 노출시키는 단계 및 개질된 III-V 족 표면 층을 제거하도록 개질된 III-V 족 표면 층을 플라즈마에 노출하는 동안 기판에 바이어스 전압을 인가하는 단계를 포함한다. 일부 실시예들에서, 개질된 III-V 족 표면 층을 형성하는 단계 및 개질된 III-V 족 표면 층을 제거하는 동작들이 1 회 이상 반복될 수도 있다. 일부 실시예들에서, 1 회 이상 동안 하강된다. 일부 실시예들에서, 바이어스 전압은 제거가 자기-제한 레짐 (self-limiting regime) 에 속하는 레벨이다.
일부 실시예들에서, III-V 족 재료는 GaN이다. 일부 실시예들에서, GaN은 하부 층을 제거하지 않고 제거된다. 하부 층의 예들은 AlGaN을 포함한다. 일부 실시예들에서, 바이어스 전압은 에칭이 하부 재료에 선택적인 레벨이다.
일부 실시예들에서, 바이어스 전압은 약 20 V 내지 120 V이다. 일부 실시예들에서, 바이어스 전압은 약 50 V 내지 120 V이다. 일부 실시예들에서, 바이어스 전압은 약 50 V 내지 100 V이다.
일부 실시예들에서, 염소 함유 플라즈마는 염소 함유 가스 및 붕소 함유 가스의 혼합물로부터 생성된다. 일부 실시예들에서, 염소 함유 플라즈마는 Cl2 및 BCl3의 혼합물로부터 생성된다. 일부 실시예들에서, 개질된 층을 제거하기 위해 사용된 플라즈마는 아르곤 함유 플라즈마이다.
본 개시의 또 다른 양태는 반도체 기판들을 프로세싱하기 위한 장치이고, 장치는, 기판 지지부를 포함하는 프로세스 챔버; 기판 지지부에 연결된 전력 공급부; 플라즈마 생성기; 및 적어도 하나의 프로세서 및 메모리를 갖는 제어기를 포함하고, 적어도 하나의 프로세서 및 메모리는 서로 통신가능하게 연결되고, 메모리는, 염소 함유 가스를 플라즈마 생성기로 도입하고; 플라즈마 생성기 내에서 플라즈마를 점화하고; 기판 상의 III-V 층을 개질하도록 기판을 염소 함유 플라즈마에 노출시키고; 그리고 개질된 층을 제거하기 위해 기판을 염소 함유 플라즈마에 노출하는 동안 기판에 바이어스 전압을 인가하도록 전력 공급부를 사용하기 위한 머신 판독가능 인스트럭션들을 저장한다.
이들 및 다른 양태들은 도면들을 참조하여 이하에 보다 완전히 기술된다.
도 1a는 개시된 실시예들에 따른 방법의 동작들을 수행하기 위한 프로세스 흐름도를 제공한다.
도 1b는 ALE (atomic layer etching) 사이클의 예시적인 개략적 예시를 도시한다.
도 1c는 바이어스 전압 (Vb) 대 Cl2 및 Ar을 사용하고, Ar 제거 동안 바이어스가 인가되는 ALE 동안 GaN의 에칭된 양의 예를 도시한다.
도 1d는 바이어스 전압의 함수로서 GaN/AlGaN 에칭 선택도를 도시한다.
도 1e는 GaN/AlGaN 이질 접합을 포함하는, GaN HEMT 디바이스를 제조하는 특정한 동작들을 예시한다.
도 2는 본 명세서의 특정한 실시예들을 구현하기에 적절한 유도 결합 플라즈마 장치의 단면도를 개략적으로 도시한다.
도 3은 진공 이송 모듈과 인터페이싱하는 다양한 모듈들을 갖는 반도체 프로세스 클러스터 아키텍처를 도시한다.
관련된 출원에 대한 교차 참조
본 출원은 본 명세서에 참조로서 인용된, 2015년 6월 5일 출원된 미국 특허 가출원 번호 제 62/171,570 호의 우선권을 주장한다.
이하의 기술에서, 다수의 구체적인 상세들이 제시된 실시예들의 완전한 이해를 제공하도록 언급된다. 개시된 실시예들은 이들 구체적인 상세들의 일부 또는 전부가 없이 실시될 수도 있다. 다른 예들에서, 공지의 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 개시된 실시예들이 구체적인 실시예들과 함께 기술될 것이지만, 이는 개시된 실시예들을 제한하도록 의도되지 않았다는 것이 이해될 것이다.
피처 사이즈들이 축소됨에 따라, ALE (Atomic Layer Etch) 와 같은 원자 스케일 프로세싱에 대한 수요가 성장하고 있다. ALE는 막 두께들에서 수치적이고 작은 변화들을 발생시키는 명목상 자기 제한 단계들의 순환적 프로세스이다. 프로세스는 평활도 (smoothness) 및 컨포멀성 (conformality) 을 특징으로 할 수도 있고, 또한 일부 ALE의 경우 지향성을 특징으로 할 수도 있다.
GaN (gallium nitride) 과 같은 III-V 족 재료들을 제거하는 ALE 방법들이 본 명세서에 제공된다.
GaN은 HEMT (high-electron-mobility transistors), LED들, 및 UV 검출기들 내에서 사용된 바이너리 폭 밴드갭 III-V 족 반도체이다. 예시적인 애플리케이션들에서, n-타입 AlGaN/p-타입 GaN 이질 접합을 포함하는 AlGaN/GaN HEMT들은, 이들의 고 전압, 고 전류, 및 저 온-저항으로 인해 고 전력-고 효율 산업 및 자동화 애플리케이션들에 사용될 수도 있다.
개시된 방법들은 트렌치들 및 홀들에 대한 에칭 프로세스들을 포함하는 광범위한 애플리케이션들, HEMT들의 제조, LED들의 제조, 및 에칭 프로세스들에서 개선된 선택도를 위해 적합하다. 이하의 기술이 주로 GaN을 참조하지만, 기술된 기법들은 이하에 기술된 바와 같이 다른 III-V 족 반도체 재료들을 사용할 수도 있다.
ALE는 순차적인 자기 제한 반응들을 사용하여 재료의 박층들을 제거하는 기법이다. 일반적으로, ALE는 임의의 적합한 기법을 사용하여 수행될 수도 있다. ALE 기법들의 예들은 예시적인 ALE 및 에칭 기법들을 기술할 목적으로 참조로서 본 명세서에 인용된, 2014년 11월 11일 허여된 미국 특허 제 8,883,028 호, 및 2014년 8월 19일 허여된 미국 특허 제 8,808,561 호에 기술된다. 다양한 실시예들에서, ALE는 플라즈마를 사용하여 수행될 수도 있고, 또는 열적으로 수행될 수도 있다.
"ALE 사이클"의 개념은 본 명세서의 다양한 실시예들의 논의와 관련된다. 일반적으로 ALE 사이클은 모노레이어를 에칭하는 것과 같이, 에칭 프로세스를 한 번 수행하도록 사용된 동작들의 최소 세트이다. 일 사이클의 결과는 기판 표면 상의 적어도 일부 막 층이 에칭되는 것이다. 통상적으로, ALE 사이클은 반응 층을 형성하기 위한 개질 동작 및 이어서 이 개질된 층만을 제거하거나 에칭하기 위한 제거 동작을 포함한다. 이 사이클은 반응물질들 또는 부산물들 중 하나의 스윕핑과 같은 특정한 보조 동작들을 포함할 수도 있다. 일반적으로, 사이클은 동작들의 고유한 시퀀스의 일 예를 포함한다. 예로서, ALE 사이클은 다음 동작들: (i) 반응물질 가스 및 선택가능한 플라즈마의 전달, (ii) 챔버로부터 반응물질 가스의 퍼지, (iii) 제거 가스 및 선택가능한 플라즈마의 전달, 및 (iv) 챔버의 퍼지를 포함할 수도 있다. 일부 실시예들에서, 에칭은 컨포멀하지 않게 수행될 수도 있다.
도 1a는 개시된 실시예들에 따른 방법의 동작들을 수행하기 위한 프로세스 흐름도를 제공한다. 도 1a의 동작들은 약 1 mTorr 내지 약 100 Torr, 예를 들어, 약 1 mTorr 내지 약 1 Torr의 챔버 압력에서 수행될 수도 있다. 동작 102에서, 기판이 프로세싱 챔버에 제공된다. 챔버는 멀티-챔버 장치 또는 단일-챔버 장치일 수도 있다. 기판은, 실리콘 웨이퍼, 예를 들어, 웨이퍼 위에 증착된 유전체, 도전성 또는 반도전성 재료와 같은 재료의 하나 이상의 층들을 갖는 웨이퍼를 포함하는 200-㎜ 웨이퍼, 300-㎜ 웨이퍼, 또는 450-㎜ 웨이퍼일 수도 있다. 기판은 III-V 족 화합물 재료의 노출된 표면을 포함한다.
III-V 족 재료는 적어도 하나의 III 족 원소 및 적어도 하나의 V 족 원소를 갖는 화학적 화합물이다. III-V 족 화합물들의 예는 바이너리 반도체들 GaN, GaP (gallium phosphide), GaAs (gallium arsenide), 및 InP (indium phosphide) 를 포함한다. 화합물 III-V 족 재료들은 미래의 디바이스들에서 실리콘을 대체하는 인에이블러들로서 간주되는 고 전자 이동도 재료들이다. 일부 실시예들에서, III-V 족 재료는 하나 이상의 부가적인 원소들을 포함할 수도 있다. 예를 들어, III-V 족 재료들은 비소화물들, 인화물들, 질화물들, 및 안티몬화물들과 같은 III-V 족 3가 합금을 포함한다. 예들은 InGaAs (indium gallium arsenide), InAlAs (indium aluminum arsenide), AlGaN (aluminum gallium nitride) 및 AlGaAs (aluminum gallium arsenide) 를 포함한다. 화학식들 GaN, GaP, GaAs, AlGaN, 등은 구성 원소들의 특정한 비를 나타내는 것은 아니라는 것이 이해되어야 한다.
일부 실시예들에서, 기판은 III-V 화합물의 블랭킷 층을 포함한다. 기판은 기판 상에 이전에 증착되고 패터닝된 패터닝된 마스크 층을 포함할 수도 있다. 예를 들어, 마스크 층은 블랭킷 III-V 화합물을 포함하는 기판 상에 증착되고 패터닝될 수도 있다.
도 1a의 동작 104에서, 기판은 III-V 표면을 개질하도록 염소 (Cl) 함유 반응물질에 노출된다. 다양한 실시예들에 따라, 염소 함유 반응물질은 염소 (Cl2), 삼염화 붕소 (BCl3), 또는 이들의 조합일 수도 있다. 이하에 더 논의되는 바와 같이, 일부 실시예들에서, Cl2 및 BCl3 의 혼합물이 사용된다. 특정한 실시예들에 따라 이들에 부가하여 또는 이들 대신 다른 Cl 함유 가스들이 사용될 수도 있다. 이하에 주지된 바와 같이, 일부 실시예들에서, 플라즈마는 Cl2, BCl3, 또는 다른 염소 함유 가스로부터 다양한 활성화된 종을 생성할 수도 있는, 동작 104에서 점화될 수도 있다. 본 명세서에서 Cl 함유 가스에 대한 참조들은 이러한 가스로부터 생성된 종을 포함하는 것으로 이해된다. 다양한 실시예들에 따라, 플라즈마는, 동작 104 동안 챔버 내에서 활성화된 종이 주로 또는 실질적으로 라디칼 종이도록 제어될 수도 있다. 일부 실시예들에서, 본질적으로 이온 종이 챔버 내에 없다.
동작 104 동안, Cl 함유 에칭 가스가 챔버로 흐르는 동안 캐리어 가스가 선택가능하게 흐를 수도 있다. 캐리어 가스들의 예들은 질소 (N2), 아르곤 (Ar), 네온 (Ne), 헬륨 (He), 및 이들의 조합들을 포함한다.
개질 동작은 후속하는 제거 동작에서 개질되지 않은 재료보다 용이하게 제거되는 두께를 갖는, 얇은, 반응성 표면 층을 형성한다. 개질 동작에서, 기판은 염소 함유 반응물질을 챔버 내로 도입함으로써 염화될 수도 있다. 일부 실시예들에서, 염소 함유 반응물질은 기판과 반응할 수도 있고 또는 기판의 표면 상에 흡착될 수도 있다. 다양한 실시예들에서, 염소는 가스 형태로 챔버 내로 도입되고, 선택가능하게 상기 기술된 것 캐리어 가스들 중 임의의 하나인 캐리어 가스에 동반될 수도 있다. 일부 실시예들에서, 캐리어 가스는 표면 개질 동안 흐르지 않는다. 반응물질은 기판의 상단 표면만을 개질하도록 흐를 수도 있다.
일부 실시예들에서, 플라즈마는 동작 104 동안 점화될 수도 있다. 염소 함유 플라즈마는 기판을 하우징하는 프로세스 챔버 내에서 플라즈마를 형성함으로써 바로 생성될 수 있고 또는 기판을 하우징하지 않는 프로세스 챔버 내에서 리모트로 생성될 수 있고 기판을 하우징하는 프로세스 챔버 내로 공급될 수 있다. 일부 실시예들에서, 플라즈마는 순전히 열적 프로세스인 개질 동작에 사용되지 않는다. 일부 실시예들에서, 또 다른 형태의 활성화 에너지가 동작 104 동안 채용될 수도 있다. 예들은 UV 복사선으로의 노출을 포함한다. 동작 104 동안 라디칼 종을 생성하는 것은 일부 실시예들에서 쓰루풋을 상당히 개선할 수 있다.
다양한 실시예들에 따라, 채용된다면 플라즈마는 유도 결합 플라즈마이거나 용량 결합 플라즈마일 수도 있다. 유도 결합 플라즈마는 약 50 W 내지 약 2000 W의 플라즈마로 설정될 수도 있다. 기판 바이어스가 인가될 수도 있지만, 보다 통상적으로 스퍼터링을 방지하기 위해 동작 104 동안 바이어스가 인가되지 않는다.
일부 실시예들에서, 개질 동작 후에 퍼지가 수행될 수도 있다. 퍼지 동작에서, 표면 결합되지 않은 활성 염소 종은 프로세스 챔버로부터 제거될 수도 있다. 이는 개질된 층을 제거하지 않고 활성 종을 제거하도록 프로세스 챔버를 퍼지 및/또는 배기함으로써 이루어질 수 있다. 염소 플라즈마에서 생성된 종은 단순히 플라즈마를 중단시키고 선택가능하게 챔버의 퍼지 및/또는 배기와 조합하여, 나머지 종이 부식되게 함으로써 제거될 수 있다. 퍼지는 N2, Ar, Ne, He 및 이들의 조합들과 같은 임의의 불활성 가스를 사용하여 이루어질 수 있다.
동작 106에서, 기판의 개질된 층은 기판으로부터 제거된다. 제거 동작에서, 지향성 스퍼터링에 의해 기판을 에칭하기 위해, 기판은 아르곤 또는 헬륨과 같은 에너지 소스 (예를 들어 활성화 또는 스퍼터링 가스 또는 제거를 유발하는 화학적으로 반응성 종) 에 노출될 수도 있다. 스퍼터링 가스는 캐리어 가스와 동일하거나 상이할 수도 있다. 일부 실시예들에서, 제거 동작은 이온 충격에 의해 수행될 수도 있다. 제거 동안, 바이어스는 지향성 스퍼터링을 용이하게 하도록 선택가능하게 턴 온될 수도 있다. 일부 실시예들에서, ALE는 등방성일 수도 있다.
스퍼터링 가스의 양은 예컨대 재료의 타겟된 양만을 에칭하도록 제어될 수도 있다. 다양한 실시예들에서, 챔버의 압력은 개질 동작과 제거 동작 사이에서 가변할 수도 있다. 가스의 압력은 챔버의 사이즈, 가스의 플로우 레이트, 반응기의 온도, 기판의 타입, 및 에칭될 기판의 사이즈에 따라 결정될 수도 있다. 기판 바이어스 레벨들은 도 1c 및 도 1d를 참조하여 이하에 더 논의된다. 일부 실시예들에서, 챔버는 제거 동작 후에 퍼지될 수도 있다. 퍼지 프로세스들은 개질 동작 후에 퍼지를 위해 사용된 임의의 프로세스일 수도 있다. 동작 106은 다양한 실시예들에 따라 동작 104와 동일한 챔버 또는 상이한 챔버에서 일어날 수도 있다.
일부 실시예들에서, 동작 106에서의 플라즈마는 불활성 플라즈마이다. 불활성 플라즈마는 산소 또는 할로겐들과 같이 실질적으로 화학적으로 반응성이 아닌 종을 포함하는 플라즈마이다. 불활성 플라즈마들의 예들은 아르곤 플라즈마들 및 헬륨 플라즈마들을 포함한다.
본 명세서에 기술된 바와 같이, 재료들이 챔버 내로 도입되는 동작들에서, 플라즈마를 사용하는 ALE를 수반하는 일부 실시예들에서 반응기 또는 챔버는 기판 또는 웨이퍼를 프로세싱하기 전에 챔버 내로 화학물질을 도입함으로써 안정화될 수도 있다. 챔버를 안정화하는 것은 안정화에 이어지는 동작에서 사용될 화학물질과 동일한 플로우 레이트들, 압력, 온도들, 및 다른 조건들을 사용할 수도 있다. 일부 실시예들에서, 챔버를 안정화하는 것은 상이한 파라미터들을 수반할 수도 있다. 일부 실시예들에서, N2, Ar, Ne, He, 및 이들의 조합들과 같은 캐리어 가스는 동작 104 및 동작 106 동안 연속적으로 흐른다. 일부 실시예들에서, 캐리어 가스는 동작 106 동안에만 사용된다. 일부 실시예들에서, 캐리어 가스는 제거 동작 동안 흐르지 않는다.
동작 104 및 동작 106을 수행하는 것은, 일부 실시예들에서, ALE를 한번 수행하는 것을 구성할 수도 있다. III-V 족 재료가 충분히 에칭되지 않는다면, 동작 104 및 동작 106은 반복될 수도 있다. 다양한 실시예들에서, 개질 동작 및 제거 동작은 약 1 내지 약 30 사이클들, 또는 약 1 내지 약 20 사이클들과 같이 사이클들로 반복될 수도 있다. 임의의 적합한 수의 ALE 사이클들이 목표된 양의 막을 에칭하도록 포함될 수도 있다. 일부 실시예들에서, ALE는 약 1 Å 내지 약 50 Å의 기판 상의 표면 층들을 에칭하도록 사이클들로 수행된다. 일부 실시예들에서, ALE의 사이클들은 약 2 Å 내지 약 50 Å의 기판 상의 표면 층들을 에칭한다.
도 1b는 ALE 사이클의 예시적인 개략적 예시를 도시한다. 171a에서, III-V 표면을 포함하는 기판이 제공된다. 171b에서, 기판의 표면은 반응성 층을 형성하도록 개질된다. 도 1b의 예에서, 이는, 예를 들어, 기판의 표면 상으로 염소를 흡착함으로써 III-V 표면의 염화 (chlorination) 를 수반한다. 171c에서, 과잉 염소 함유 반응물질 가스가 챔버로부터 퍼지될 수도 있다. 171d에서, III-V 화합물의 염화된 층은 에칭된다. 일 예에서, 아르곤 제거 가스는 지향성 플라즈마를 사용하여 도입되고, 기판의 염화된 표면을 제거하기 위해 이온 충격이 수행된다. 염화된 층이 제거된 기판이 171e에 도시된다.
사이클은 재료의 약 0.1 ㎚ 내지 약 50 ㎚ 또는 재료의 약 0.1 ㎚ 내지 약 5 ㎚ 또는 재료의 약 0.2 ㎚ 내지 약 50 ㎚ 또는 재료의 약 0.2 ㎚ 내지 약 5 ㎚만을 부분적으로 에칭할 수도 있다.
다양한 실시예들에서, 프로세스 조건들, 예컨대 페데스탈 온도, 챔버 온도, 챔버 압력, 가스 플로우 레이트들, 가스 플로우 화학물질, 펄스들, 노출들, 및 퍼지들의 지속기간, 플라즈마 주파수, 플라즈마 전력, 및 바이어스 전력이 개시된 실시예들이 구현되는 장치 또는 툴의 타입 및 애플리케이션의 타입에 따라 조정될 수도 있다.
본 명세서에 기술된 ALE 프로세스들은 필적할만한 프로세스 조건들 하에서 동일한 화학물질들을 사용하는 연속파 플라즈마들보다 상당히 보다 평활한 표면들을 제공한다. 이는 HEMT들과 같은 애플리케이션들에 유리할 수 있다.
일부 실시예들에서, 기판 바이어스는 제거 동작 동안 인가된다. 바이어스 레벨은 Ar 이온들 또는 다른 제거 종에 의한 스퍼터링으로 인해 에칭 레이트가 상당히 상승하는 레벨 이하로 설정될 수도 있다. 일부 실시예들에서, 바이어스 레벨은 제거가 자기 제한 레짐에 속하도록 설정된다. 도 1c는 바이어스 전압 (Vb) 대 Cl2 및 Ar을 사용하고, Ar 제거 동안 바이어스가 인가되는 ALE 동안 GaN의 에칭된 양의 곡선의 예를 도시한다. 곡선은 대략 50 V 내지 80 V에서 제거가 자기 제한 레짐에 속한다는 것을 보여준다. 약 100 V 이상에서, 에칭 레이트는 급격하게 상승하고, 이는 Ar 스퍼터링을 나타낸다. 에칭이 일어나도록, 바이어스 전압은 문턱 전압 이상으로 설정되고, 이 경우 약 20 V이다. 따라서, 다양한 실시예들에서, 바이어스 전압은 문턱 전압과 스퍼터링이 일어나는 전압 사이로 유지된다. 다른 일부 실시예들에서, 바이어스 전압은 ALE 프로세스의 적어도 일부 사이클들에 대해 자기 제한 레짐 내로 유지된다. 자기 제한 레짐은 GaN에 대해 도 1c에 도시된 바와 같이, 에칭될 임의의 특정한 표면 및 에칭 프로세스 조건들에 대해 결정될 수도 있다.
일부 실시예들에서, 바이어스 전압은 에칭 프로세스의 종료를 향해 하강될 수도 있다. 예를 들어, 0.5 ㎚, 또는 1 ㎚, 또는 다른 적절한 양을 남기고 에칭하도록 바이어스 전압은 하강될 수도 있다. 일부 실시예들에서, 바이어스 전압은 하부 재료에 대한 고 선택도를 제공하는 전압으로 수정될 수도 있다. 바이어스 전압을 사용하여 선택도를 제어하는 것은 도 1d에 대해 이하에 더 논의된다. 바이어스가 제거 단계 동안 인가된다면, 바이어스는 일정하거나 펄싱될 수도 있다. 펄싱된 바이어스는 고 주파수 (예를 들어, 1 ㎑) 로 인가될 수 있다.
이온 에너지들을 제어함으로써 보다 단단한 재료들에 대해 GaN 및 다른 III-V 족 화합물 재료들을 선택적으로 에칭하는 방법들이 본 명세서에 제공된다. 이온 에너지들은 임의의 적절한 방법에 의해, 예를 들어 바이어스 전압을 제어함으로써 제어될 수도 있다. 일부 실시예들에서, 무한대의 선택도가 획득될 수도 있다. 도 1d는 바이어스 전압의 함수로서 GaN/AlGaN 에칭 선택도를 도시한다. 대략 25 V의 AlGaN 문턱 전압에서, GaN/AlGaN 선택도는 무한대가 된다. 문턱 전압들의 차가 또한 다른 III-V 족 화합물들에 대한 에칭 선택도를 달성하도록 이용될 수도 있다. 도 1e는 GaN/AlGaN 이종 접합을 포함하는, GaN HEMT 디바이스를 제조하는 특정한 동작들을 예시한다. GaN은 AlGaN에 대해 선택적으로 에칭된다. GaN의 ALE는 대미지가 없는, 매우 선택적인 에칭을 제공하도록 수행된다.
화합물 III-V 족 재료들의 보다 복잡한 표면들로 인해, 화합물 III-V 족 재료들의 ALE는, 예를 들어, 화학량론을 유지하는 관점에서 실리콘보다 문제가 될 수도 있다. 많은 애플리케이션들에 대해, 전기적 특성들을 열화로부터 보호하기 위해 벌크와 거의 동일한 표면 화학량을 갖는 것이 바람직하다. 본 명세서에 개시된 방법들의 실시예들은 표면 화학량을 맞추는 (tailor) III-V 족 재료들의 ALE를 포함한다. 일부 실시예들에서, 에칭 전 표면 화학량이 유지된다. 일부 실시예들에서, 방법들은 Cl2 및 붕소 함유 화합물의 혼합물, 예컨대 BCl3을 사용하는 것을 포함한다. 특정한 이론에 매이지 않고, 붕소의 첨가는 원치 않은 표면의 산화를 방지할 수도 있다고 여겨진다. 그러나, 너무 많은 붕소는 증착을 야기할 수도 있다. 일부 실시예들에서, Cl2/BCl3 혼합물은 0.5 % 내지 10 % (체적) 의 BCl3, 예를 들어, 약 5 % BCl3이다. BCl3의 첨가는 표면 거칠기를 개선할 수도 있다.
장치
특정한 실시예들에서, ALE 동작들에 적합할 수도 있는 ICP (inductively coupled plasma) 반응기들이 이제 기술된다. 이러한 ICP 반응기들은 또한 전체가 모든 목적들을 위해 참조로서 본 명세서에 인용된 명칭이 "IMAGE REVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING"인 2013년 12월 10일 출원된 미국 특허출원 공개 제 2014/0170853 호에 기술된다. ICP 반응기들이 본 명세서에 기술되지만, 일부 실시예들에서, 용량 결합 플라즈마 (capacitively coupled plasma) 반응기들이 또한 사용될 수도 있다는 것이 이해되어야 한다.
도 2는 본 명세서의 특정한 실시예들을 구현하기 위한 유도 결합 플라즈마 장치 (200) 의 단면도를 개략적으로 도시하고, 일 예는 CA, Fremont 소재의 Lam Research Corp.에 의해 생산된 반응기이다. 유도 결합 플라즈마 장치 (200) 는 챔버 벽들 (201) 및 윈도우 (211) 에 의해 구조적으로 규정된 전체 프로세스 챔버를 포함한다. 챔버 벽들 (201) 은 통상적으로 스테인리스 스틸 또는 알루미늄으로부터 제조될 수도 있다. 윈도우 (211) 는 석영 또는 다른 유전체 재료로부터 제조될 수도 있다. 선택가능한 내부 플라즈마 그리드 (250) 는 전체 프로세싱 챔버를 상부 서브-챔버 (202) 및 하부 서브-챔버 (203) 로 분할한다. 대부분의 실시예들에서, 내부 플라즈마 그리드 (250) 는 제거될 수도 있어서, 상부 서브-챔버 (202) 및 하부 서브-챔버 (203) 로 이루어진 챔버 공간을 활용한다. 척 (217) 은 하단 내측 표면 근방의 하부 서브-챔버 (203) 내에 위치된다. 척 (217) 은 반도체 웨이퍼 (219) 를 수용 및 홀딩하도록 구성되고, 웨이퍼 위에서 에칭 및 증착 프로세스들이 수행된다. 척 (217) 은 존재한다면 반도체 웨이퍼 (219) 를 지지하기 위한 정전 척일 수 있다. 일부 실시예들에서, 에지 링 (미도시) 은 척 (217) 을 둘러싸고, 척 (217) 위에 존재한다면 반도체 웨이퍼 (219) 의 상단 표면과 거의 편평한 상부 표면을 갖는다. 척 (217) 은 또한 웨이퍼를 척킹 및 디척킹하기 위한 정전 전극들을 포함한다. 필터 및 DC 클램프 전력 공급부 (미도시) 는 이 목적을 위해 제공될 수도 있다. 반도체 웨이퍼 (219) 를 척 (217) 으로부터 리프팅하기 위한 다른 제어 시스템들이 또한 제공될 수 있다. 척 (217) 은 RF 전력 공급부 (223) 를 사용하여 전기적으로 대전될 수 있다. RF 전력 공급부 (223) 는 연결부 (227) 를 통해 매칭 회로 (221) 에 연결된다. 매칭 회로 (221) 는 연결부 (225) 를 통해 척 (217) 에 연결된다. 이러한 방식으로, RF 전력 공급부 (223) 가 척 (217) 에 연결된다.
플라즈마 생성을 위한 엘리먼트들은 윈도우 (211) 위에 위치된 코일 (233) 을 포함한다. 일부 실시예들에서, 코일은 개시된 실시예들에서 사용되지 않는다. 코일 (233) 은 전기적으로 도전성 재료로부터 제조되고 적어도 하나의 완전한 턴 (turn) 을 포함한다. 도 2에 도시된 코일 (233) 의 예는 3 턴을 포함한다. 코일 (233) 의 단면이 심볼로 도시되고, "X"를 갖는 코일들은 페이지 내로 회전하며 연장하는 반면, "●"를 갖는 코일들은 페이지로부터 회전하며 연장한다. 플라즈마 생성을 위한 엘리먼트들은 또한 코일 (233) 에 RF 전력을 공급하도록 구성된 RF 전력 공급부 (241) 를 포함한다. 일반적으로, RF 전력 공급부 (241) 는 연결부 (245) 를 통해 매칭 회로 (239) 에 연결된다. 매칭 회로 (239) 는 연결부 (243) 를 통해 코일 (233) 에 연결된다. 이러한 방식으로, RF 전력 공급부 (241) 는 코일 (233) 에 연결된다. 선택가능한 Faraday 차폐부 (249) 는 코일 (233) 과 윈도우 (211) 사이에 위치된다. Faraday 차폐부 (249) 는 코일 (233) 에 대해 이격된 관계로 유지된다. Faraday 차폐부 (249) 는 윈도우 (211) 바로 위에 배치된다. 코일 (233), Faraday 차폐부 (249), 및 윈도우 (211) 는 각각 서로에 실질적으로 평행하도록 구성된다. Faraday 차폐부는 플라즈마 챔버의 유전체 윈도우 상에 금속 또는 다른 종이 증착되는 것을 방지할 수도 있다.
프로세스 가스들 (예를 들어 염소, BCl3 (boron trichloride), 아르곤, 질소, 등) 은 상부 챔버에 위치된 하나 이상의 주 가스 플로우 유입부들 (260) 및/또는 하나 이상의 측면 가스 플로우 유입부들 (270) 을 통해 프로세싱 챔버 내로 흐를 수도 있다. 유사하게, 명시적으로 도시되진 않지만, 유사한 가스 플로우 유입부들이 용량 결합 플라즈마 프로세싱 챔버로 프로세스 가스들을 공급하도록 사용될 수도 있다. 진공 펌프, 예를 들어, 1 또는 2 단계 기계식 건조 펌프 및/또는 터보분자 펌프 (240) 가 프로세스 챔버로부터 프로세스 가스들을 인출하도록 그리고 프로세스 챔버 내의 압력을 유지하도록 사용될 수도 있다. 예를 들어, 펌프는 ALE의 퍼지 동작 동안 챔버를 배기하도록 사용될 수도 있다. 밸브-제어된 회로는, 진공 펌프에 의해 제공된 진공 분위기의 적용을 선택적으로 제어하기 위해 프로세싱 챔버로 진공 펌프를 유체적으로 연결하도록 사용될 수도 있다. 이는 동작가능한 프로세싱 동안 쓰로틀 밸브 (미도시) 또는 펜둘럼 밸브 (미도시) 와 같은 폐루프 제어된 플로우 제한 디바이스를 채용하여 이루어질 수도 있다. 유사하게, 진공 펌프 및 용량 결합 플라즈마 프로세싱 챔버로의 밸브 제어된 유체적 연결이 또한 채용될 수도 있다.
장치의 동작 동안, 하나 이상의 프로세스 가스들은 가스 플로우 유입부들 (260 및/또는 270) 을 통해 공급될 수도 있다. 특정한 실시예들에서, 가스는 주 가스 플로우 유입부 (260) 를 통해서만, 또는 측면 가스 플로우 유입부 (270) 를 통해서만 공급될 수도 있다. 일부 경우들에서, 도면에 도시된 가스 플로우 유입부들은 보다 많은 복잡한 가스 플로우 유입부들, 예를 들어, 하나 이상의 샤워헤드들에 의해 대체될 수도 있다. Faraday 차폐부 (249) 및/또는 선택가능한 그리드 (250) 는 챔버로의 프로세스 가스들의 전달을 허용하는 내부 채널들 및 홀들을 포함할 수도 있다. Faraday 차폐부 (249) 및 선택가능한 그리드 (250) 중 하나 또는 모두는 프로세스 가스들의 전달을 위한 샤워헤드로서 기능할 수도 있다. 일부 실시예들에서, 일단 액체 반응물질이 기화되면, 기화된 반응물질이 가스 플로우 유입부 (260 및/또는 270) 를 통해 챔버 내로 도입되도록, 액체 기화 및 전달 시스템이 챔버의 업스트림에 위치될 수도 있다. 예시적인 액체 반응물질들은 SiCl4를 포함한다.
RF 전력이 RF 전류로 하여금 코일 (233) 을 통해 흐르게 하도록 RF 전력 공급부 (241) 로부터 코일 (233) 로 공급된다. 코일 (233) 을 통해 흐르는 RF 전류는 코일 (233) 주변에 전자기장을 생성한다. 전자기장은 상부 서브-챔버 (202) 내에서 유도 전류를 생성한다. 다양한 생성된 이온들과 라디칼들의 반도체 웨이퍼 (219) 와의 물리적 및 화학적 상호작용들은 웨이퍼 상의 층들의 피처들을 선택적으로 에칭한다.
상부 서브-챔버 (202) 및 하부 서브-챔버 (203) 양자가 있도록 플라즈마 그리드가 사용된다면, 유도 전류는 상부 서브-챔버 (202) 내에 전자-이온 플라즈마를 생성하도록 상부 서브-챔버 (202) 내에 존재하는 가스에 대해 작용한다. 선택가능한 내부 플라즈마 그리드 (250) 는 하부 서브-챔버 (203) 내의 고온 전자들의 양을 제한한다. 일부 실시예들에서, 장치는 하부 서브-챔버 (203) 내에 존재하는 플라즈마가 이온-이온 플라즈마이도록 설계되고 동작한다.
상부 전자-이온 플라즈마 및 하부 이온-이온 플라즈마 양자는 양이온 및 음이온을 포함할 수도 있지만, 이온-이온 플라즈마가 보다 큰 음이온 대 양이온 비를 가질 것이다. 휘발성 에칭 및/또는 증착 부산물들은 포트 (222) 를 통해 하부 서브챔버 (203) 으로부터 제거될 수도 있다. 본 명세서에 개시된 척 (217) 은 약 10 ℃ 내지 약 250 ℃의 범위의 상승된 온도로 동작할 수도 있다. 온도는 프로세스 동작 및 구체적인 레시피에 따라 결정될 것이다.
챔버 (201) 는 클린룸 또는 제조 설비 내에 설치될 때 설비들 (미도시) 에 커플링될 수도 있다. 설비들은 프로세싱 가스들, 진공, 온도 제어, 및 환경 입자 제어를 제공하는 플럼빙을 포함한다. 이들 설비들은 타깃 제조 설비에 설치될 때 챔버에 커플링된다. 부가적으로, 챔버는 로보틱스로 하여금 통상적인 자동화를 사용하여 반도체 웨이퍼들을 챔버 내외로 이송시키게 하는 이송 챔버에 커플링될 수도 있다.
일부 실시예들에서, (하나 이상의 물리적 제어기 또는 논리적 제어기를 포함할 수도 있는) 시스템 제어기 (230) 는 프로세싱 챔버의 일부 또는 모든 동작들을 제어한다. 시스템 제어기 (230) 는 하나 이상의 메모리 디바이스 및 하나 이상의 프로세서들을 포함할 수도 있다. 일부 실시예들에서, 장치는 개시된 실시예들이 수행될 때 플로우 레이트들 및 지속기간들을 제어하기 위한 스위칭 시스템을 포함한다. 일부 실시예들에서, 장치는 최대 약 500 ㎳, 또는 최대 약 750 ㎳의 스위칭 시간을 가질 수도 있다. 스위칭 시간은 플로우 화학물질, 선택된 레시피, 반응기 아키텍처, 및 다른 인자들에 따라 결정될 수도 있다.
일부 구현예들에서, 제어기 (230) 는 상술한 예들의 일부일 수도 있는 시스템의 일부일 수 있다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기 (230) 는, 시스템의 프로세싱 파라미터들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기 (230) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기 (230) 는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기 (230) 는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기 (230) 는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD 챔버 또는 모듈, ALE 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
도 3은 VTM (vacuum transfer module) (338) 과 인터페이싱하는 다양한 모듈들을 갖는 반도체 프로세스 클러스터 아키텍처를 도시한다. 복수의 저장 설비들과 프로세싱 모듈들 사이에서 웨이퍼들을 "이송"하기 위한 이송 모듈들의 배열은 "클러스터 툴 아키텍처" 시스템으로 지칭될 수도 있다. 또한 로드록, 이송 모듈 또는 에어록 모듈 (330) 으로 공지된 에어록 (330) 은, 다양한 제조 프로세스들을 수행하기 위해 개별적으로 최적화될 수도 있는 4 개의 프로세싱 모듈들 (320a 내지 320d) 을 갖는 VTM (338) 내에 도시된다. 예로서, 프로세싱 모듈들 (320a 내지 320d) 은 기판 에칭, 증착, 이온 주입, 웨이퍼 세정, 스퍼터링, 및/또는 다른 반도체 프로세스들을 수행하도록 구현될 수도 있다. 예를 들어, 일부 실시예들에서, ALD 프로세스는 ALE 프로세스와 동일한 모듈에서 수행될 수도 있다. 일부 실시예들에서, ALD 및 ALE는 동일한 툴의 상이한 모듈에서 수행된다. 하나 이상의 기판 에칭 프로세싱 모듈들 (320a 내지 320d 중 어느 하나) 은 본 명세서에 개시된 바와 같이, 즉 컨포멀한 막들을 증착하고, ALD에 의해 막들을 선택적으로 증착하고, 패턴들을 에칭하고, 개시된 실시예들에 따른 다른 적합한 기능들을 위해 구현될 수도 있다. 에어록 (330) 및 프로세싱 모듈들 (320a 내지 320d) 각각은 "스테이션들"로서 지칭될 수도 있다. 스테이션 각각은 VTM (338) 에 스테이션을 인터페이싱하는 패시트 (facet) (336) 를 갖는다. 패시트 각각의 내부에서, 각각의 스테이션들 사이에서 이동되는 웨이퍼 (326) 의 통과를 검출하도록 센서들 (1 내지 18) 이 사용된다.
로봇 (322) 은 스테이션들 간에서 웨이퍼 (326) 를 이송한다. 일 실시예에서, 로봇 (322) 은 하나의 암을 갖고, 또 다른 실시예에서, 로봇 (322) 은 2 개의 암들을 갖고, 암 각각은 이송을 위해 웨이퍼 (326) 와 같은 웨이퍼들을 집기 (pick) 위해 엔드 이펙터 (324) 를 갖는다. ATM (atmospheric transfer module) (340) 내의 프론트-엔드 로봇 (332) 은 웨이퍼들 (326) 을 LPM (Load Port Module) (342) 내의 카세트 또는 FOUP (Front Opening Unified Pod) (334) 로부터 에어록 (330) 으로 이송하도록 사용된다. 프로세스 모듈 (320) 내부의 모듈 중심 (328) 은 웨이퍼 (326) 를 위치시키기 위한 일 위치이다. ATM (340) 내의 얼라이너 (344) 는 웨이퍼들을 정렬하도록 사용된다.
예시적인 프로세싱 방법에서, 웨이퍼는 LPM (342) 내의 FOUP들 (334) 중 하나에 위치된다. 프론트-엔드 로봇 (332) 은 FOUP (334) 로부터 얼라이너 (344) 로 웨이퍼를 이송하고, 얼라이너는 웨이퍼 (326) 로 하여금 에칭 또는 프로세싱되기 전에 적절하게 중심에 위치되게 한다. 정렬된 후, 웨이퍼 (326) 는 프론트-엔드 로봇 (332) 에 의해 에어록 (330) 내로 이동된다. 에어록 모듈들이 ATM과 VTM 간의 분위기를 매칭하는 능력을 갖기 때문에, 웨이퍼 (326) 는 대미지 없이 두 압력 분위기들 간에서 이동할 수 있다. 에어록 모듈 (330) 로부터, 웨이퍼 (326) 는 로봇 (322) 에 의해 VTM (338) 을 통해 프로세스 모듈들 (320a 내지 320d) 중 하나로 이동된다. 이러한 웨이퍼 이동을 달성하기 위해, 로봇 (322) 은 암들 각각의 엔드 이펙터들 (324) 을 사용한다. 일단 웨이퍼 (326) 가 프로세싱되면, 웨이퍼는 로봇 (322) 에 의해 프로세스 모듈들 (320a 내지 320d) 로부터 에어록 모듈 (330) 로 이동된다. 에어록 모듈 (330) 로부터, 웨이퍼 (326) 는 프론트-엔드 로봇 (332) 에 의해 FOUP들 (334) 중 하나 또는 얼라이너 (344) 로 이동될 수도 있다.
웨이퍼 이동을 제어하는 컴퓨터는 클러스터 아키텍처에 대해 로컬일 수 있고, 또는 제작 현장에서 클러스터 아키텍처의 외부에 위치될 수 있고, 또는 리모트 위치에 있고 네트워크를 통해 클러스터 아키텍처에 연결될 수 있다는 것을 주의해야 한다. 도 2에 대하여 상기 기술된 바와 같은 제어기는 도 3에 도시된 툴을 사용하여 구현될 수도 있다.
실험
GaN의 블랭킷 층이 ALE에 의해 에칭되었다. ALE 화학반응은 Cl2 개질 및 제거 동안 50 V 바이어스를 사용하는 Ar 제거이다. 22 ㎚의 GaN이 반복된 ALE 사이클들을 사용하여 에칭되었다. ALE 에칭 후에 RMS 거칠기는 에칭 전과 비교하여 약간 개선되었다.
GaN ALE (Cl2/Ar) 는 GaN에 대한 Cl만을 사용한 에칭 또는 GaN에 대한 Ar만을 사용한 스퍼터 에칭과 비교되었다. Cl만을 사용한 에칭은 GaN 에칭을 발생시키지 않는다 (100 사이클들). ALE 에칭은 거의 Ar 스퍼터만을 사용한 에칭보다 많은 양의 에칭을 발생시킨다. 이 결과는 ALE 프로세스에서 강한 상승 효과가 있다는 것을 나타내고, 상기 기술된 바와 같은 ALE 메커니즘이 발생한다는 것을 암시한다.
AlGaN 표면 평활도는 Cl2/BCl3의 다양한 혼합물들을 사용하는 ALE 프로세스들 후에 측정되었다. 0 %/100 %, 5 %/95 %, 15 %/85 %, 및 100 %/0 % 백분율로 BCl3/Cl2을 갖는 혼합물들이 사용되었다. 거칠기는 BCl3 농도에 따라 개선되었다.
전술한 실시예들이 이해의 명확성을 목적으로 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수 있다는 것이 자명할 것이다. 본 실시예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 따라서, 본 실시예들은 예시적이고 제한하지 않는 것으로 간주되고, 실시예들은 본 명세서에 제공된 상세들로 제한되지 않는다.

Claims (16)

  1. 기판 상의 III-V 족 재료를 에칭하는 방법에 있어서,
    a) 개질된 III-V 족 표면 층을 형성하도록 상기 기판을 바이어싱하지 않고 상기 III-V 족 재료를 염소 함유 플라즈마에 노출시키는 단계; 및
    b) 상기 개질된 III-V 족 표면 층을 제거하도록 상기 개질된 III-V 족 표면 층을 불활성 플라즈마에 노출하는 동안 상기 기판에 바이어스 전압을 인가하는 단계를 포함하는, III-V 족 재료를 에칭하는 방법.
  2. 제 1 항에 있어서,
    상기 단계 (a) 및 상기 단계 (b) 를 1 회 이상 반복하는 단계를 더 포함하는, III-V 족 재료를 에칭하는 방법.
  3. 제 1 항에 있어서,
    상기 바이어스 전압은 상기 제거가 자기-제한 레짐 (self-limiting regime) 에 속하는 레벨인, III-V 족 재료를 에칭하는 방법.
  4. 제 1 항에 있어서,
    상기 염소 함유 플라즈마는 붕소 함유 화합물을 포함하는 프로세스 가스로부터 생성되고, 상기 프로세스 가스의 약 0.5 % 내지 10 % (체적) 는 상기 붕소 함유 화합물인, III-V 족 재료를 에칭하는 방법.
  5. 제 1 항에 있어서,
    상기 단계 (a) 및 상기 단계 (b) 의 1 이상의 부가적인 사이클들을 수행하는 단계를 더 포함하고, 상기 바이어스 전압은 상기 1 이상의 부가적인 사이클들 동안 하강되는, III-V 족 재료를 에칭하는 방법.
  6. 제 1 항에 있어서,
    상기 III-V 족 재료는 GaN인, III-V 족 재료를 에칭하는 방법.
  7. 제 6 항에 있어서,
    GaN은 하부 층을 제거하지 않고 제거되는, III-V 족 재료를 에칭하는 방법.
  8. 제 7 항에 있어서,
    상기 하부 층은 AlGaN인, III-V 족 재료를 에칭하는 방법.
  9. 제 1 항에 있어서,
    상기 바이어스 전압은 약 20 V 내지 120 V인, III-V 족 재료를 에칭하는 방법.
  10. 제 1 항에 있어서,
    상기 바이어스 전압은 약 50 V 내지 120 V인, III-V 족 재료를 에칭하는 방법.
  11. 제 1 항에 있어서,
    상기 바이어스 전압은 약 50 V 내지 100 V인, III-V 족 재료를 에칭하는 방법.
  12. 제 1 항에 있어서,
    상기 염소 함유 플라즈마는 염소 함유 가스 및 붕소 함유 가스의 혼합물로부터 생성되는, III-V 족 재료를 에칭하는 방법.
  13. 제 1 항에 있어서,
    상기 염소 함유 플라즈마는 Cl2 및 BCl3의 혼합물로부터 생성되는, III-V 족 재료를 에칭하는 방법.
  14. 제 1 항에 있어서,
    상기 단계 (b) 의 상기 불활성 플라즈마는 아르곤 함유 플라즈마인, III-V 족 재료를 에칭하는 방법.
  15. 제 1 항에 있어서,
    상기 바이어스 전압은 상기 에칭이 하부 층 재료에 대해 선택적인 레벨인, III-V 족 재료를 에칭하는 방법.
  16. 반도체 기판들을 프로세싱하기 위한 장치에 있어서,
    상기 장치는,
    기판 지지부를 포함하는 프로세스 챔버;
    상기 기판 지지부에 연결된 전력 공급부;
    플라즈마 생성기; 및
    적어도 하나의 프로세서 및 메모리를 갖는 제어기를 포함하고,
    상기 적어도 하나의 프로세서 및 상기 메모리는 서로 통신가능하게 연결되고,
    상기 메모리는,
    (i) 염소 함유 가스를 상기 플라즈마 생성기로 도입하고;
    (ii) 상기 플라즈마 생성기 내에서 염소 함유 플라즈마를 점화하고;
    (iii) 기판을 바이어싱하지 않고 상기 기판 상의 III-V 층을 개질하도록 상기 기판을 상기 염소 함유 플라즈마에 노출시키고;
    (iv) 불활성 가스를 상기 플라즈마 생성기로 도입하고;
    (v) 상기 플라즈마 생성기 내에서 불활성 플라즈마를 점화하고;
    (vi) 상기 개질된 층을 제거하기 위해 상기 기판을 상기 불활성 플라즈마에 노출하는 동안 상기 기판에 바이어스 전압을 인가하도록 상기 전력 공급부를 사용하기 위한 머신 판독가능 인스트럭션들을 저장하는, 반도체 기판들을 프로세싱하기 위한 장치.
KR1020160069175A 2015-06-05 2016-06-03 GaN 및 다른 III-V 족 재료들의 원자층 에칭 KR102399578B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020220059043A KR102652921B1 (ko) 2015-06-05 2022-05-13 GaN 및 다른 III-V 족 재료들의 원자층 에칭

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562171570P 2015-06-05 2015-06-05
US62/171,570 2015-06-05

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020220059043A Division KR102652921B1 (ko) 2015-06-05 2022-05-13 GaN 및 다른 III-V 족 재료들의 원자층 에칭

Publications (2)

Publication Number Publication Date
KR20160143553A true KR20160143553A (ko) 2016-12-14
KR102399578B1 KR102399578B1 (ko) 2022-05-17

Family

ID=57452029

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020160069175A KR102399578B1 (ko) 2015-06-05 2016-06-03 GaN 및 다른 III-V 족 재료들의 원자층 에칭
KR1020220059043A KR102652921B1 (ko) 2015-06-05 2022-05-13 GaN 및 다른 III-V 족 재료들의 원자층 에칭
KR1020240041161A KR20240041906A (ko) 2015-06-05 2024-03-26 GaN 및 다른 III-V 족 재료들의 원자층 에칭

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020220059043A KR102652921B1 (ko) 2015-06-05 2022-05-13 GaN 및 다른 III-V 족 재료들의 원자층 에칭
KR1020240041161A KR20240041906A (ko) 2015-06-05 2024-03-26 GaN 및 다른 III-V 족 재료들의 원자층 에칭

Country Status (6)

Country Link
US (1) US10056264B2 (ko)
JP (1) JP2017022368A (ko)
KR (3) KR102399578B1 (ko)
CN (1) CN106252222A (ko)
SG (1) SG10201604524PA (ko)
TW (3) TW202336855A (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180073459A (ko) * 2016-12-22 2018-07-02 에이에스엠 아이피 홀딩 비.브이. 원자 층 식각 공정
KR101984084B1 (ko) * 2018-01-25 2019-05-30 주식회사 썸백 엘이디 제조용 부품의 질화갈륨층 제거방법

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
TW202336855A (zh) * 2015-06-05 2023-09-16 美商蘭姆研究公司 GaN及其他Ⅲ-Ⅴ族材料之原子層蝕刻
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9984858B2 (en) * 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
WO2017052905A1 (en) * 2015-09-22 2017-03-30 Applied Materials, Inc. Apparatus and method for selective deposition
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
CN110050331B (zh) * 2016-12-09 2023-07-25 Asm Ip 控股有限公司 热原子层蚀刻工艺
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
KR20180093798A (ko) * 2017-02-13 2018-08-22 램 리써치 코포레이션 에어 갭들을 생성하는 방법
JP6871550B2 (ja) * 2017-03-10 2021-05-12 国立大学法人東海国立大学機構 エッチング装置
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10494715B2 (en) 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US10763083B2 (en) * 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US11121229B2 (en) 2017-12-28 2021-09-14 Vanguard International Semiconductor Corporation Methods of fabricating semiconductor structures and high electron mobility transistors
KR102642011B1 (ko) * 2018-03-30 2024-02-27 램 리써치 코포레이션 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing)
US11056347B2 (en) * 2019-05-28 2021-07-06 Tokyo Electron Limited Method for dry etching compound materials
JP2021019201A (ja) 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
FR3113769B1 (fr) * 2020-09-03 2023-03-24 Commissariat Energie Atomique Procede de gravure d’une couche de materiau iii-n
WO2022051045A1 (en) 2020-09-03 2022-03-10 Applied Materials, Inc. Selective anisotropic metal etch
US20230395695A1 (en) * 2022-06-07 2023-12-07 Wolfspeed, Inc. Method for reducing parasitic capacitance and increasing peak transconductance while maintaining on-state resistance and related devices

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0917774A (ja) * 1995-06-30 1997-01-17 Sony Corp 化合物半導体のプラズマエッチング方法
KR20120024544A (ko) * 2009-12-15 2012-03-14 유니버시티 오브 휴스턴 시스템 펄스형 플라즈마를 사용한 원자층 에칭

Family Cites Families (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5482802A (en) 1993-11-24 1996-01-09 At&T Corp. Material removal with focused particle beams
US5527425A (en) * 1995-07-21 1996-06-18 At&T Corp. Method of making in-containing III/V semiconductor devices
JPH0945670A (ja) * 1995-07-29 1997-02-14 Hewlett Packard Co <Hp> Iii族−n系結晶の気相エッチング方法および再成長方法
US5789265A (en) * 1995-08-31 1998-08-04 Kabushiki Kaisha Toshiba Method of manufacturing blue light-emitting device by using BCL3 and CL2
SE9903213D0 (sv) * 1999-06-21 1999-09-10 Carl Fredrik Carlstroem Dry etching process of compound semiconductor materials
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US7589017B2 (en) 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US20030015704A1 (en) * 2001-07-23 2003-01-23 Motorola, Inc. Structure and process for fabricating semiconductor structures and devices utilizing the formation of a compliant substrate for materials used to form the same including intermediate surface cleaning
US7540920B2 (en) * 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US7008877B2 (en) 2003-05-05 2006-03-07 Unaxis Usa Inc. Etching of chromium layers on photomasks utilizing high density plasma and low frequency RF bias
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US8288828B2 (en) 2004-09-09 2012-10-16 International Business Machines Corporation Via contact structure having dual silicide layers
US9230818B2 (en) * 2006-02-02 2016-01-05 Trustees Of Boston University Planarization of GaN by photoresist technique using an inductively coupled plasma
US8257987B2 (en) * 2006-02-02 2012-09-04 Trustees Of Boston University Planarization of GaN by photoresist technique using an inductively coupled plasma
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US9064960B2 (en) * 2007-01-31 2015-06-23 Applied Materials, Inc. Selective epitaxy process control
US7772114B2 (en) 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
EP2240968A1 (en) * 2008-02-08 2010-10-20 Illumitex, Inc. System and method for emitter layer shaping
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
KR101629193B1 (ko) * 2008-06-26 2016-06-10 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Soi 기판의 제작 방법
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
JP4305574B1 (ja) * 2009-01-14 2009-07-29 住友電気工業株式会社 Iii族窒化物基板、それを備える半導体デバイス、及び、表面処理されたiii族窒化物基板を製造する方法
US8404561B2 (en) 2009-05-18 2013-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating an isolation structure
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8664070B2 (en) 2009-12-21 2014-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. High temperature gate replacement process
KR101080604B1 (ko) 2010-02-09 2011-11-04 성균관대학교산학협력단 원자층 식각 장치 및 이를 이용한 식각 방법
JP4982582B2 (ja) * 2010-03-31 2012-07-25 株式会社東芝 マスクの製造方法
US20130200391A1 (en) * 2010-09-28 2013-08-08 North Carolina State University Gallium nitride based structures with embedded voids and methods for their fabrication
US8124505B1 (en) * 2010-10-21 2012-02-28 Hrl Laboratories, Llc Two stage plasma etching method for enhancement mode GaN HFET
US20130099277A1 (en) * 2011-10-25 2013-04-25 The Regents Of The University Of California SELECTIVE DRY ETCHING OF N-FACE (Al,In,Ga)N HETEROSTRUCTURES
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US8883028B2 (en) * 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
US20130313561A1 (en) * 2012-05-25 2013-11-28 Triquint Semiconductor, Inc. Group iii-nitride transistor with charge-inducing layer
US9570600B2 (en) * 2012-11-16 2017-02-14 Massachusetts Institute Of Technology Semiconductor structure and recess formation etch technique
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
FR3000600B1 (fr) * 2012-12-28 2018-04-20 Commissariat Energie Atomique Procede microelectronique de gravure d'une couche
US20140335666A1 (en) * 2013-05-13 2014-11-13 The Government Of The United States Of America, As Represented By The Secretary Of The Navy Growth of High-Performance III-Nitride Transistor Passivation Layer for GaN Electronics
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
FR3017241B1 (fr) * 2014-01-31 2017-08-25 Commissariat Energie Atomique Procede de gravure plasma
JP6159757B2 (ja) 2014-07-10 2017-07-05 東京エレクトロン株式会社 基板の高精度エッチングのプラズマ処理方法
US9675811B2 (en) 2014-08-28 2017-06-13 Cardiac Pacemakers, Inc. Display of temporally aligned heart information from separate implantable medical devices on an extracorporeal display
US9520294B2 (en) 2014-08-29 2016-12-13 Applied Materials, Inc. Atomic layer etch process using an electron beam
US9478433B1 (en) * 2015-03-30 2016-10-25 Applied Materials, Inc. Cyclic spacer etching process with improved profile control
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
TW202336855A (zh) * 2015-06-05 2023-09-16 美商蘭姆研究公司 GaN及其他Ⅲ-Ⅴ族材料之原子層蝕刻
US9984858B2 (en) * 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0917774A (ja) * 1995-06-30 1997-01-17 Sony Corp 化合物半導体のプラズマエッチング方法
KR20120024544A (ko) * 2009-12-15 2012-03-14 유니버시티 오브 휴스턴 시스템 펄스형 플라즈마를 사용한 원자층 에칭

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180073459A (ko) * 2016-12-22 2018-07-02 에이에스엠 아이피 홀딩 비.브이. 원자 층 식각 공정
US11183367B2 (en) 2016-12-22 2021-11-23 Asm Ip Holding B.V. Atomic layer etching processes
KR101984084B1 (ko) * 2018-01-25 2019-05-30 주식회사 썸백 엘이디 제조용 부품의 질화갈륨층 제거방법

Also Published As

Publication number Publication date
TWI808473B (zh) 2023-07-11
SG10201604524PA (en) 2017-01-27
KR102652921B1 (ko) 2024-03-28
US10056264B2 (en) 2018-08-21
KR20220064949A (ko) 2022-05-19
KR20240041906A (ko) 2024-04-01
TW202209483A (zh) 2022-03-01
CN106252222A (zh) 2016-12-21
US20160358782A1 (en) 2016-12-08
JP2017022368A (ja) 2017-01-26
KR102399578B1 (ko) 2022-05-17
TW202336855A (zh) 2023-09-16
TWI750120B (zh) 2021-12-21
TW201715738A (zh) 2017-05-01

Similar Documents

Publication Publication Date Title
KR102652921B1 (ko) GaN 및 다른 III-V 족 재료들의 원자층 에칭
US10515816B2 (en) Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
KR102510612B1 (ko) 향상된 텅스텐 증착 충진을 위한 텅스텐의 원자층 에칭
US10714354B2 (en) Self limiting lateral atomic layer etch
KR102617520B1 (ko) 탄탈룸의 원자층 에칭 (ale)
KR102504770B1 (ko) Ale 및 선택적인 증착을 사용하여 기판들 에칭
CN107045977B (zh) 连续等离子体中的原子层蚀刻
US11742212B2 (en) Directional deposition in etch chamber
KR20170028259A (ko) 고종횡비 유전체 에칭을 위한 마스크 축소층
KR20170031041A (ko) 반도체 산업계 안팎에서 ale 평활도
KR20160126890A (ko) 코발트 에치백
US20230093011A1 (en) Atomic layer etching of molybdenum

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant