CN106252222A - GaN和其他III-V族材料的原子层蚀刻 - Google Patents

GaN和其他III-V族材料的原子层蚀刻 Download PDF

Info

Publication number
CN106252222A
CN106252222A CN201610393976.9A CN201610393976A CN106252222A CN 106252222 A CN106252222 A CN 106252222A CN 201610393976 A CN201610393976 A CN 201610393976A CN 106252222 A CN106252222 A CN 106252222A
Authority
CN
China
Prior art keywords
iii
plasma
gan
substrate
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201610393976.9A
Other languages
English (en)
Inventor
杨文斌
托米希托·欧巴
萨曼莎·坦
克伦·雅各布斯·卡纳里克
杰弗里·马克斯
野尻雄
野尻一雄
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN106252222A publication Critical patent/CN106252222A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/2633Bombardment with radiation with high-energy radiation for etching, e.g. sputteretching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30612Etching of AIIIBV compounds
    • H01L21/30621Vapour phase etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66446Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET]
    • H01L29/66462Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET] with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1066Gate region of field-effect devices with PN junction gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7786Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Ceramic Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Drying Of Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Inorganic Chemistry (AREA)
  • Semiconductor Lasers (AREA)
  • Plasma Technology (AREA)

Abstract

本发明涉及GaN和其他III‑V族材料的原子层蚀刻。本文提供了去除例如氮化镓(GaN)之类的III‑V族材料的ALE方法和相关装置。在一些实施方式中,所述方法涉及将III‑V族材料暴露于含氯等离子体而没有给衬底施加偏置以形成经修改的III‑V族表面层;以及施加偏置电压至衬底,同时将经修改的III‑V族表面层暴露于等离子体,由此去除经修改的III‑V族表面层。所公开的方法适用于广泛范围的应用,包括用于沟槽和孔的蚀刻工艺、HEMT的制造、LED的制造以及在蚀刻工艺中的改进的选择性。

Description

GaN和其他III-V族材料的原子层蚀刻
相关申请的交叉引用
本申请要求于2015年6月5日提交的美国临时专利申请No.62/171570的优先权,其通过引用并入本文。
技术领域
本发明总体上涉及半导体领域,更具体涉及GaN和其他III-V族材料的原子层蚀刻。
背景技术
氮化镓(GaN)为高电子迁移率晶体管(HEMT)、发光二极管(LED)和紫外线(UV)检测器中使用的二元(binary)宽带隙III-V族半导体。在示例性的应用中,针对高功率-高效率的工业和汽车应用,由于它们的高电压、大电流和低通电阻,因而可使用包括n型铝镓氮化物(AlGaN)/p型GaN异质结的AlGaN/GaN的HEMT器件。
发明内容
本发明的一些方面涉及蚀刻III-V族半导体材料的方法以及相关装置。在一些实施方式中,所述方法包括:在没有给衬底施加偏置的情况下使所述III-V族材料暴露于含氯等离子体以形成经修改的III-V族表面层(amodified III-V surface layer);以及在使所述经修改的III-V族表面层暴露于等离子体的同时,给所述衬底施加偏置电压,从而去除所述经修改的III-V族表面层。在一些实施方式中,形成经修改的III-V族表面层和去除所述经修改的III-V族表面层的操作可以重复一次或多次。在一些实施方式中,在所述一次或多次期间,所述偏置电压下降。在一些实施方式中,所述偏置电压处于一定的电平,该电平使得所述去除是在自限状态。
在一些实施方式中,所述III-V族材料为GaN。在一些实施方式中,GaN是在不去除下层的情况下去除的。所述下层的实例包括铝镓氮化物(AlGaN)。在一些实施方式中,所述偏置电压处于一定的电平,该电平使得所述蚀刻对于下层材料是选择性的。
在一些实施方式中,所述偏置电压为介于约20伏和120伏之间。在一些实施方式中,所述偏置电压为介于约50伏和120伏之间。在一些实施方式中,所述偏置电压为介于约50伏和100伏之间。
在一些实施方式中,所述含氯等离子体是从含氯气体和含硼气体的混合物产生的。在一些实施方式中,所述含氯等离子体是从Cl2与BCl3的混合物产生的。在一些实施方式中,用于去除经修改的层的等离子体是含氩等离子体。至下层材料。
本公开的另一个方面是一种用于处理半导体衬底的装置,该装置包括:处理室,其包括衬底支撑件;连接到所述衬底支撑件的电源;等离子体产生器;以及具有存储器和至少一个处理器的控制器,其中,所述至少一个处理器和所述存储器彼此通信地连接,以及所述存储器存储用于下述操作的机器可读指令:将含氯气体引入到所述等离子体产生器中;在所述等离子体产生器内点燃等离子体;将衬底暴露于含氯气体以修改在所述衬底上的III-V族层;以及使用所述电源以给所述衬底施加偏置电压,同时将所述衬底暴露于所述含氯等离子体以去除经修改的所述层。
具体而言,本发明的一些方面可以阐述如下:
1.一种蚀刻衬底上的III-V族材料的方法,所述方法包括:
a)在没有给所述衬底施加偏置的情况下使所述III-V族材料暴露于含氯等离子体以形成经修改的III-V族表面层;以及
b)在使所述经修改的III-V族表面层暴露于惰性等离子体的同时,给所述衬底施加偏置电压,从而去除所述经修改的III-V族表面层。
2.根据条款1所述的方法,其还包括:重复(a)和(b)一次或多次。
3.根据条款1所述的方法,其中所述偏置电压处于使得所述去除是在自限状态的电平。
4.根据条款1所述的方法,其中所述含氯等离子体从包含含硼化合物的处理气体产生,其中所述处理气体中的约0.5%至10%(体积)是所述含硼化合物。
5.根据条款1所述的方法,其还包括执行(a)和(b)的一个或多个额外的循环,其中,所述偏置电压在所述一个或多个额外的循环期间下降。
6.根据条款1所述的方法,其中所述III-V族材料为GaN。
7.根据条款6所述的方法,其中GaN是在不去除下层的情况下去除的。
8.根据条款7所述的方法,其中所述下层是AlGaN。
9.根据条款1所述的方法,其中所述偏置电压为介于约20伏和120伏之间。
10.根据条款1所述的方法,其中所述偏置电压为介于约50伏和120伏之间。
11.根据条款1所述的方法,其中所述偏置电压为介于约50伏和100伏之间。
12.根据条款1所述的方法,其中所述含氯等离子体从含氯气体和含硼气体的混合物产生。
13.根据条款1所述的方法,其中所述含氯等离子体从Cl2与BCl3的混合物产生。
14.根据条款1所述的方法,其中在(b)中的所述惰性等离子体是含氩等离子体。
15.根据条款1所述的方法,其中所述偏置电压处于使得所述蚀刻对于下层材料具有选择性的电平。
16.一种用于处理半导体衬底的装置,该装置包括:
处理室,其包括衬底支撑件;
连接到所述衬底支撑件的电源;
等离子体产生器;以及
具有至少一个处理器和存储器的控制器,
其中,所述至少一个处理器和所述存储器彼此通信地连接,以及
所述存储器存储用于下述操作的机器可读指令:
(i)将含氯气体引入到所述等离子体产生器中;
(ii)在所述等离子体产生器内点燃含氯等离子体;
(iii)在没有给所述衬底施加偏置的情况下将衬底暴露于所述含氯等离子体以修改在所述衬底上的III-V族层;
(iv)引入惰性气体至所述等离子体产生器;
(v)在所述等离子体产生器内点燃惰性等离子体;
(vi)使用所述电源以给所述衬底施加偏置电压,同时将所述衬底暴露于所述惰性等离子体以去除经修改的所述层。
下面参照附图进一步描述这些和其他方面。
附图说明
图1A根据所公开的实施方式提供了执行方法中的操作的处理流程图。
图1B示出了原子层蚀刻(ALE)循环的示例性示意图。
图1C针对使用Cl2和Ar进行的GaN的ALE示出了偏置电压(Vb)与蚀刻量的关系曲线的一实施例,其中在Ar去除期间施加偏置。
图1D示出了GaN/AlGaN蚀刻选择性与偏置电压的函数关系。
图1E示出了在制造GaN HEMT器件(包括GaN/AlGaN异质结)中的某些操作。
图2示意性示出了适合于实现本文某些实施方式的感应耦合等离子体装置的剖面图。
图3示出了具有与真空传送模块连接的多种模块的半导体处理群集体系结构。
具体实施方式
在下面的描述中,阐述了许多具体细节以提供对所呈现的实施方式的透彻理解。在没有这些具体细节中的一些或所有的情形下可以实施所公开的实施方式。在其他情形下,未详细描述众所周知的处理操作,以避免不必要地模糊所公开的实施方式。虽然将结合具体的实施方式描述所公开的实施方式,但是应理解的是其并不意在限制所公开的实施方式。
随着特征尺寸的缩小,对例如原子层沉积(ALE)和原子层蚀刻(ALE)之类的原子级处理的需求日益增长。ALE是导致膜厚度的数字和小变化的名义上自我限制步骤的循环工艺。该工艺的特征在于平滑性和保形性,以及在一些ALE的情形下,还有方向性。
本文提供了去除III-V族材料(例如氮化镓(GaN))的ALE方法。
GaN为在高电子迁移率晶体管(HEMT)、发光二极管(LED)和紫外线(UV)检测器中使用的二元宽带隙III-V族半导体。在示例性的应用中,针对高功率-高效率的工业和汽车应用,由于它们的高电压、大电流和低通电阻,因而可使用包括n型铝镓氮化物(AlGaN)/p型GaN异质结的AlGaN/GaN的HEMT器件。
所公开的方法适用于广泛的应用,包括适用于沟槽和孔的蚀刻处理、HEMT器件的制造、LED的制造以及蚀刻处理的选择性的改进。尽管下面的描述主要是指GaN,但所描述的技术可供其他III-V族半导体材料如下所述地使用。
ALE是使用顺序的自限反应去除材料薄层的技术。一般地,可使用任何合适的技术进行ALE。原子层蚀刻技术的示例在2014年11月11日公告的美国专利No.8883028和2014年8月19日公告的美国专利No.8808561中进行了描述,这些专利在此通过引用并入本文,用于描述示例性原子层蚀刻和蚀刻技术的目的。在多个实施方式中,ALE可以利用等离子体进行,或者可以利用热进行。
“ALE循环”的构思与本文的多个实施方式的讨论相关。通常,ALE循环是用于实施一次蚀刻工艺(例如蚀刻单层)的最小的一组操作。一个循环的结果是蚀刻掉在衬底表面上的至少一些膜层。通常,ALE循环包括形成反应层的修改操作,随后是去除或仅蚀刻此经修改的层的去除操作。所述循环可包括某些辅助操作,例如扫除反应物或副产物之一。通常,循环包括独特系列的操作的一个示例。举例而言,ALE循环可包括以下操作:(i)输送反应气体和可选的等离子体,(ii)从室清扫反应物气体,(iii)输送去除气体和可选的等离子体,以及(iv)清扫室。在一些实施方式中,蚀刻可以非共形地执行。
图1A根据所公开的实施方式提供了执行方法中的操作的处理流程图。图1A的操作可在介于约1毫托与约100托(例如约1毫托与约1托)之间的室压强下进行。在操作102中,衬底被提供到处理室中。该室可以是在多室装置或单室装置中的室。衬底可以是硅晶片,例如,200毫米的晶片、300毫米的晶片、或450毫米的晶片,包括上面沉积有一个或多个材料(例如介电材料、导电材料或半导电材料)层的晶片。所述衬底包括III-V族化合物材料的暴露表面。
III-V族材料是具有至少一种Ⅲ族元素和至少一种Ⅴ族元素的化合物。III-V族化合物的实例包括二元半导体GaN、磷化镓(GaP)、砷化镓(GaAs)和磷化铟(InP)。化合物III-V族材料是高电子迁移率材料,正在考虑其作为在未来的设备中的取代硅的促成者(enabler)。在一些实施方式中,III-V族材料可以包括一种或多种其他的元素。例如,III-V族材料包括三元合金III-V,如砷化物、磷化物、氮化物和锑化物。实施例包括铟镓砷化物(InGaAs)、铟铝砷化物(InAlAs)、铝镓氮化物(AlGaN)和铝镓砷化物(AlGaAs)。应当理解的是,化学式GaN、GaP、GaAs、AlGaN等不表示组成元素的任何特定比例。
在一些实施方式中,衬底包括III-V族化合物的覆盖层。衬底可以包括之前在衬底上沉积和图案化的图案化掩模层。例如,掩模层可在包含覆盖III-V族化合物的衬底上沉积并图案化。
在图1A的操作104中,将衬底暴露于含氯(Cl)反应物以修改III-V族表面。根据各种实施方式,含氯反应物可以是氯(Cl2)、三氯化硼(BCl3)、或它们的组合。如在下面进一步讨论的,在一些实施方式中,使用Cl2和BCl3的混合物。根据某些实施方式,除了或替代这些反应物,还可以使用其他含氯的气体。如下面所指出的,在一些实施方式中,等离子体可在操作104点燃,从而可以从Cl2、BCl3或其他含氯气体产生各种活化物质。本文中提到的含氯的气体被理解为包括从这样的气体产生的物质。根据各种实施方式,等离子体可被控制,使得在操作104期间在室中的活化物质主要或基本上是自由基物质。在一些实施方式中,在室内基本上没有离子物质。
在操作104期间,在含氯蚀刻气体流到室中的同时,载气可以任选地流动。载气的实例包括氮气(N2)、氩(Ar)、氖(Ne)、氦(He)以及它们的组合。
修改操作形成具有一定厚度的薄的反应性表面层,其在随后的去除操作中比未修改的材料容易去除。在修改操作中,衬底可通过引入含氯反应物进入室而被氯化。在一些实施方式中,含氯的反应物可与衬底反应或者可以被吸附到衬底的表面上。在多种实施方式中,氯被以气态形式引入到室中并且可以任选地伴随着载气,该载气可以是任何上文所述的那些载气。在一些实施方式中,载气在表面修改过程中不流动。反应物可以流动使得其仅修改衬底的顶表面。
在一些实施方式中,可在操作104中点燃等离子体。含氯等离子体可以通过在容纳衬底的处理室内形成等离子体而直接产生,或者其可以在没有容纳衬底的处理室内远程产生,并能够供给到容纳衬底的处理室内。在一些实施方式中,对于属于纯粹的热处理的修改操作,不使用等离子体。在一些实施方式中,可在操作104期间采用另一种形式的活化能。实施例包括暴露于紫外(UV)辐射。在一些实施方式中,在操作104期间产生的自由基物质可以显著提高产量。
根据多种实施方式,如果使用等离子体,则等离子体可以是感应耦合等离子体或电容耦合等离子体。感应耦合等离子体可设置为介于约50W与约2000W之间的等离子体。尽管可施加衬底偏置,但更典型的是,在操作104期间,不施加偏置以避免溅射。
在一些实施方式中,清扫可在修改操作之后进行。在清扫操作中,非表面结合的活性氯物质可以从处理室中去除。这可以通过清扫和/或排空处理室以去除活性物质而不去除经修改的层来完成。氯等离子体中产生的物质可以通过简单地停止等离子体并允许剩余的物质分解,任选地与清扫和/或排空室相组合来去除。清扫可使用任何惰性气体(例如N2、Ar、Ne、He及其组合)来完成。
在操作106中,从衬底去除衬底的经修改的层。在去除操作中,衬底可暴露于能量源(例如,激活或溅射气体或诱导去除的化学反应性物质),例如氩气或氦气,以通过定向溅射蚀刻衬底。溅射气体可以与载气相同或者不相同。在一些实施方式中,去除操作可通过离子轰击来进行。在去除过程中,可以任选地开通偏置以促进定向溅射。在一些实施方式中,ALE可以是各向同性的。
可以控制溅射气体的量以便仅蚀刻目标量的材料。在多个实施方式中,在修改操作和去除操作之间可以改变室的压强。气体的压强可取决于室的大小、气体的流速、反应器的温度、衬底的种类以及待蚀刻的衬底的大小。在下文参照图1C和1D进一步讨论衬底偏置电平。在一些实施方式中,可以在去除操作之后清扫室。清扫处理可以是用于修改操作之后的清扫的那些处理中的任何一种。根据多种实施方式,操作106可与操作104在相同或不同的室内进行。
在一些实施方式中,在操作106中的等离子体是惰性等离子体。惰性等离子体是基本上不包含诸如氧或卤素等化学反应性物质的等离子体。惰性等离子体的实例包括氩等离子体和氦等离子体。
如本文所述,在材料被引入到室的操作中,在涉及使用等离子体的原子层蚀刻的一些实施方式中,在处理衬底或晶片之前通过将化学品引入到室中可以稳定反应器或室。稳定室与在稳定之后的操作中所使用的化学品可以使用相同的流速、压强、温度和其他条件。在一些实施方式中,稳定室可包括不同的参数。在一些实施方式中,载气(例如N2、Ar、Ne、He以及它们的组合)在操作104和106期间连续流动。在一些实施方式中,载气仅在操作106中使用。在一些实施方式中,载气在去除过程中不流动。
在一些实施方式中,执行操作104和106可以构成执行一次原子层蚀刻。如果III-V族材料没有被充分地蚀刻,则操作104和106可以重复。在多个实施方式中,修改和去除操作可以重复循环,如约1至约30个循环,或约1至约20个循环。ALE循环的任何适当数量可以被包括以蚀刻所期望的膜的量。在一些实施方式中,ALE是循环进行的以蚀刻约1埃至约50埃的在衬底上的层的表面。在一些实施方式中,ALE的循环蚀刻约2埃至约50埃的在衬底上的层的表面。
图1B示出了ALE循环的一示例性示意图。在171a,提供包括III-V族表面的衬底。在171b中,衬底的表面被修改以形成反应性层。在图1B的实例中,这涉及到III-V族表面的氯化,例如通过吸附氯到衬底的表面上实现。在171c,可以从室中清扫过量的含氯反应物气体。在171d,III-V族化合物的氯化层被蚀刻。在一实例中,氩去除气体利用定向等离子体被导入并进行离子轰击以去除衬底的氯化表面。在171e,衬底被显示为氯化层已被去除。
循环可以仅部分地蚀刻约0.1nm至约50nm的材料,或介于约0.1nm和约5nm之间的材料,或介于约0.2nm和约50nm之间的材料,或介于约0.2nm和约5nm之间的材料。
在多个实施方式中,处理条件,例如基座温度、室温度、室压强、气体流速、气体流化学过程、脉冲的持续时间、暴露和清扫、等离子体频率、等离子体功率和偏置功率,可以根据应用的类型和装置或工具的类型进行调整,所公开的实施方式在所述装置或工具上执行。
在类似的处理条件下,本文描述的ALE处理比使用相同的化学品的连续波等离子体提供显著较平滑的表面。这对于诸如HEMT之类的应用是有利的。
在一些实施方式中,在去除操作期间施加衬底偏置。偏置电平可以设定为低于一定的电平,在该一定的电平下,由于Ar离子或其他去除物质的溅射,因而蚀刻速率显著增大。在一些实施方式中,偏置电平被设定为使得去除处于自限状态。图1C针对使用Cl2和Ar进行的GaN的ALE示出了偏置电压(Vb)与蚀刻量的关系曲线的实例,该偏置在氩去除期间施加。该曲线表明,在约50伏和80伏之间,去除处于自限状态。高于约100伏,蚀刻速率急剧增大,表明Ar溅射。对于要进行的蚀刻,偏置电压设置成高于阈值电压,在这种情况下,阈值电压为约20V。因此,在多种实施方式中,偏置电压被保持在阈值电压和溅射发生的电压之间。此外,在一些实施方式中,偏置电压被保持在自限状态持续ALE处理的至少一些循环。自限状态可被确定用于待被蚀刻的任何特定的表面和如图1C所示的用于GaN蚀刻处理条件。
在一些实施方式中,可在接近蚀刻处理结束时降低偏置电压。例如,在0.5nm、1nm、或其他适当的量需要蚀刻时,可降低偏置电压。在一些实施方式中,偏置电压可被修改成对下伏材料提供高选择性的电压。使用偏置电压控制选择性将在下面参照图1D进一步讨论。如果偏置在去除步骤期间被施加,则它可以是恒定的或脉冲的。脉冲偏置可以以高频率(例如,1kHz)施加。
本文还提供了通过控制离子能量相对于较硬的材料选择性地蚀刻GaN和其他III-V族化合物材料的方法。离子能量可通过任何适当的方法,例如通过控制偏置电压来控制。在一些实施方式中,可以得到无限大的选择比。图1D示出了GaN/AlGaN蚀刻选择比与偏置电压的函数关系。在25V的AlGaN阈值电压附近,GaN/AlGaN的选择比趋向无穷大。对于其他III-V族化合物,也可以利用阈值电压的差值,以实现蚀刻选择性。图1E示出了在制造GaN HEMT器件中的某些操作,包括GaN/AlGaN的异质结。相对于AlGaN选择性蚀刻GaN。执行GaN的ALE以提供无损伤的高选择性蚀刻。
由于化合物III-V族材料的较复杂的表面,因此,例如,就保持化学计量而言,化合物III-V族材料的ALE会比硅的ALE更有挑战性。对于许多应用而言,理想的是,使表面的化学计量成分(stoichiometry)与主体的化学计量成分几乎相同,以保持电子性能不退化。本文公开的方法的实施方式包括调节表面化学计量成分的III-V族材料的ALE。在一些实施方式中,蚀刻前,表面化学计量成分得以维持。在一些实施方式中,该方法包括使用Cl2与含硼化合物(如BCl3)的混合物。不受具体理论的约束,相信,加入硼可以防止不希望有的表面氧化。然而,过多的硼会导致沉积。在一些实施方式中,Cl2/BCl3混合物有介于0.5%和10%(体积)之间的BCl3,例如,约5%的BCl3。加入的BCl3可改善表面粗糙度。
装置
现在描述在某些实施方式中可适用于原子层蚀刻(ALE)操作的感应耦合等离子体(ICP)反应器。这样的ICP反应器还描述在2013年12月10日提交的并且名称为“IMAGE REVERSAL WITH AHM GAP FILL FORMULTIPLE PATTERNING”的美国专利申请公开No.2014/0170853中,其在此通过引用整体并入本文并用于所有目的。尽管本文描述了ICP反应器,但是应该理解的是,在一些实施方式中也可以使用电容耦合等离子体反应器。
图2示意性地示出了适于实施本文的某些实施方式的感应耦合等离子体装置200的横截面图,其示例是KiyoTM反应器,由加利福尼亚州弗里蒙特的Lam Research Corp.生产。所述感应耦合等离子体装置200包括由室壁201和窗211结构上限定的总处理室。室壁201可以由不锈钢或铝制成。窗211可以由石英或其他介电材料制成。任选的内部等离子体栅格250将总处理室分为上副室202和下副室203。在大多数实施方式中,等离子体栅格250可以被移除,从而利用由上副室202和下副室203组成的室空间。卡盘217定位在下副室203中在底部内表面附近。卡盘217被配置成接收和保持在其上执行蚀刻和沉积工艺的半导体晶片219。卡盘217可以是当晶片219存在时用于支撑晶片219的静电卡盘。在一些实施方式中,边缘环(未示出)围绕卡盘217,并具有大致与晶片219(当晶片219存在于卡盘217上方时)的顶面在同一平面的上表面。卡盘217还包括能夹紧和放松晶片的静电电极。可设置滤波器和DC钳位电源(未示出)用于此目的。也可以提供其他的控制系统以用于提升晶片219使其离开卡盘217。卡盘217可以用RF电源223充电。RF电源223通过连接件227被连接到匹配电路221。匹配电路221通过连接件225连接到卡盘217。以这种方式,RF电源223被连接到卡盘217上。
用于等离子体产生的元件包括位于窗211上方的线圈233。在一些实施方式中,所公开的实施方式中未使用线圈。线圈233由导电材料制成,并包括至少一整匝。在图2中所示的线圈233的例子包括三匝。线圈233的横截面用符号示出,具有“X”的线圈表示线圈旋转地延伸到页面内,而具有“●”的线圈表示线圈旋转地延伸出页面。用于等离子体产生的元件还包括被配置为提供RF功率至线圈233的RF电源241。一般地,RF电源241通过连接件245被连接到匹配电路239。匹配电路239通过连接件243连接到线圈233。以这种方式,RF电源241被连接到线圈233。可选的法拉第屏蔽件249被定位在线圈233和窗211之间。法拉第屏蔽件249以相对于线圈233成隔开的关系被保持。法拉第屏蔽件249被设置在窗211的正上方。线圈233、法拉第屏蔽件249和窗211各自被配置为基本上彼此平行。法拉第屏蔽件可以防止金属或其他物质沉积在等离子体室的电介质窗上。
处理气体(例如氯气、三氯化硼、氩气、氮气等)可以通过位于上室的一个或更多个主气体流入口260和/或通过一个或更多个侧气体流入口270流入处理室。同样,虽然未明确示出,但是类似的气流入口可用于向电容耦合等离子体处理室供应处理气体。真空泵,例如,一级或两级干式机械泵和/或涡轮分子泵240,可用于将处理气体从处理室抽出并维持处理室内的压强。例如,泵可用于在ALE清扫操作过程中排空室。阀控制的导管可用于使真空泵流体连接在处理室上,以便选择性地控制由真空泵提供的真空环境的应用。在操作等离子体处理过程中,这可以使用封闭环控制的流量限制装置例如节流阀(未示出)或钟摆阀(未示出)进行。同样,也可以使用受控地流体连接在电容耦合等离子体处理室上的真空泵和阀。
在装置的操作过程中,一种或多种处理气体可通过气体流入口260和/或270供给。在某些实施方式中,处理气体可以仅通过主气体流入口260供给,或者仅通过侧气体流入口270供给。在一些情况下,在图中所示的气体流入口可以由较复杂的气体流入口替代,例如,由一个或多个喷头替代。法拉第屏蔽件249和/或任选的格栅250可以包括使处理气体能输送至室的内部通道和孔。法拉第屏蔽件249和/或任选的格栅250中的任一者或两者可以作为用于输送处理气体的喷头。在一些实施方式中,液体蒸发和输送系统可位于室的上游,使得一旦液体反应物被蒸发,那么蒸发的反应物通过气体流入口260和/或270引入到室中。示例性液体反应物包括SiCl4
射频功率从RF电源241供给到线圈233以使RF电流流过线圈233。流过线圈233的RF电流产生围绕线圈233的电磁场。电磁场在上副室202内产生感应电流。所生成的各种离子和自由基与晶片219的物理和化学相互作用选择性地蚀刻晶片上的层的特征。
如果使用等离子体网格使得存在上副室202和下副室203二者,则感应电流作用于存在于上副室202中的气体上以在上副室202中产生电子-离子等离子体。任选的内部等离子体网格250限制下副室203中的热电子的量。在一些实施方式中,设计和操作所述装置使得存在于下副室203中的等离子体是离子-离子等离子体。
上部的电子-离子等离子体和下部的离子-离子等离子体二者都可包含阳离子和阴离子,通过离子-离子等离子体将具有更大的阴离子:阳离子的比率。挥发性的蚀刻和/或沉积副产物可通过端口222从下副室203去除。本文所公开的卡盘217可在约10℃和约250℃之间的升高的温度范围内操作。该温度将取决于工艺操作和具体配方。
室201当安装在干净的房间或制造厂中时可连接在设施(未示出)上。设施包括管道,管道提供处理气体、真空、温度控制和环境微粒控制。这些设施当安装在目标制造厂时连接在室上。此外,室可耦合在传送室上,从而允许使用典型的自动化由机械手进出室传送半导体晶片。
在一些实施方式中,系统控制器230(其可包括一个或更多个物理或逻辑控制器)控制处理室的一些或所有操作。系统控制器230可包括一个或更多个存储器装置和一个或更多个处理器。在一些实施方式中,所述装置包括当进行所公开的实施方式时用于控制流速和持续时间的开关系统。在一些实施方式中,所述装置可具有高达约500ms或高达约750ms的切换时间。切换时间可取决于流动化学品、配方选择、反应器的体系结构和其他因素。
在一些实现方式中,控制器230是系统的一部分,该系统可以是上述实例的一部分。这种系统可以包括半导体处理装置,其包括一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种元件或子部件。根据处理参数和/或系统的类型,控制器230可以被编程以控制本文公开的任何工艺,包括控制处理气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的装载锁。
宽泛地讲,控制器可以被定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式传送到控制器的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定过程的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的用于在制备晶片的一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实现方式中,控制器230可以是与系统集成、耦合或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦合。例如,控制器可以在“云”中或者是fab主机系统的全部或一部分,其可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括能够输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机传送到系统。在一些实例中,控制器230接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器230可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实例可以是与结合以控制室上工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
在非限制性的条件下,示例的系统可以包括等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、ALD室或模块、ALE室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其他的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器可以与一个或多个其他的工具电路或模块、其他工具组件、群集工具、其他工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
图3描述了半导体工艺集群结构,其中各个模块与真空传送模块338(VTM)接口。在多个存储装置和处理模块之间“传送”晶片的传送模块装置可以被称为“集群工具架构”系统。气密室330(也被称为装载锁、传送模块或气密室模块330)在VTM 338示出具有四个处理模块320a-320d,其可以被单独优化以执行各种制造工艺。例如,处理模块320a-320d可以被实现以执行衬底蚀刻、沉积、离子注入、晶片清洁、溅射和/或其他半导体工艺。例如,在一些实施方式中,ALD工艺和ALE工艺可以在相同的模块中进行。在一些实施方式中,ALD和ALE在相同工具中的不同模块中进行。衬底蚀刻处理模块中的一个或多个(320a-320d中的任意一个)可以如本文所公开的被实施,即,用于沉积共形膜,选择性地通过ALD沉积膜,蚀刻图案,以及根据所公开的实施方式所述的其他合适的功能。气密室330和处理模块320a-320d中的每一个可以被称为“站”。每个站具有将站与VTM 338连接的小面336(facet 336)。在每个小面内部,传感器1-18被用于在晶片326在各站之间移动时检测晶片326的通过。
机械手322将晶片326在站之间传输。在一个实施方式中,机械手322具有一个臂,而在另一个实施方式中,机械手322具有两个臂,其中每个臂具有端部执行器324以拾取晶片(例如晶片326)以供运输。在大气传送模块(ATM)340中,前端机械手332用于从在负载端口模块(LPM)342中的晶片盒或前开式晶片盒(FOUP)334传送晶片326到气密室330。处理模块320内的模块中心328是用于放置晶片326的一个位置。在ATM 340中的对准器344用于对齐晶片。
在一示例性的处理方法中,晶片被放置在LPM 342中的多个FOUP 334中的一个中。前端机械手332将晶片从FOUP 334传送到对准器344,其允许晶片326在被蚀刻或处理之前适当地居中。对准后,晶片326由前端机械手332移动到气密室330中。由于气密室模块具有匹配ATM和VTM之间的环境的能力,因此晶片326能够在两种压强环境之间移动而不被破坏。从气密室模块330,晶片通过机械手322移动通过VTM 338并进入处理模块320a-320d中的一个。为了实现这种晶片移动,机械手322在其每一个臂上使用端部执行器324。一旦晶片326已被处理,则通过机械手322将其从处理模块320a-320d移动到气密室模块330中。晶片326可以从这里通过前端机械手322移动到多个FOUP 334中的一个中或移动到对准器344。
应当注意的是,控制晶片运动的计算机对于集群架构可以是本地的,或者它可以位于在制造工厂中的集群架构的外部,或在远程位置并通过网络连接到集群架构。如上参照图2所述的控制器可以用图3中的工具实施。
试验
GaN的覆盖层通过ALE蚀刻。ALE化学过程是Cl2修改和Ar去除,在去除期间,使用50伏的偏置。使用重复的ALE循环蚀刻22纳米的GaN。相比于蚀刻前,ALE蚀刻后RMS粗糙度略有改善。
将GaN ALE(Cl2/Ar)与仅Cl蚀刻GaN和仅Ar溅射蚀刻GaN相比较。仅Cl蚀刻导致没有发生GaN蚀刻(100个循环)。ALE蚀刻导致比单独Ar溅射几乎大一个数量级的蚀刻。这一结果表明,在ALE处理中存在强的协同作用,并支持上述ALE机制正在实现。
AlGaN表面平滑度在ALE处理后使用多种Cl2/BCl3的混合物测量。使用具有百分比为0%/100%、5%/95%、15%/85%和100%/0%的BCl3/Cl2的混合物。利用BCl3浓度改善粗糙度。
虽然为了清楚理解的目的已经相当详细地描述了前述的实施方式,但是显而易见的是,可在所附权利要求书的范围内实施某些变化和修改。应当注意的是,具有实施本发明实施方式的工艺、系统和装置的许多替代方式。因此,本发明的实施方式应被视为是说明性的而不是限制性的,并且所述实施方式并不限于本文所给出的细节。

Claims (10)

1.一种蚀刻衬底上的III-V族材料的方法,所述方法包括:
a)在没有给所述衬底施加偏置的情况下使所述III-V族材料暴露于含氯等离子体以形成经修改的III-V族表面层;以及
b)在使所述经修改的III-V族表面层暴露于惰性等离子体的同时,给所述衬底施加偏置电压,从而去除所述经修改的III-V族表面层。
2.根据权利要求1所述的方法,其还包括:重复(a)和(b)一次或多次。
3.根据权利要求1所述的方法,其中所述偏置电压处于使得所述去除是在自限状态的电平。
4.根据权利要求1所述的方法,其中所述含氯等离子体从包含含硼化合物的处理气体产生,其中所述处理气体中的约0.5%至10%(体积)是所述含硼化合物。
5.根据权利要求1所述的方法,其还包括执行(a)和(b)的一个或多个额外的循环,其中,所述偏置电压在所述一个或多个额外的循环期间下降。
6.根据权利要求1所述的方法,其中所述III-V族材料为GaN。
7.根据权利要求6所述的方法,其中GaN是在不去除下层的情况下去除的。
8.根据权利要求7所述的方法,其中所述下层是AlGaN。
9.根据权利要求1所述的方法,其中所述偏置电压为介于约20伏和120伏之间。
10.根据权利要求1所述的方法,其中所述偏置电压为介于约50伏和120伏之间。
CN201610393976.9A 2015-06-05 2016-06-06 GaN和其他III-V族材料的原子层蚀刻 Pending CN106252222A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562171570P 2015-06-05 2015-06-05
US62/171,570 2015-06-05

Publications (1)

Publication Number Publication Date
CN106252222A true CN106252222A (zh) 2016-12-21

Family

ID=57452029

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610393976.9A Pending CN106252222A (zh) 2015-06-05 2016-06-06 GaN和其他III-V族材料的原子层蚀刻

Country Status (6)

Country Link
US (1) US10056264B2 (zh)
JP (1) JP2017022368A (zh)
KR (3) KR102399578B1 (zh)
CN (1) CN106252222A (zh)
SG (1) SG10201604524PA (zh)
TW (3) TWI808473B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110337709A (zh) * 2017-02-27 2019-10-15 朗姆研究公司 原子层蚀刻中方向性的控制
CN110402480A (zh) * 2017-03-10 2019-11-01 国立大学法人名古屋大学 蚀刻装置

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
TWI808473B (zh) * 2015-06-05 2023-07-11 美商蘭姆研究公司 GaN及其他Ⅲ-Ⅴ族材料之原子層蝕刻
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9984858B2 (en) * 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
WO2017052905A1 (en) * 2015-09-22 2017-03-30 Applied Materials, Inc. Apparatus and method for selective deposition
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
KR102410571B1 (ko) 2016-12-09 2022-06-22 에이에스엠 아이피 홀딩 비.브이. 열적 원자층 식각 공정
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10283319B2 (en) 2016-12-22 2019-05-07 Asm Ip Holding B.V. Atomic layer etching processes
KR20180093798A (ko) * 2017-02-13 2018-08-22 램 리써치 코포레이션 에어 갭들을 생성하는 방법
US10546748B2 (en) * 2017-02-17 2020-01-28 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10494715B2 (en) 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US11121229B2 (en) * 2017-12-28 2021-09-14 Vanguard International Semiconductor Corporation Methods of fabricating semiconductor structures and high electron mobility transistors
KR101984084B1 (ko) * 2018-01-25 2019-05-30 주식회사 썸백 엘이디 제조용 부품의 질화갈륨층 제거방법
US11987876B2 (en) 2018-03-19 2024-05-21 Lam Research Corporation Chamfer-less via integration scheme
CN111937122A (zh) 2018-03-30 2020-11-13 朗姆研究公司 难熔金属和其他高表面结合能材料的原子层蚀刻和平滑化
US11056347B2 (en) * 2019-05-28 2021-07-06 Tokyo Electron Limited Method for dry etching compound materials
JP2021019201A (ja) 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
CN116034456A (zh) 2020-09-03 2023-04-28 应用材料公司 选择性各向异性金属蚀刻
FR3113769B1 (fr) * 2020-09-03 2023-03-24 Commissariat Energie Atomique Procede de gravure d’une couche de materiau iii-n
US20230395695A1 (en) * 2022-06-07 2023-12-07 Wolfspeed, Inc. Method for reducing parasitic capacitance and increasing peak transconductance while maintaining on-state resistance and related devices

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110244688A1 (en) * 2010-03-31 2011-10-06 Kabushiki Kaisha Toshiba Method of producing mask
CN102934208A (zh) * 2009-12-15 2013-02-13 休斯敦大学体系 用脉冲等离子体进行的原子层蚀刻
CN104040021A (zh) * 2011-12-28 2014-09-10 朗姆研究公司 等离子体处理系统中的混合模式脉冲蚀刻

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5482802A (en) 1993-11-24 1996-01-09 At&T Corp. Material removal with focused particle beams
JP3331819B2 (ja) * 1995-06-30 2002-10-07 ソニー株式会社 化合物半導体のプラズマエッチング方法
US5527425A (en) * 1995-07-21 1996-06-18 At&T Corp. Method of making in-containing III/V semiconductor devices
JPH0945670A (ja) * 1995-07-29 1997-02-14 Hewlett Packard Co <Hp> Iii族−n系結晶の気相エッチング方法および再成長方法
US5789265A (en) * 1995-08-31 1998-08-04 Kabushiki Kaisha Toshiba Method of manufacturing blue light-emitting device by using BCL3 and CL2
SE9903213D0 (sv) * 1999-06-21 1999-09-10 Carl Fredrik Carlstroem Dry etching process of compound semiconductor materials
US7589017B2 (en) 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US20030015704A1 (en) * 2001-07-23 2003-01-23 Motorola, Inc. Structure and process for fabricating semiconductor structures and devices utilizing the formation of a compliant substrate for materials used to form the same including intermediate surface cleaning
US7540920B2 (en) * 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US7008877B2 (en) 2003-05-05 2006-03-07 Unaxis Usa Inc. Etching of chromium layers on photomasks utilizing high density plasma and low frequency RF bias
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US8288828B2 (en) 2004-09-09 2012-10-16 International Business Machines Corporation Via contact structure having dual silicide layers
US8257987B2 (en) * 2006-02-02 2012-09-04 Trustees Of Boston University Planarization of GaN by photoresist technique using an inductively coupled plasma
US9230818B2 (en) * 2006-02-02 2016-01-05 Trustees Of Boston University Planarization of GaN by photoresist technique using an inductively coupled plasma
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US9064960B2 (en) * 2007-01-31 2015-06-23 Applied Materials, Inc. Selective epitaxy process control
US7772114B2 (en) 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
KR20100122485A (ko) * 2008-02-08 2010-11-22 일루미텍스, 인크. 발광체층 쉐이핑을 위한 시스템 및 방법
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
KR101629193B1 (ko) * 2008-06-26 2016-06-10 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Soi 기판의 제작 방법
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
JP4305574B1 (ja) * 2009-01-14 2009-07-29 住友電気工業株式会社 Iii族窒化物基板、それを備える半導体デバイス、及び、表面処理されたiii族窒化物基板を製造する方法
US8404561B2 (en) 2009-05-18 2013-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating an isolation structure
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8664070B2 (en) 2009-12-21 2014-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. High temperature gate replacement process
KR101080604B1 (ko) 2010-02-09 2011-11-04 성균관대학교산학협력단 원자층 식각 장치 및 이를 이용한 식각 방법
WO2012050888A2 (en) * 2010-09-28 2012-04-19 North Carolina State University Gallium nitride based structures with embedded voids and methods for their fabrication
US8124505B1 (en) * 2010-10-21 2012-02-28 Hrl Laboratories, Llc Two stage plasma etching method for enhancement mode GaN HFET
US20130099277A1 (en) * 2011-10-25 2013-04-25 The Regents Of The University Of California SELECTIVE DRY ETCHING OF N-FACE (Al,In,Ga)N HETEROSTRUCTURES
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US20130313561A1 (en) * 2012-05-25 2013-11-28 Triquint Semiconductor, Inc. Group iii-nitride transistor with charge-inducing layer
KR20150092172A (ko) * 2012-11-16 2015-08-12 메사추세츠 인스티튜트 오브 테크놀로지 반도체 구조물, 및 리세스 형성 에칭 수법
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
FR3000600B1 (fr) * 2012-12-28 2018-04-20 Commissariat Energie Atomique Procede microelectronique de gravure d'une couche
US20140335666A1 (en) * 2013-05-13 2014-11-13 The Government Of The United States Of America, As Represented By The Secretary Of The Navy Growth of High-Performance III-Nitride Transistor Passivation Layer for GaN Electronics
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
FR3017241B1 (fr) * 2014-01-31 2017-08-25 Commissariat Energie Atomique Procede de gravure plasma
KR101745686B1 (ko) 2014-07-10 2017-06-12 도쿄엘렉트론가부시키가이샤 기판의 고정밀 에칭을 위한 방법
WO2016033087A1 (en) 2014-08-28 2016-03-03 Cardiac Pacemakers, Inc. Display of temporally aligned heart information from separate implantable medical devices on an extracorporeal display
US9362131B2 (en) 2014-08-29 2016-06-07 Applied Materials, Inc. Fast atomic layer etch process using an electron beam
US9478433B1 (en) * 2015-03-30 2016-10-25 Applied Materials, Inc. Cyclic spacer etching process with improved profile control
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
TWI808473B (zh) * 2015-06-05 2023-07-11 美商蘭姆研究公司 GaN及其他Ⅲ-Ⅴ族材料之原子層蝕刻
US9984858B2 (en) * 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102934208A (zh) * 2009-12-15 2013-02-13 休斯敦大学体系 用脉冲等离子体进行的原子层蚀刻
US20110244688A1 (en) * 2010-03-31 2011-10-06 Kabushiki Kaisha Toshiba Method of producing mask
CN104040021A (zh) * 2011-12-28 2014-09-10 朗姆研究公司 等离子体处理系统中的混合模式脉冲蚀刻

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110337709A (zh) * 2017-02-27 2019-10-15 朗姆研究公司 原子层蚀刻中方向性的控制
CN110337709B (zh) * 2017-02-27 2024-05-28 朗姆研究公司 原子层蚀刻中方向性的控制
CN110402480A (zh) * 2017-03-10 2019-11-01 国立大学法人名古屋大学 蚀刻装置

Also Published As

Publication number Publication date
KR102652921B1 (ko) 2024-03-28
TW201715738A (zh) 2017-05-01
TWI750120B (zh) 2021-12-21
KR20220064949A (ko) 2022-05-19
JP2017022368A (ja) 2017-01-26
SG10201604524PA (en) 2017-01-27
KR20240041906A (ko) 2024-04-01
TW202336855A (zh) 2023-09-16
KR20160143553A (ko) 2016-12-14
TWI808473B (zh) 2023-07-11
US10056264B2 (en) 2018-08-21
KR102399578B1 (ko) 2022-05-17
TW202209483A (zh) 2022-03-01
US20160358782A1 (en) 2016-12-08

Similar Documents

Publication Publication Date Title
CN106252222A (zh) GaN和其他III-V族材料的原子层蚀刻
TWI695412B (zh) 蝕刻及平滑化基板表面的方法
CN106067442B (zh) 钴深蚀刻
KR102504770B1 (ko) Ale 및 선택적인 증착을 사용하여 기판들 에칭
US11742212B2 (en) Directional deposition in etch chamber
CN106449360A (zh) 用于增强钨沉积填充的钨的原子层蚀刻
CN105789027A (zh) 集成原子级工艺:ald(原子层沉积)和ale(原子层蚀刻)
CN108735675A (zh) 氧化硅的选择性沉积
US20230093011A1 (en) Atomic layer etching of molybdenum
CN107644835A (zh) 用于增强自底向上特征填充的原子层蚀刻
TW201801175A (zh) 原子層蝕刻三維結構:水平與垂直表面上之Si、SiGe及Ge平坦度
CN107045977A (zh) 连续等离子体中的原子层蚀刻
US20180308687A1 (en) Euv photopatterning and selective deposition for negative pattern mask
US11450513B2 (en) Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20161221