CN104040021A - 等离子体处理系统中的混合模式脉冲蚀刻 - Google Patents
等离子体处理系统中的混合模式脉冲蚀刻 Download PDFInfo
- Publication number
- CN104040021A CN104040021A CN201280065464.XA CN201280065464A CN104040021A CN 104040021 A CN104040021 A CN 104040021A CN 201280065464 A CN201280065464 A CN 201280065464A CN 104040021 A CN104040021 A CN 104040021A
- Authority
- CN
- China
- Prior art keywords
- mmp
- during
- reaction
- plasma
- pulse
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32137—Radio frequency generated discharge controlling of the discharge by modulation of energy
- H01J37/32146—Amplitude modulation, includes pulsing
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32137—Radio frequency generated discharge controlling of the discharge by modulation of energy
- H01J37/32155—Frequency modulation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
- H01J37/32449—Gas control, e.g. control of the gas flow
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/334—Etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32174—Circuits specially adapted for controlling the RF discharge
Landscapes
- Physics & Mathematics (AREA)
- Engineering & Computer Science (AREA)
- Plasma & Fusion (AREA)
- Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- Drying Of Semiconductors (AREA)
- Plasma Technology (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
本发明提供了一种用于处理在室中衬底的方法,该室具有至少一个等离子体发生源、用于提供反应性气体进入该室的内部区域的反应性气体源和用于提供非反应性气体进入所述内部区域的非反应性气体源。该方法包括执行混合模式脉冲(MMP)准备阶段,包括使反应性气体流入所述内部区域以及形成第一等离子体以处理被放置在工件夹具上的衬底。该方法还包括执行MMP反应阶段,包括使至少非反应性气体流入所述内部区域以及形成第二等离子体以处理所述衬底,第二等离子体是由在所述MMP反应阶段期间的反应性气体流形成,在所述MMP反应阶段期间的反应性气体流少于在所述MMP准备阶段期间的反应性气体流。多次执行所述方法、步骤。
Description
优先权声明
本申请根据35USC.119(e)要求名称为“等离子体处理系统中的混合模式脉冲蚀刻”、美国申请号为61/581,054,由Keren JacobsKanarik于2011年12月28日递交的共同拥有的临时专利申请的优先权,该专利申请的全文通过参考并入此处。
背景技术
等离子体处理系统长期以来一直被用于处理衬底(例如:晶片或平板显示器或LCD显示器)以形成集成电路或其它电子产品。通用的等离子体处理系统可以包括电容耦合等离子体处理系统(CCP)或者电感耦合等离子体处理系统(ICP)等。
一般而言,等离子体衬底处理涉及离子和自由基(也被称为中性粒子)的平衡。随着电子设备变得更小和/或更复杂,诸如选择性、均匀性、高深宽比、深宽依赖蚀刻(aspect dependent etching)等蚀刻要求都提高了。虽然已经可以通过改变诸如压力、RF偏置和功率等一些参数对当前一代产品进行蚀刻,但下一代更小和/或更复杂的产品需要不同的蚀刻能力。现有技术中离子和自由基不能更有效地去耦并且不能更独立地受控的事实使在某些等离子体处理系统中执行某些蚀刻处理以制造这些更小和/或更复杂的电子设备受到限制并且在某些情况下使其变得不能实行。
在现有技术中,为了获得在蚀刻过程中的不同时间调节离子-自由基比率的等离子体条件,已经作了尝试。在常规的方案中,RF信号源可以是脉冲的(例如:导通和截止),以便在脉冲周期的一个相(例如脉冲的导通相)期间获得具有正常离子与中性粒子通量的等离子体并且在该脉冲周期的另一个相期间(例如在脉冲的截止相期间)获得具有较低离子与中性粒子通量的等离子体。众所周知,源RF信号可以与偏置RF信号同步脉冲。
然而,已注意到,尽管现有技术中的脉冲在一定程度上导致了在不同时间点正常离子与中性粒子通量比率的等离子体的交替相并且为一些处理开辟了工作窗,但更大的工作窗仍然是需要的。
附图说明
本发明通过附图图形以实施例的方式说明,而不是以限制的方式说明,并且附图中类似的附图标记指代类似的元件,并且其中:
图1根据本发明的一个或者更多的实施方式,示出了输入气体(诸如反应性气体和/或惰性气体)和源RF信号都被施加脉冲(虽然在不同的脉冲频率)的组合脉冲方案的实施例。
图2根据本发明的一个或者更多的实施方式示出了组合脉冲方案的另一种实施例。
图3根据本发明的一个或者更多的实施方式示出了组合脉冲方案的又一种实施例。
图4根据本发明的一个或者更多的实施方式示出了组合脉冲方案的其它可能的组合。
图5根据本发明的一个或者更多的实施方式示出了用于执行组合脉冲的步骤。
图6根据本发明的一个或者更多的实施方式示出了用于执行气体脉冲的步骤。
图7A和图7B根据本发明的实施方式说明了结合图6所讨论的气体脉冲方案的变化的不同实施例。
图8根据本发明的实施方式示出了用于硅蚀刻实施例的概念的MMP蚀刻周期,每个周期至少包括MMP准备阶段和MMP反应阶段。
图9根据本发明的实施方式示出了MMP准备阶段中存在一些离子的其它的概念的MMP蚀刻周期。
图10根据本发明的实施方式示出了用于在生产ICP室中进行MMP蚀刻的方法。
具体实施方式
现在将参照如附图中所示的本发明的一些实施方式对本发明进行详细说明。在下面的说明中,为了使本发明能被全面理解,阐述了若干具体的细节。然而,显而易见的是,本领域技术人员可以在没有这些具体细节中的一些或全部的情况下实施本发明。在其他情况下,为了避免不必要地使本发明难以理解,未对公知的处理步骤和/或结构进行详细说明。
下文中描述了各种实施方式,包括方法和技术。应当牢记的是,本发明还可能包括存储有用于执行本发明的技术的实施方式的计算机可读指令的计算机可读介质的制品。所述计算机可读介质可以包括,例如:用于存储计算机可读代码的半导体、磁、光磁、光学或其它形式的计算机可读介质。进一步地,本发明还包括用于执行本发明实施方式的装置。这种装置可以包括用来执行有关本发明的实施方式的任务的专用的和/或可编程的电路。这种的装置的实施例包括通用计算机和/或适当编程的专用的计算装置,并且可以包括计算机/计算装置与适用于有关本发明的实施方式的各种任务的专用的/可编程的电路。
本发明的实施方式涉及使用第一脉冲频率向输入气体(例如:反应性气体和/或惰性气体)施加脉冲并使用不同的第二脉冲频率向该源RF信号施加脉冲的组合脉冲方案。尽管在本文的实施例中采用电感耦合等离子体处理系统和电感RF电源进行讨论,但应该理解的是,本发明的实施方式同样适用于电容耦合等离子体处理系统和电容RF电源。
在一个或更多的实施方式中,在电感耦合等离子体处理系统中,以较慢的脉冲频率对输入气体施加脉冲,且以不同的、较快的脉冲频率对电感源RF信号施加脉冲。例如:若该电感源RF信号是在13.56MHz,则可以对该电感源RF信号以例如100Hz的脉冲频率施加脉冲,而对该气体以诸如1Hz的不同的脉冲频率施加脉冲。
因此,在本实施例中完整的气体脉冲周期是1s。如果气体脉冲占空比是70%,则该气体可以在所述1s的气体脉冲周期中的70%是导通的,并在所述1s的气体脉冲周期的30%是截止的。由于所述源RF信号脉冲频率是100Hz,所以完整的RF信号脉冲周期是10ms。若RF脉冲占空比是40%,RF导通相(当所述13.56MHz信号导通时)是所述10ms RF脉冲周期的40%并且RF截止相(当所述13.56MHz信号是截止的时)是所述10ms RF脉冲周期的60%。
在一个或更多的实施方式中,可以以两个不同频率对电感源RF信号施加脉冲而所述气体被以它自己的脉冲频率施加脉冲。例如:上述的13.56MHz RF信号不仅可以被以100Hz的频率f1施加脉冲,而且可在频率f1的导通相期间被以不同的、更高频率施加脉冲。例如:若该RF脉冲占空比是所述f1脉冲的40%,则所述f1脉冲的导通相是10ms的40%或4ms。然而,在f1的4ms导通相期间,该RF信号也可被以不同的、更高的频率f2(比如以400Hz)施加脉冲。
本发明的实施方式预计气体脉冲和RF脉冲可以是同步的(即所述脉冲信号的上升沿和/或下降沿相匹配)或者可以是异步的。所述占空比可以是恒定的,或者可以以独立于其它脉冲频率的方式或者依赖于其它脉冲频率的方式变化。
在一个或更多的实施方式中,可以采用频率啁啾。例如:RF信号可以以周期性或非周期性的方式改变它的基本频率,以便可以在任何所述脉冲周期(例如:任何RF信号脉冲周期或者气体脉冲周期)的相或者任何所述脉冲周期的相的部分采用不同的频率(例如:60MHz与13.56MHz)。同样地,若需要,该气体脉冲频率可以随时间推移以周期性或非周期性的方式改变。
在一个或更多的实施方式中,上述的气体脉冲和源RF脉冲可以与一个或更多个脉冲或者另一参数的变量(诸如偏置RF信号的脉冲、DC偏置到电极的脉冲、多RF频率在不同脉冲频率下的脉冲、任何参数的相的改变,等等)组合。
参考下面的图形和讨论能够更好地理解本发明的实施方式的特征和优点。
图1根据本发明的实施方式示出了输入气体(诸如反应性气体和/或惰性气体)和源RF信号都被施加脉冲(但在不同的脉冲频率下)的组合脉冲方案的实施例。在图1的实施例中,所述输入气体102被以约为2s/脉冲或者2MHz的气体脉冲频率(定义为1/Tgp,其中Tgp是所述气体脉冲的周期)施加脉冲。
13.56MHz的TCP源RF信号104被以RF脉冲频率(定义为1/Trfp,其中Trfp是所述RF脉冲的周期)施加脉冲。在此为了说明RF脉冲的构思,所述RF信号在时期120期间是导通的(例如13.56MHz RF信号)并且在时期122期间是截止的。每个气体脉冲频率和每个RF脉冲频率都可以有自己的占空比(定义为脉冲导通时间除以脉冲总周期)。没有任何脉冲信号的占空比必须是50%的要求,并且占空比可以为特殊处理的需要而有所不同。
在一个实施方式中,所述气体脉冲和所述RF信号脉冲是用相同的占空比。在另一个实施方式中,所述气体脉冲和所述RF信号脉冲是用独立可控的(以及可以是不同的)占空比以最大化粒度控制。在一个或更多的实施方式中,所述气体脉冲信号和所述RF脉冲信号的上升沿和/或下降沿可以是同步的。在一个或更多的实施方式中,所述气体脉冲信号和所述RF脉冲信号的上升沿和/或下降沿可以是异步的。
在图2中,所述输入气体202被以它自己的气体脉冲频率施加脉冲。然而,所述源RF信号204可被以两种不同的频率施加脉冲,而所述气体被以它自己的气体脉冲频率(定义为1/Tgp,其中Tgp是所述气体脉冲的周期)施加脉冲。例如:所述RF信号不仅可以被以频率f1(从图中定义为1/Tf1)施加脉冲,而且还可以在f1脉冲的导通相期间被以不同的、更高频率施加脉冲。例如:在这个f1脉冲的导通相期间,所述RF信号可以被以不同的脉冲频率f2(从图中定义为1/Tf2)施加脉冲。
在图3中,输入气体302被以它自己的气体脉冲频率施加脉冲。然而,源RF信号304可被以三种不同的频率施加脉冲,而所述气体被以它自己的气体脉冲频率施加脉冲。例如:所述RF信号不仅可以被以频率f1(从图中定义为1/Tf1)施加脉冲,而且还可以在f1脉冲的导通相期间被以不同的、更高频率施加脉冲。因此,在这个f1脉冲的导通相期间,所述RF信号可以被以不同的脉冲频率f2(从图中定义为1/Tf2)施加脉冲。在所述f1脉冲的截止相期间,所述RF信号可以被以不同的脉冲频率f3(从图中定义为1/Tf3)施加脉冲。
此外或替代地,尽管在图1-3的实施例中示出的占空比是恒定的,但占空比可以以周期性或非周期性的方式以及独立于或依赖于所述脉冲信号(气体脉冲信号、或者RF脉冲信号、或者其它信号)之一的相的方式变化。进一步地,占空比的变化相对于任何脉冲信号(气体脉冲信号、或者RF脉冲信号、或者其它信号)之一的相而言可以是同步的或异步的。
在一个实施方式中,所述RF脉冲的占空比有利地在所述气体脉冲的导通相期间被设置为一个值(例如图1中的154),并且所述RF脉冲的占空比在所述气体脉冲的截止相期间被设置为另一个不同的值(例如图1中的156)。在优选的实施方式中,所述RF脉冲的占空比有利地在所述气体脉冲的导通相期间被设置为一个值(例如图1中的154)并且所述RF脉冲的占空比在所述气体脉冲的截止相期间被设置为一个较低的值(例如图1中的156)。可以预期,在这种RF脉冲占空比的实施方式中,所述占空比在所述气体脉冲的导通相较高并且在所述气体脉冲的截止相较低,这对某些蚀刻有利的。可以预期,这种RF脉冲占空比的变化,即所述占空比在所述气体脉冲的导通相较低并且在所述气体脉冲的截止相较高,对某些蚀刻有利的。作为本文所使用的术语,当信号被施加脉冲时,在该信号被施加脉冲期间该占空比不同于100%(即:脉冲和“一直导通”是两个不同的概念)。
此外或替代地,频率啁啾可以用于任何脉冲信号(气体脉冲信号、或者RF脉冲信号、或者其它信号)。在下面的图4中更详细地描述了与RF脉冲信号有关的频率啁啾。
在一个或更多的实施方式中,所述气体被施加脉冲使得在所述气体脉冲的导通相期间,反应性气体和惰性气体(诸如氩气、氦气、氙气、氪气、氖气等)是通过配方指定的。在所述气体脉冲的截止相期间,所述反应性气体和惰性气体两者中的至少一些可以被除去。在另一实施方式中,在所述气体脉冲的截止相期间,至少一些所述反应性气体被除去并且被惰性气体代替。在有利的实施方式中,在所述气体脉冲的截止相期间,至少一些所述反应性气体被除去并且被惰性气体代替以保持室压基本上相同。
在一个或更多的实施方式中,在所述气体脉冲的截止相期间,惰性气体占流入该室的总气体量的百分比可以从约X%到约100%变化,其中X是惰性气体占在所述气体脉冲的导通相期间所用的总气体量的百分数。在更优选的实施方式中,惰性气体占流入该室的总气体量的百分比可以从约1.1X到约100%变化,其中X是惰性气体占在所述气体脉冲的导通相期间所用的总气体量的百分数。在优选的实施方式中,惰性气体占流入该室的总气体量的百分比可以从约1.5X到约100%变化,其中X是惰性气体占在所述气体脉冲的导通相所用的总气体量的百分比。
所述气体脉冲频率在高端(上限频率)受所述气体在该室的停留时间的限制。这种停留时间的构思是本领域技术人员所公知的并且随室设计的不同而变化。例如:电容耦合室的停留时间范围通常在几十毫秒。在另一个实施例中,电感耦合室的停留时间范围通常在几十毫秒到几百毫秒。
在一个或更多的实施方式中,气体脉冲周期的范围可以从10毫秒到50秒,更优选地从50毫秒到约10秒以及优选地从约500毫秒到约5秒。
根据本发明的实施方式,所述源RF脉冲周期低于所述气体脉冲周期。所述RF脉冲频率在高端受所述RF信号的频率的限制(例如:若RF频率是13.56MHz,所述RF脉冲频率的上限将被确立为13.56MHz)。
图4根据本发明的一个或更多的实施方式示出了其它可能的组合方式。在图4中,另一个信号406(诸如偏置RF或者任何其它周期性的参数)可以连同气体脉冲信号402和源RF脉冲信号404(如以430和432所示的施加脉冲)一起被施加脉冲。向信号406所施加的脉冲可以与系统中任何其它信号同步或异步。
替代地或此外,另一种信号408(诸如DC偏置或者温度或者压力或者任何其它非周期性的参数)可以连同气体脉冲信号402和源RF脉冲信号404一起被施加脉冲。向信号408所施加的脉冲可以与系统中任何其它信号同步或异步。
替代地或此外,另一种信号410(诸如RF源或者RF偏置或者任何其它非周期性的参数)可以是啁啾的并且连同气体脉冲信号402一起被施加脉冲。例如:当对信号410施加脉冲时,信号410的频率可以根据信号410的相或者另一种信号(诸如气体脉冲信号)的相或者响应于来自工具控制计算机的控制信号的不同而变化。在图1的实施例中,附图标记422所指区域相对于与附图标记420有关的频率具有较高的频率。较低频率422的实施例可以是27MHz以及较高频率的实施例可以是60MHz。向信号410施加的脉冲和/或啁啾可以与系统中任何其它信号同步或异步。
图5根据本发明的实施方式示出了用于执行组合脉冲的步骤。例如:图5的步骤可以通过受控于一个或更多计算机的软件执行。所述软件可以存储在计算机可读介质中,该计算机可读介质在一个或更多的实施方式中包括非瞬态的计算机可读介质。
在步骤502中,在等离子体处理室中提供衬底。在步骤504中,在向RF源和输入气体两者都施加脉冲的同时处理所述衬底。步骤506示出了可选地向一个或多个其它信号(诸如RF偏置或另一种信号)施加脉冲。在步骤508中,在向所述RF源和所述输入气体施加脉冲时,可选地改变所述频率、占空比、气体百分比等等。
在一个或更多的实施方式中,随着周期周期性的重复,向所述气体施加脉冲使得每个周期有至少两个相。包括所述RF源信号的其它参数可以留下不被施加脉冲。在第一相期间,反应性气体(其可以包括多种不同的蚀刻和/或聚合物形成气体)与惰性气体(诸如一种或多种氩气、氦气、氙气、氪气、氖气等)的比率是在第一比率。在第二相期间,反应性气体与惰性气体的比率是在与所述第一比率不同的第二比率。如果在第二相期间流入所述室的反应性气体流量占总气体流量的比率降低(即,流入所述室的惰性气体占总气体的比率增加),则所述室在第二相期间含有的惰性气体百分比比在第一相期间含有的惰性气体百分比较高。在这种情况下,得到了离子主导的等离子体,其中所述等离子体的离子通量主要用惰性气体进行蚀刻来形成。
这不同于加入反应性气体以向气体施加脉冲的现有技术的情况。本发明的实施方式通过增加室中惰性气体的百分比而不增加流入室的反应性气体获得了富含离子的等离子体以改善蚀刻的均匀性、方向性和/或选择性。
在实施方式中,该比率不是通过加入任何反应性(诸如蚀刻剂或聚合物形成)气体进入所述室而改变,而是通过减少所述反应性气体流速而改变,使得惰性气体与反应性气体的流量百分比增加。在这个实施方式中,所述室压在第二相期间将会固有地降低。
替代地或此外,反应性气体与惰性气体的比率可以通过增加流入到所述室的惰性气体同时保持流入到所述室的反应性气体恒定来改变,或者通过减少反应性气体流(但不是通过增加流入到所述室的反应性气体)来改变。在实施方式中,增加惰性气体的流量,以抵消反应性气体流量的减少。在这个实施方式中,室压在第一相和第二相期间基本上保持相同。在另一个实施方式中,增加惰性气体的流量,但不足以完全抵消反应性气体流量的减少。在这个实施方式中,室压在第二相期间减少。在另一个实施方式中,增加惰性气体的流量,超过足以抵消反应性气体流量的减少。在这个实施方式中,室压在第二相期间增加。
如上所述,在一个或多个实施方式中,在所述气体脉冲的第二相期间,惰性气体占流入该室的总气体量的百分比可以从约X%到约100%变化,其中X是惰性气体占当该等离子体室被稳定用于处理时存在的总气体量的百分数或者是惰性气体占在第一相期间存在的总气体量的百分数。在更优选的实施方式中,流入该室的惰性气体占总气体量的百分比可以从约1.1X到约100%变化。在优选的实施方式中,流入该室的惰性气体占总气体量的百分比在第二相期间可以从约1.5X到约100%变化。
所述气体脉冲频率在高端(上限频率)受所述气体在该室的停留时间的限制。如上所述,例如,电容耦合室的停留时间范围通常在几十毫秒。在另一个实施例中,电感耦合室的停留时间范围通常在几十毫秒到几百毫秒。同样如上所述,在一个或更多的实施方式中,气体脉冲周期的范围可以从10毫秒到50秒,更优选地从50毫秒到约10秒以及优选地从约500毫秒到约5秒。
在一个或多个实施方式中,在周期性的脉冲的第二相期间加入的惰性气体,可以是相同的惰性气体或者是具有不同的化学成分和/或不同的组成的气体的不同的惰性气体。替代地或此外,气体脉冲频率的占空比可以从1%至99%变化。替代地或此外,气体脉冲频率在处理过程中可以啁啾,即可以改变。例如,气体脉冲可以使用具有40%占空比的5秒的气体脉冲周期,然后切换到具有或者相同的40%占空比或者不同的占空比的9秒的气体脉冲周期来完成。啁啾可以根据啁啾频率周期性的完成(诸如20秒啁啾频率,其中所述气体脉冲频率可以每20秒变化)。
图6根据本发明的一个或者更多的实施方式示出了用于执行气体脉冲的步骤。例如,图6中的步骤可以通过受控于一个或更多计算机的软件执行。所述软件可以存储在计算机可读介质中,该计算机可读介质在一个或更多的实施方式中包括非瞬态的计算机可读介质。
在步骤602中,在等离子体处理室中提供衬底。在步骤604中,在该室中产生等离子体并且使用惰性气体流量与反应性气体流量的基准比率稳定该等离子体。在步骤606中,在气体脉冲的一个相中增加惰性气体流量与反应性气体流量的比率而不增加流入该室的反应性气体。在步骤608中,相对于步骤606中惰性气体流量与反应性气体流量的比率,在气体脉冲的另一相中降低惰性气体流量与反应性气体流量的比率而不增加流入该室的反应性气体。在各种实施方式中,步骤608中惰性气体流量与反应性气体流量的比率可以与步骤604(稳定等离子体的步骤)中惰性气体流量与反应性气体流量的比率相同或者可以高于或者低于稳定步骤604中惰性气体流量与反应性气体流量的比率。在步骤610中,处理衬底同时通过具有上述的惰性-反应性流量比率以步骤606和步骤608中的比率周期性地波动向气体施加脉冲。
图7A和图7B根据本发明的实施方式说明了结合图6所讨论的气体脉冲方案的变化的不同实施例。在图7A的实施例中,情况A、C、D和E代表各种惰性气体与反应性气体的比率。例如,在情况A中,惰性气体(Ⅰ)与反应性气体(R)的比率为3:7。例如,在情况B中,惰性气体与反应性气体的比率为8:1。例如,在情况C中,惰性气体与反应性气体的比率为1:9。例如,在情况D中,流入该室的气体基本上全部是惰性气体。尽管实施例给出了比率值,但是比率的精确值仅仅是说明性的,关键点是这些情况相对于彼此都具有不同的比率。
在图7B中,在优选的实施方式中,示例性的脉冲702可以是ADAD,其中该气体脉冲可以在图7A中的情况A与情况D之间周期性地波动,并且重复。
另一个示例性的脉冲704可以是ABABAB/ADAD/ABABAB/ADAD,其中气体脉冲可以在图7A中的情况A和情况B之间周期性地波动,然后在图7A中的情况A和情况D之间周期性地波动,然后返回到图7A中的情况A和情况B之间周期性地波动,并且重复。
另一个示例性的脉冲706可以是ABABAB/ACAC/ABABAB/ACAC,其中气体脉冲可以在图7A中的情况A和情况B之间周期性地波动,然后在图7A中的情况A和情况D之间周期性地波动,然后返回到图7A中的情况A和情况B之间周期性地波动,并且重复。
另一个示例性的脉冲708可以是ABABAB/CDCD/ABABAB/CDCD,其中气体脉冲可以在图7A中的情况A和情况B之间周期性地波动,然后在图7A中的情况C和情况D之间周期性地波动,然后返回到图7A中的情况A和情况B之间周期性地波动,并且重复。
另一个示例性的脉冲710可以是ABABAB/CDCD/ADAD/ABABAB/CDCD/ADAD,其中气体脉冲可以在图7A中的情况A和情况B之间周期性地波动,然后在图7A中的情况C和情况D之间周期性地波动,然后在图7A中的情况A和情况D之间周期性地波动,然后返回到图7A中的情况A和情况B之间周期性地波动,并且重复。
其它的实施例可以包括诸如ABAB/CDCD/ADAD/ACAC的4个相,并且重复。复杂的脉冲对涉及例如在原位蚀刻然后清洁或者多步刻蚀等的处理是非常有利的。
在另一个实施方式中,图6、图7A和图7B中的气体脉冲可以与供给到供电电极的RF偏置信号的异步或同步脉冲相结合。在实施例中,当在气体脉冲周期的一个相中向气体施加脉冲到高的惰性气体百分比或100%惰性气体百分比或接近100%的惰性气体百分比时,向RF偏置信号施加高脉冲。当在气体脉冲周期的另一个相中向气体施加脉冲到较低的惰性气体百分比时,向RF偏置信号施加低或零脉冲。在各种实施方式中,RF偏置信号的脉冲频率相对于气体脉冲的脉冲频率可以是相同的或者是不同的。在各种实施方式中,RF偏置信号的占空比相对于气体脉冲的占空比可以是相同的或者是不同的。若需要,RF偏置信号脉冲和气体脉冲之一或者RF偏置信号脉冲和气体脉冲两者可以采用啁啾。
在气体脉冲的每个实施例中,脉冲频率、脉冲的数量、占空比等可以在整个蚀刻中变化保持恒定,或按要求周期性地变化或非周期性地变化。
如从上述可以理解,本发明的实施方式提供另一种可以拓宽用于蚀刻处理的处理窗的控制旋钮。由于许多当前的等离子体室已经提供了脉冲阀或脉冲质量流量控制器,因而无需昂贵的硬件改造就可实现根据图6、图7A和图7B以及此处所讨论的气体脉冲的实施方式。进一步地,如果RF脉冲需要与气体脉冲结合,许多当前的等离子体室已经提供了具备脉冲功能的RF电源。因此,可以无需昂贵的硬件改造就可获得通过气体/RF功率脉冲的较宽的处理窗的实现。当前工具的拥有者可以通过现有的蚀刻处理系统来利用较小的软件升级和/或较小的硬件升级获得改进的蚀刻。进一步地,通过对离子-自由基流量比率进行改进的和/或更精细的控制,可以使选择性和均匀性以及反向RIE滞后效应得到改进。例如,在某些情况下,通过相对于自由基通量增加离子通量可以提高衬底上一层对另一层的选择性。使用这种改进的对离子-自由基的控制,可以更有效地实现原子层蚀刻(ALE)。
在一个或多个实施方式中,公开了混合模式脉冲(MMP)蚀刻,因此该蚀刻涉及重复多步骤序列,每个序列至少包括MMP准备(MMPP)阶段和MMP反应(MMPR)阶段。混合模式脉冲被配置来在生产电感耦合等离子体(ICP,也被称为TCP或在某些情况下被称为变压器耦合等离子体)室中或者在电容耦合等离子体(CCP)室中更充分地暂时地(即及时)原位分开离子与中性自由基。
为了说明,在生产电感耦合等离子体(ICP)室中进行MMP蚀刻来完成例如原子层蚀刻(ALE)或在现有技术中通常需要使用另一个室(诸如梁类型室)的类型的非常精确的蚀刻。本发明的MMP蚀刻允许在生产ICP室中进行这样的原子层蚀刻(ALE)或精确的逐层蚀刻的事实显著提高了整体吞吐量,因为对于这样的ALE或精确的逐层蚀刻没有必要将衬底从生产室转移到另一个室。本发明的MMP蚀刻也不需要专门的ALE或逐层蚀刻的设备,从而降低了制造成本。如将在后面讨论的,在生产ICP室中也可以采用MMP蚀刻来完成高选择性的刻蚀。
为了说明,结构公知的ICP室涉及使用至少一个RF供电的感应线圈通过介电窗感应耦合射频能量从反应性气体其它气体形成的等离子体云。等离子云被设置在介电窗的下方但在衬底的上方,用来蚀刻所述衬底。所述衬底本身被设置在工件夹具上,例如通常是ESC卡盘。若需要,也可以向所述工件夹具供应它自己的RF信号。提供给工件夹具的RF能量被称为偏置功率。ICP室通常被用于生产现今的IC(集成电路)制造设施中的衬底,并且适合于高的吞吐量。
在一个或多个实施方式中,MMP准备阶段涉及使用等离子体从反应性气体产生自由基(也称为中性粒子)。在一个实施方式中,不向衬底工件夹具施加偏置功率。在MMP准备阶段期间,减少离子的影响的关键是不使用偏置功率或者尽可能少的使用偏置功率。
使用硅蚀刻举例,例如,反应性气体可以是氯(Cl2)。取决于待蚀刻的材料,其它反应性气体可以是:例如,CxFy或CHXFy(其中x和y是整数)、CH3Cl、N2、BCl3、O2或用于蚀刻衬底的其它常用的反应性气体。在MMP准备阶段期间,等离子体从反应性气体形成,并且允许其吸附到硅衬底的暴露的顶层。如果需要更积极的蚀刻,则MMP准备阶段被定时以在一个实施方式中允许吸附以穿透至少一个硅的原子层并且在另一个实施方式中穿透硅的多个原子层。
优化室的参数进以增加吸附的速度,而不过分地去除在MMP准备阶段中吸附的SiCl层。例如,在一个或多个实施方式中,在MMP准备阶段期间感应线圈RF频率相比于在MMP反应阶段期间可以不相同,以促进吸附。替代地或此外,作为另一个实施例,在MMP准备阶段期间,可以加热(或冷却)衬底或衬底表面。替代地或此外,作为另一个实施例,可以向感应线圈的RF功率施加脉冲的导通和截止(相对于导通和截止周期的持续时间是对称地或非对称地),以减少离子能量和/或促进吸附。在一个或多个实施方式中,在单个MMP准备阶段期间,感应线圈的RF信号可以以不同的RF频率啁啾。
替代地或此外,作为另一个实施例,在MMP准备阶段期间在电极之间的室间隙(可变间隙室的)可以相对于MMP反应阶段期间被设置较大,以降低离子能量水平、降低自偏置和/或降低离子的影响。替代地或此外,作为另一个实施例,在一个或多个实施方式中,如果附带产生了离子,则可以调整该参数使得离子能量低于蚀刻吸附的SiCl层所需的水平。例如,在一个或多个实施方式中,在MMP准备阶段期间,室压可以保持很高(例如,在一个实施例的蚀刻中高于40mT)。
在一个或多个实施方式中,在MMP准备阶段期间可以允许一些非反应性气体(如氩气)。然而,如果允许的话,在MMP准备阶段期间的这样的非反应性气体流被设定为比在MMP反应阶段期间所发生的非反应性气体流的量较低。MMP准备阶段和MMP反应阶段两者可以使用相同的非反应性气体或者使用不同的非反应性气体。在其它实施方式中,MMP准备阶段仅涉及反应性气体(如氯)并且在MMP准备阶段期间没有使用非反应性气体(如氩气)。
在一个或多个实施方式中,在单个MMP准备阶段期间,可以同时使用不同的反应性气体。替代地,在一个或多个实施方式中,在MMP准备阶段期间,不同的反应性气体可以按顺序流入到室中。这可以有利于蚀刻二元的或其它化合物。如果需要的话,在MMP准备阶段期间可以使用非反应性气体(如氩气)在不同的反应性气体流动之间冲洗该室。
对于其中需要单原子层蚀刻或者其中需要少数原子层的蚀刻的ALE蚀刻,优选在MMP准备阶段期间不施加偏置功率。在需要较高的吞吐量同时保持精确的应用中,可以在MMP准备阶段期间施加少量的偏置功率(相对于在MMP反应阶段期间所施加的偏置功率)以促进反应性物质的注入。如果在MMP准备阶段期间施加少量的偏置功率,则这种偏置功率在MMP准备阶段期间可以保持恒定或者若需要的话,可以被施加脉冲(或者与感应线圈RF脉冲异步或者与感应线圈RF脉冲同步)。
在MMP准备阶段之后,是MMP反应阶段,在MMP反应阶段期间,该室中不允许存在反应性气体并且从非反应性气体(如惰性气体)产生等离子体以形成具有特定离子能量窗的等离子体。在上述硅的实施例中,在MMP反应阶段期间,可以采用氩气作为非活性气体。替代地或此外,非反应性气体可以是氙气、氦气、氖气或上述任何的集群。
在MMP反应阶段中,Ar+离子(它是在不存在反应性气体的情况下从非反应性气体产生的)的离子能量高于蚀刻所吸附的SiCl层所要求的阈值,但期望低于蚀刻下面的非吸附的Si衬底所要求的阈值。例如,在一个实施方式中,用于蚀刻硅的离子能量窗可以介于50eV与70eV之间。MMP蚀刻的一个实施方式的自限制特征的一个方面是允许蚀刻的精确控制并且当吸附层全部蚀刻掉时导致蚀刻停止。在一个或多个实施方式中,MMP蚀刻的一个实施方式的自限制特征的另一方面是在MMP准备阶段期间控制所吸附的SiCl层的深度。MMP蚀刻的一个实施方式的自限制特征的另一方面是MMP反应阶段的时间的长度以确保仅仅部分所吸附的SiCl层被除去或全部所吸附的SiCl层被除去并且确保底层的Si材料不被蚀刻。MMP蚀刻的一个实施方式的自限制特征的另一方面是MMP准备阶段的时间的长度。
特别值得注意的是,在MMP反应阶段期间,开启偏置功率(与此相反,在MMP准备阶段期间,偏置功率优选完全关闭或者开启到比在MMP反应阶段中较低水平的偏置功率,以有助于确保离子能量仍低于吸附层的离子诱导蚀刻的阈值)。可以优化该室的其它参数,以促进所吸附的SiCl层通过由非反应性气体形成的等离子体进行的定向蚀刻。例如,在MMP反应阶段中,可以减小室压(相对于MMP准备阶段的较高的室压),以降低碰撞的数量,从而降低了离子的角分布并且获得更加定向的蚀刻。作为另一个实施例,在单个MMP反应阶段期间,可以多次向偏置功率施加脉冲导通和截止。替代地或此外,作为另一个实施例,在单个MMP反应阶段期间,可以多次向RF感应线圈功率施加脉冲导通和截止。
替代地或此外,作为另一个实施例,在单个MMP反应阶段期间,可以多次相对于彼此同步或异步向偏置功率和RF感应线圈功率两者施加脉冲。替代地或此外,作为另一个实施例,感应线圈的RF频率在MMP反应阶段期间相对于在MMP准备阶段期间可以不相同(例如,较高以增加离子能量分布函数)。在实施例中,在MMP反应阶段期间,MMP反应阶段可以采用60MHz用于感应线圈RF信号,而MMP准备阶段可以采用13.56MHz用于感应线圈RF信号。替代地或此外,作为另一个实施例,在单个MMP反应阶段期间,偏置RF和/或感应线圈RF可以以不同的RF频率啁啾。替代地或此外,在MMP反应阶段期间,可以采用定制的偏置波形以减少离子能量。为了详细描述,为了优化或调节离子能量,定制的偏置波形是具有定制的或成形的(例如,裁剪或修改)波形的RF偏置信号。
MMP准备阶段和后面的MMP反应阶段形成周期,该周期可以重复多次直到蚀刻被视为完成。为了确保在MMP反应阶段之前完全或基本上完全从该室除去反应性气体,可以(但不是在所有的情况下都必须)在MMP反应阶段与MMP准备阶段之间插入MMP过渡相,以便例如有利于更完全除去反应性气体和/或稳定用于MMP反应阶段的室和/或准备用于MMP反应阶段的室。替代地或此外,在一个或多个实施方式中,在前一周期的MMP过渡相与MMP准备阶段之间可以采用另一个过渡相,以稳定用于MMP准备阶段的室和/或准备用于MMP准备阶段的室。
由于需要在不使用反应性气体(或相比于MMP准备阶段尽可能少的使用反应性气体)的情况下进行MMP反应阶段,限制在于如何快速在MMP准备阶段与MMP过渡相之间向蚀刻施加脉冲。由于从室排空气体需要一些有限的时间,在一个实施方式中,MMP准备阶段与MMP反应阶段之间的过渡相是有限的,本领域的技术人员可以通过该室的气体逗留时间容易地将其计算出来。如上所述,在MMP准备阶段与MMP反应阶段之间可以(但不是在所有的情况下都要求)采用MMP过渡相来有助于准备用于MMP反应阶段的室(例如,在一个实施方式中,以确保所有的反应性气体被除去或稳定该室)。
在一个或多个实施方式中,MMP准备阶段可以介于约0.01秒至约5秒之间,更优选从0.2秒至约1秒。在一个或多个实施方式中,MMP反应阶段可以介于约0.01秒至约5秒,更优选从0.05秒至约1秒。在一个或多个实施方式中,开关速率可以是大约1Hz。这是与在MMP反应阶段期间涉及不考虑气体逗留时间和/或不包括从该室除去反应性气体的TCP和/或TCP偏置功率的同步脉冲或异步脉冲技术的分化。
需要注意的是,在一个或多个实施方式中,使用网格或一些其它结构来加速朝向衬底的离子不是必需的。还要注意的是,MMP准备阶段和蚀刻相有利地完全在原位在与其它衬底处理步骤所用的ICP室同样的ICP室中进行。
在一个或多个实施方式中,MMP反应阶段可以响应于室监测而被定时或可以被终止(例如使用光学发射光谱技术)。在一个或多个实施方式中,允许在MMP反应阶段期间的活性蚀刻仅蚀刻单个原子层(ALE)。在这个实施例中,可以控制吸附使得吸附层大约是一层原子层的厚度。在一个或多个实施方式中,允许在MMP反应阶段期间的活性蚀刻继续蚀刻贯穿所吸附的衬底表面的多个原子层。在一个或多个实施方式中,可以调整该室的参数使得在单个MMP反应阶段期间在大容量的MMP活性蚀刻之后有更准确但较慢的单层MMP活性蚀刻。
在一个或多个实施方式中,使用MMP蚀刻来提高选择性。迄今为止,在MMP蚀刻的实施例涉及单一的材料(例如实施例中的硅)。如上所述,在MMP准备阶段期间反应性气体的选择性涉及选择合适的反应性气体用于蚀刻硅(如Cl2),以及在MMP反应阶段期间离子能量水平的配置涉及选择适于蚀刻所吸附的SiCl层而不蚀刻下面的大量的非吸附的Si材料的离子能量水平。
当蚀刻衬底时,为了提高两种材料之间的选择性,可以选择反应性气体(在MMP准备阶段期间使用的)使得该反应性气体形成相对于另一种材料更有利于吸附到一种材料的等离子体。替代地或此外,所选的气体可以吸附到这两种材料上,但相对于另一种材料更有利于挥发性化合物形成于一种材料上。此外或替代地,所选的气体可以引起在一种材料上比在另一种材料上沉积更多。此外或替代地,所选的气体可以降低一种材料表面处的结合强度比降低另一种材料表面处的结合强度到更大的程度。此外或替代地,在MMP反应阶段期间可以选择离子能量以更积极地相对于另一种材料刻蚀一种材料。这种MMP选择性蚀刻的实施例是蚀刻多晶硅但不蚀刻氧化物。在这种情况下,在MMP准备阶段期间,可以选择反应性气体为Cl2,仅基于化学的考虑该Cl2并不倾向于蚀刻氧化物,并且在MMP反应阶段期间离子能量阈值例如可以为对于多晶硅的70eV和对于氧化物的80eV。
图8根据本发明的实施方式示出了用于硅蚀刻实施例的概念的MMP蚀刻周期(表示物质密度随时间的变化),每个周期至少包括MMP准备阶段和MMP反应阶段。参考图8,MMP蚀刻周期802至少包括MMP准备阶段804和MMP反应阶段806。上面讨论了用于每个MMP准备阶段804和每个MMP反应阶段806的室条件和气体条件。特别值得注意的是,自由基和离子在时间上是分开的,在MMP准备阶段804期间具有大量的自由基并且基本上没有离子以及在MMP反应阶段806期间具有大量的离子并且基本上没有自由基。
图9根据本发明的实施方式示出了MMP准备阶段904中存在一些离子的其它的概念的MMP蚀刻周期。在MMP准备阶段904期间,离子可以作为等离子体发生的非期望的副作用而存在,但是保持低于(通过操纵室参数)蚀刻所吸附的SiCl表面所需要的离子能量水平的阈值。如前面所述,也可以通过使用一些少量的偏置功率来有意识地引入离子以促进离子注入。然而,在MMP准备阶段期间,离子能量保持低于蚀刻所吸附的表面所需要的离子能量水平的阈值。
在MMP反应阶段906期间,反应性气体被从该室排出并且优选在MMP反应阶段906期间基本上没有反应性气体存在于该室中。上面讨论了用于每个MMP准备阶段904和每个MMP反应阶段906的室条件和气体条件。如前面提到的,如果需要的话,可以在MMP准备阶段904与MMP反应阶段906之间插入MMP过渡相。替代地或此外,可以在前一周期的MMP反应阶段906与下一个MMP周期的MMP准备阶段908之间插入另一个MMP过渡相。
图10根据本发明的实施方式示出了用于在生产ICP室中进行MMP蚀刻的方法。在步骤1000中,在生产ICP室中提供衬底以为原位MMP蚀刻做准备。应当理解的是,可以将衬底在该室中放置一段时间并且在MMP蚀刻之前可能已经发生其它的处理步骤(如批量蚀刻)。在步骤1002中,配置该室以在MMP准备阶段中进行操作。在此MMP准备阶段中,在等离子体的协助下使得反应性气体吸附在衬底的表面。控制吸附的深度以形成自限性蚀刻(在随后的MMP反应阶段期间进行的)的一个方面。上面讨论了用于MMP准备阶段的其它的替代的或附加的室条件。
在步骤1004中,配置该室以在MMP反应阶段中蚀刻衬底。在此MMP反应阶段中,从该室中排出反应性气体并且增加(或开启)偏置功率,以促进使用由惰性气体形成的等离子体的等离子体协助吸附层去除。在MMP反应阶段期间的离子能量设定为比蚀刻吸附层所需要的水平较高但比蚀刻下面的非吸附层所需的水平较低,从而本质上自限制蚀刻。上面讨论了用于MMP反应阶段的其它的替代的或附加的室条件。MMP周期至少包括MMP准备阶段和MMP反应阶段重复(1012)直到MMP蚀刻被视为(1006)完成(1008)。
如可从前述理解,MMP蚀刻的实施方式非常适合ALE蚀刻或精确蚀刻(如用于制造3-D逻辑或存储器设备或MRAM的蚀刻)或高选择性蚀刻。此外,本发明的实施方式降低了衬底的损失并且获得了平坦的蚀刻前部。MMP蚀刻的自限制性质和/或高选择性有助于降低不应被蚀刻的层或结构的结构性损坏。在一些情况下,MMP蚀刻的自限制性质有助于提高蚀刻精度和/或蚀刻轮廓和/或可以减少对过蚀刻的需求。
虽然本发明已根据几个优选实施方式进行了描述,但是存在落入本发明的范围之内的改变、置换和等同方案。例如,尽管已经使用ICP室的实施例公开了MMP蚀刻,但如果需要的话,也可以在电容耦合等离子体(CCP)室中进行MMP蚀刻。就MMP蚀刻而言,当在电容耦合等离子体室中进行蚀刻时,可以认为所提供的较高的RF频率是源RF以及可以认为所提供的较低的RF频率是偏置RF,不论这些RF信号是仅供给该室的一个板还是分供给该室的多个板。
作为另一个实施例,可以以任何组合方式组合在图中所讨论的脉冲技术以适应特定处理的要求。例如,所述占空比的变化可以通过附图中的任一个(或者任何一个的部分或者多个的组合)中所讨论的任何技术来实践。同样地,所述频率啁啾可以通过附图中的任一个(或者任何一个的部分或者多个的组合)中所讨论的任何技术和/或通过占空比的变化来实践。同样地,惰性气体替代可以通过附图中的任一个(或者任何一个的部分或者多个的组合)中所讨论的任何技术和/或通过占空比的变化和/或通过频率啁啾来实践。要点是尽管技术被单独地和/或与具体的图形结合来讨论,但是为了执行特殊的处理,各种技术可以按任何组合方式组合。
尽管本文提供了各种实施例,但这些实施例意在说明本发明而不是限制本发明。而且,本文提供的名称和摘要是为了方便而不应该被用于限制本发明权利要求的范围。若本文使用术语“组”,这种术语意在具有其通常理解的数学含义,涵盖零个,一个或多于一个构件。还应当注意有许多实施本发明的方法和装置的替代方式。
Claims (24)
1.一种用于处理等离子体处理系统的等离子体处理室中的衬底的方法,所述等离子体处理室具有至少一个等离子体发生源和用于提供至少第一反应性气体进入所述等离子体处理室的内部区域的至少反应性气体源以及用于提供至少第一非反应性气体进入所述等离子体处理室的所述内部区域的至少非反应性气体源,该方法包括:
(a)将所述衬底放置于所述内部区域内的工件夹具上;
(b)执行混合模式脉冲(MMP)准备阶段,其包括
使所述第一反应性气体流入所述内部区域,以及
至少由所述第一反应性气体形成第一等离子体以使用所述第一等离子体处理所述衬底;
(c)执行混合模式脉冲(MMP)反应阶段,其包括
使至少所述第一非反应性气体流入所述内部区域,以及
至少由所述第一非反应性气体形成第二等离子体以使用所述第二等离子体处理所述衬底,其中所述第二等离子体是由在所述MMP反应阶段期间的所述第一反应性气体流形成,在所述MMP反应阶段期间的所述第一反应性气体流少于在所述MMP准备阶段期间的所述第一反应性气体流;以及
(d)多次重复所述步骤(b)和所述步骤(c)。
2.根据权利要求1所述的方法,其中在所述MMP反应阶段期间没有使第一反应性气体流入所述内部区域。
3.根据权利要求1所述的方法,其中所述等离子体处理室代表电感耦合等离子体处理室。
4.根据权利要求1所述的方法,其中所述等离子体处理室代表电容耦合等离子体处理室
5.根据权利要求1所述的方法,其中所述非反应性气体源进一步提供第二非反应性气体,其中在所述MMP准备阶段期间使所述第二非反应性气体流入所述内部区域。
6.根据权利要求1所述的方法,其中在所述MMP准备阶段期间也使所述第一非反应性气体流入所述内部区域。
7.根据权利要求1所述的方法,其中在所述MMP准备阶段期间没有向所述工件夹具施加偏置功率。
8.根据权利要求7所述的方法,其中在所述MMP反应阶段期间向所述工件夹具施加具有大于零的偏置功率水平的偏置功率。
9.根据权利要求1所述的方法,其中在所述MMP准备阶段期间,所述至少一个等离子体发生源是由具有第一RF频率的第一RF信号激发的,在所述MMP反应阶段期间,所述至少一个等离子体发生源是由具有不同于所述第一RF频率的第二RF频率的第二RF信号激发的。
10.根据权利要求1所述的方法,其中在所述MMP准备阶段期间,所述至少一个等离子体发生源是由具有第一RF频率的第一RF信号激发的,所述RF信号代表脉冲的RF信号。
11.根据权利要求1所述的方法,其中在所述MMP准备阶段期间,所述至少一个等离子体发生源是由具有第一RF频率的第一RF信号激发的,所述RF信号代表具有啁啾的频率的RF信号。
12.根据权利要求1所述的方法,其进一步包括在所述MMP反应阶段期间,使不同于所述第一反应性气体的第二反应性气体流入所述内部区域。
13.根据权利要求12所述的方法,其中在所述MMP反应阶段期间,没有使第一非反应性气体流入。
14.根据权利要求1所述的方法,其中在所述MMP反应阶段期间向所述工件夹具施加第一偏置功率以及在所述MMP反应阶段期间向所述工件夹具施加具有与所述第一偏置功率的功率水平不同的功率水平的第二偏置功率。
15.根据权利要求14所述的方法,其中所述第二偏置功率的所述功率水平比所述第一偏置功率的所述功率水平高。
16.根据权利要求1所述的方法,其中在所述MMP反应阶段期间配置所述等离子体处理室以产生具有高于蚀刻在所述衬底的表面上的吸附层所要求的离子能量的水平但却不足以蚀刻所述衬底的非吸附层的非反应性离子,所述吸附层在所述MMP准备阶段期间形成。
17.一种用于处理等离子体处理系统的电感耦合等离子体处理室中的衬底的方法,所述等离子体处理室具有至少一个感应天线和用于提供至少第一反应性气体进入所述等离子体处理室的内部区域的至少反应性气体源以及用于提供至少第一非反应性气体进入所述等离子体处理室的所述内部区域的至少非反应性气体源,该方法包括:
(a)将所述衬底放置于所述内部区域内的工件夹具上;
(b)执行混合模式脉冲(MMP)准备阶段,其包括
使所述第一反应性气体流入所述内部区域,以及
至少由所述第一反应性气体形成第一等离子体以使用所述第一等离子体处理所述衬底;
(c)执行混合模式脉冲(MMP)反应阶段,其包括
使至少所述第一非反应性气体流入所述内部区域,以及
至少由所述第一非反应性气体形成第二等离子体以使用所述第二等离子体处理所述衬底,其中所述第二等离子体由在所述MMP反应阶段期间的所述第一反应性气体流形成,在所述MMP反应阶段期间的所述第一反应性气体流少于在所述MMP准备阶段期间的所述第一反应性气体流,其中在所述MMP反应阶段期间配置所述等离子体处理室以产生具有高于蚀刻在所述衬底的表面上的吸附层所要求的离子能量的水平但却不足以蚀刻所述衬底的非吸附层的非反应性离子,所述吸附层在所述MMP准备阶段期间形成;以及
(d)多次重复所述步骤(b)和所述步骤(c)。
18.根据权利要求17所述的方法,其中在所述MMP反应阶段期间没有使第一反应性气体流入所述内部区域。
19.根据权利要求17所述的方法,其中在所述MMP准备阶段期间没有向所述工件夹具施加偏置功率。
20.根据权利要求19所述的方法,其中在所述MMP反应阶段期间向所述工件夹具施加具有大于零的偏置功率水平的偏置功率。
21.根据权利要求20所述的方法,其中在所述MMP反应阶段期间向所述偏置功率施加脉冲。
22.根据权利要求17所述的方法,其中在所述MMP准备阶段期间,所述至少一个感应天线是由具有第一RF频率的第一RF信号激发的,在所述MMP反应阶段期间,所述至少一个感应天线是由具有不同于所述第一RF频率的第二RF频率的第二RF信号激发的。
23.根据权利要求17所述的方法,其中在所述MMP准备阶段期间,所述至少一个感应天线是由具有第一RF频率的第一RF信号激发的,所述RF信号代表脉冲的RF信号。
24.根据权利要求17所述的方法,其中在所述MMP反应阶段期间,所述至少一个感应天线是由具有第一RF频率的第一RF信号激发的,所述RF信号代表脉冲的RF信号。
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
CN201610451176.8A CN105895490B (zh) | 2011-12-28 | 2012-12-17 | 等离子体处理系统中的混合模式脉冲蚀刻 |
Applications Claiming Priority (5)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201161581054P | 2011-12-28 | 2011-12-28 | |
US61/581,054 | 2011-12-28 | ||
US13/550,548 US8883028B2 (en) | 2011-12-28 | 2012-07-16 | Mixed mode pulsing etching in plasma processing systems |
US13/550,548 | 2012-07-16 | ||
PCT/IB2012/057385 WO2013098702A2 (en) | 2011-12-28 | 2012-12-17 | Mixed mode pulsing etching in plasma processing systems |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201610451176.8A Division CN105895490B (zh) | 2011-12-28 | 2012-12-17 | 等离子体处理系统中的混合模式脉冲蚀刻 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN104040021A true CN104040021A (zh) | 2014-09-10 |
CN104040021B CN104040021B (zh) | 2016-08-24 |
Family
ID=48694019
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201280065464.XA Active CN104040021B (zh) | 2011-12-28 | 2012-12-17 | 等离子体处理系统中的混合模式脉冲蚀刻 |
CN201610451176.8A Active CN105895490B (zh) | 2011-12-28 | 2012-12-17 | 等离子体处理系统中的混合模式脉冲蚀刻 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201610451176.8A Active CN105895490B (zh) | 2011-12-28 | 2012-12-17 | 等离子体处理系统中的混合模式脉冲蚀刻 |
Country Status (7)
Country | Link |
---|---|
US (3) | US8883028B2 (zh) |
JP (1) | JP6276704B2 (zh) |
KR (1) | KR102062930B1 (zh) |
CN (2) | CN104040021B (zh) |
SG (2) | SG11201403634TA (zh) |
TW (2) | TWI612576B (zh) |
WO (1) | WO2013098702A2 (zh) |
Cited By (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN105810580A (zh) * | 2015-01-16 | 2016-07-27 | Asm Ip控股有限公司 | 等离子体增强原子层蚀刻的方法 |
CN106252222A (zh) * | 2015-06-05 | 2016-12-21 | 朗姆研究公司 | GaN和其他III-V族材料的原子层蚀刻 |
CN106449396A (zh) * | 2015-08-05 | 2017-02-22 | 朗姆研究公司 | 反向脉冲的系统和方法 |
CN107941757A (zh) * | 2016-09-28 | 2018-04-20 | 朗姆研究公司 | 原位检测衬底处理系统的衬底区域中的氧的系统和方法 |
US10566213B2 (en) | 2016-12-19 | 2020-02-18 | Lam Research Corporation | Atomic layer etching of tantalum |
Families Citing this family (406)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US9548228B2 (en) | 2009-08-04 | 2017-01-17 | Lam Research Corporation | Void free tungsten fill in different sized features |
US10256142B2 (en) | 2009-08-04 | 2019-04-09 | Novellus Systems, Inc. | Tungsten feature fill with nucleation inhibition |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US9059101B2 (en) * | 2011-07-07 | 2015-06-16 | Lam Research Corporation | Radiofrequency adjustment for instability management in semiconductor processing |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US8883028B2 (en) * | 2011-12-28 | 2014-11-11 | Lam Research Corporation | Mixed mode pulsing etching in plasma processing systems |
US10157729B2 (en) | 2012-02-22 | 2018-12-18 | Lam Research Corporation | Soft pulsing |
US9842725B2 (en) | 2013-01-31 | 2017-12-12 | Lam Research Corporation | Using modeling to determine ion energy associated with a plasma system |
US9197196B2 (en) | 2012-02-22 | 2015-11-24 | Lam Research Corporation | State-based adjustment of power and frequency |
US9114666B2 (en) | 2012-02-22 | 2015-08-25 | Lam Research Corporation | Methods and apparatus for controlling plasma in a plasma processing system |
US10128090B2 (en) | 2012-02-22 | 2018-11-13 | Lam Research Corporation | RF impedance model based fault detection |
US9462672B2 (en) | 2012-02-22 | 2016-10-04 | Lam Research Corporation | Adjustment of power and frequency based on three or more states |
TWI602283B (zh) | 2012-03-27 | 2017-10-11 | 諾發系統有限公司 | 鎢特徵部塡充 |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US9299574B2 (en) | 2013-01-25 | 2016-03-29 | Applied Materials, Inc. | Silicon dioxide-polysilicon multi-layered stack etching with plasma etch chamber employing non-corrosive etchants |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9362163B2 (en) | 2013-07-30 | 2016-06-07 | Lam Research Corporation | Methods and apparatuses for atomic layer cleaning of contacts and vias |
US9275869B2 (en) | 2013-08-02 | 2016-03-01 | Lam Research Corporation | Fast-gas switching for etching |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9401263B2 (en) * | 2013-09-19 | 2016-07-26 | Globalfoundries Inc. | Feature etching using varying supply of power pulses |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US9318304B2 (en) | 2013-11-11 | 2016-04-19 | Applied Materials, Inc. | Frequency tuning for dual level radio frequency (RF) pulsing |
US9605343B2 (en) | 2013-11-13 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming conformal carbon films, structures conformal carbon film, and system of forming same |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
TWI557347B (zh) * | 2014-01-09 | 2016-11-11 | 華邦電子股份有限公司 | 蝕刻反應設備及其節流閥 |
US9594105B2 (en) | 2014-01-10 | 2017-03-14 | Lam Research Corporation | Cable power loss determination for virtual metrology |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US10950421B2 (en) | 2014-04-21 | 2021-03-16 | Lam Research Corporation | Using modeling for identifying a location of a fault in an RF transmission system for a plasma system |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
JP6316735B2 (ja) * | 2014-12-04 | 2018-04-25 | 東京エレクトロン株式会社 | プラズマエッチング方法 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9576811B2 (en) | 2015-01-12 | 2017-02-21 | Lam Research Corporation | Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch) |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
JP6516542B2 (ja) * | 2015-04-20 | 2019-05-22 | 東京エレクトロン株式会社 | 被エッチング層をエッチングする方法 |
US9806252B2 (en) | 2015-04-20 | 2017-10-31 | Lam Research Corporation | Dry plasma etch method to pattern MRAM stack |
US9870899B2 (en) | 2015-04-24 | 2018-01-16 | Lam Research Corporation | Cobalt etch back |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9972504B2 (en) * | 2015-08-07 | 2018-05-15 | Lam Research Corporation | Atomic layer etching of tungsten for enhanced tungsten deposition fill |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US10096487B2 (en) | 2015-08-19 | 2018-10-09 | Lam Research Corporation | Atomic layer etching of tungsten and other metals |
US9978610B2 (en) | 2015-08-21 | 2018-05-22 | Lam Research Corporation | Pulsing RF power in etch process to enhance tungsten gapfill performance |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US9984858B2 (en) | 2015-09-04 | 2018-05-29 | Lam Research Corporation | ALE smoothness: in and outside semiconductor industry |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9788405B2 (en) | 2015-10-03 | 2017-10-10 | Applied Materials, Inc. | RF power delivery with approximated saw tooth wave pulsing |
US9741539B2 (en) | 2015-10-05 | 2017-08-22 | Applied Materials, Inc. | RF power delivery regulation for processing substrates |
US9754767B2 (en) | 2015-10-13 | 2017-09-05 | Applied Materials, Inc. | RF pulse reflection reduction for processing substrates |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9627221B1 (en) * | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10229837B2 (en) | 2016-02-04 | 2019-03-12 | Lam Research Corporation | Control of directionality in atomic layer etching |
US10727073B2 (en) * | 2016-02-04 | 2020-07-28 | Lam Research Corporation | Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces |
US9991128B2 (en) * | 2016-02-05 | 2018-06-05 | Lam Research Corporation | Atomic layer etching in continuous plasma |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10269566B2 (en) | 2016-04-29 | 2019-04-23 | Lam Research Corporation | Etching substrates using ale and selective deposition |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US9837312B1 (en) | 2016-07-22 | 2017-12-05 | Lam Research Corporation | Atomic layer etching for enhanced bottom-up feature fill |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10566211B2 (en) | 2016-08-30 | 2020-02-18 | Lam Research Corporation | Continuous and pulsed RF plasma for etching metals |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10002773B2 (en) | 2016-10-11 | 2018-06-19 | Lam Research Corporation | Method for selectively etching silicon oxide with respect to an organic mask |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US9872373B1 (en) | 2016-10-25 | 2018-01-16 | Applied Materials, Inc. | Smart multi-level RF pulsing methods |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
US10559461B2 (en) | 2017-04-19 | 2020-02-11 | Lam Research Corporation | Selective deposition with atomic layer etch reset |
US10832909B2 (en) | 2017-04-24 | 2020-11-10 | Lam Research Corporation | Atomic layer etch, reactive precursors and energetic sources for patterning applications |
US9997371B1 (en) | 2017-04-24 | 2018-06-12 | Lam Research Corporation | Atomic layer etch methods and hardware for patterning applications |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10494715B2 (en) | 2017-04-28 | 2019-12-03 | Lam Research Corporation | Atomic layer clean for removal of photoresist patterning scum |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10796912B2 (en) | 2017-05-16 | 2020-10-06 | Lam Research Corporation | Eliminating yield impact of stochastics in lithography |
US10396601B2 (en) * | 2017-05-25 | 2019-08-27 | Mks Instruments, Inc. | Piecewise RF power systems and methods for supplying pre-distorted RF bias voltage signals to an electrode in a processing chamber |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
KR102475069B1 (ko) * | 2017-06-30 | 2022-12-06 | 삼성전자주식회사 | 반도체 제조 장치, 이의 동작 방법 |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10763083B2 (en) * | 2017-10-06 | 2020-09-01 | Lam Research Corporation | High energy atomic layer etching |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
WO2019103610A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
JP7214724B2 (ja) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | バッチ炉で利用されるウェハカセットを収納するための収納装置 |
US10157773B1 (en) | 2017-11-28 | 2018-12-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure having layer with re-entrant profile and method of forming the same |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
JP7124098B2 (ja) | 2018-02-14 | 2022-08-23 | エーエスエム・アイピー・ホールディング・ベー・フェー | 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法 |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
EP3776636A4 (en) | 2018-03-30 | 2021-12-22 | Lam Research Corporation | ATOMIC LAYER ENGRAVING AND SMOOTHING OF REFRACTORY METALS AND OTHER HIGH SURFACE BOND ENERGY MATERIALS |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TWI843623B (zh) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
TW202409324A (zh) | 2018-06-27 | 2024-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料之循環沉積製程 |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
TWI844567B (zh) | 2018-10-01 | 2024-06-11 | 荷蘭商Asm Ip私人控股有限公司 | 基材保持裝置、含有此裝置之系統及其使用之方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US11205562B2 (en) * | 2018-10-25 | 2021-12-21 | Tokyo Electron Limited | Hybrid electron beam and RF plasma system for controlled content of radicals and ions |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
JP2022507368A (ja) | 2018-11-14 | 2022-01-18 | ラム リサーチ コーポレーション | 次世代リソグラフィにおいて有用なハードマスクを作製する方法 |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
CN113785381A (zh) | 2019-04-30 | 2021-12-10 | 朗姆研究公司 | 用于极紫外光刻抗蚀剂改善的原子层蚀刻及选择性沉积处理 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
TWI837391B (zh) | 2019-06-26 | 2024-04-01 | 美商蘭姆研究公司 | 利用鹵化物化學品的光阻顯影 |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN118422165A (zh) | 2019-08-05 | 2024-08-02 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
WO2021035132A1 (en) * | 2019-08-22 | 2021-02-25 | Lam Research Corporation | Multi-state rf pulsing to control mask shape and breaking selectivity versus process margin trade-off |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
KR20210089079A (ko) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | 채널형 리프트 핀 |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
JP7189375B2 (ja) | 2020-01-15 | 2022-12-13 | ラム リサーチ コーポレーション | フォトレジスト接着および線量低減のための下層 |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11158516B2 (en) * | 2020-02-07 | 2021-10-26 | Tokyo Electron Limited | Plasma processing methods using low frequency bias pulses |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
JP2021172884A (ja) | 2020-04-24 | 2021-11-01 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11651970B2 (en) | 2020-05-19 | 2023-05-16 | Tokyo Electron Limited | Systems and methods for selective ion mass segregation in pulsed plasma atomic layer etching |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR102707957B1 (ko) | 2020-07-08 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
US11328902B1 (en) | 2021-06-09 | 2022-05-10 | XP Power Limited | Radio frequency generator providing complex RF pulse pattern |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Citations (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5460689A (en) * | 1994-02-28 | 1995-10-24 | Applied Materials, Inc. | High pressure plasma treatment method and apparatus |
US6238588B1 (en) * | 1991-06-27 | 2001-05-29 | Applied Materials, Inc. | High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process |
US20010021422A1 (en) * | 2000-03-13 | 2001-09-13 | Mitsubishi Heavy Industries, Ltd. | Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus |
US20020160125A1 (en) * | 1999-08-17 | 2002-10-31 | Johnson Wayne L. | Pulsed plasma processing method and apparatus |
US20060089005A1 (en) * | 2004-10-27 | 2006-04-27 | Lam Research Corporation | Photoresist conditioning with hydrogen ramping |
CN101059640A (zh) * | 2006-04-21 | 2007-10-24 | 中国科学院物理研究所 | 一种啁啾脉冲压缩方法及装置 |
US20070247074A1 (en) * | 2006-04-24 | 2007-10-25 | Alexander Paterson | Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution |
US20100038796A1 (en) * | 2006-02-21 | 2010-02-18 | Micron Technology, Inc. | High aspect ratio contacts |
US20100330299A1 (en) * | 2009-06-24 | 2010-12-30 | Lg Electronics Inc. | Plasma deposition of a thin film |
US20110108956A1 (en) * | 2009-11-09 | 2011-05-12 | 3M Innovative Properties Company | Etching process for semiconductors |
Family Cites Families (27)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
GB8516537D0 (en) * | 1985-06-29 | 1985-07-31 | Standard Telephones Cables Ltd | Pulsed plasma apparatus |
US5368685A (en) * | 1992-03-24 | 1994-11-29 | Hitachi, Ltd. | Dry etching apparatus and method |
JP3158612B2 (ja) * | 1992-03-24 | 2001-04-23 | 株式会社日立製作所 | ドライエッチング方法 |
US5252178A (en) | 1992-06-24 | 1993-10-12 | Texas Instruments Incorporated | Multi-zone plasma processing method and apparatus |
JPH0677184A (ja) * | 1992-08-27 | 1994-03-18 | Nippon Telegr & Teleph Corp <Ntt> | 半導体原子層のエッチング方法 |
US6083355A (en) * | 1997-07-14 | 2000-07-04 | The University Of Tennessee Research Corporation | Electrodes for plasma treater systems |
US6352049B1 (en) * | 1998-02-09 | 2002-03-05 | Applied Materials, Inc. | Plasma assisted processing chamber with separate control of species density |
JP2000306884A (ja) * | 1999-04-22 | 2000-11-02 | Mitsubishi Electric Corp | プラズマ処理装置およびプラズマ処理方法 |
US7199328B2 (en) * | 2001-08-29 | 2007-04-03 | Tokyo Electron Limited | Apparatus and method for plasma processing |
US20040025791A1 (en) * | 2002-08-09 | 2004-02-12 | Applied Materials, Inc. | Etch chamber with dual frequency biasing sources and a single frequency plasma generating source |
US6924235B2 (en) * | 2002-08-16 | 2005-08-02 | Unaxis Usa Inc. | Sidewall smoothing in high aspect ratio/deep etching using a discrete gas switching method |
US20050112891A1 (en) * | 2003-10-21 | 2005-05-26 | David Johnson | Notch-free etching of high aspect SOI structures using a time division multiplex process and RF bias modulation |
US8163087B2 (en) * | 2005-03-31 | 2012-04-24 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system and method |
US8404594B2 (en) * | 2005-05-27 | 2013-03-26 | Freescale Semiconductor, Inc. | Reverse ALD |
JP2007126749A (ja) | 2005-11-01 | 2007-05-24 | Applied Films Corp | 新しい膜特性を達成するためのpecvd放電源の電力及び電力関連ファンクションの変調のためのシステム及び方法 |
US7335602B2 (en) * | 2006-01-18 | 2008-02-26 | Freescale Semiconductor, Inc. | Charge-free layer by layer etching of dielectrics |
US7569491B2 (en) * | 2006-08-30 | 2009-08-04 | Tokyo Electron Limited | Method for enlarging a nano-structure |
US8187486B1 (en) * | 2007-12-13 | 2012-05-29 | Novellus Systems, Inc. | Modulating etch selectivity and etch rate of silicon nitride thin films |
US9997325B2 (en) * | 2008-07-17 | 2018-06-12 | Verity Instruments, Inc. | Electron beam exciter for use in chemical analysis in processing systems |
US8058179B1 (en) * | 2008-12-23 | 2011-11-15 | Novellus Systems, Inc. | Atomic layer removal process with higher etch amount |
US20110139748A1 (en) * | 2009-12-15 | 2011-06-16 | University Of Houston | Atomic layer etching with pulsed plasmas |
JP4982582B2 (ja) | 2010-03-31 | 2012-07-25 | 株式会社東芝 | マスクの製造方法 |
US8669185B2 (en) * | 2010-07-30 | 2014-03-11 | Asm Japan K.K. | Method of tailoring conformality of Si-containing film |
US8869742B2 (en) * | 2010-08-04 | 2014-10-28 | Lam Research Corporation | Plasma processing chamber with dual axial gas injection and exhaust |
US8828883B2 (en) * | 2010-08-24 | 2014-09-09 | Micron Technology, Inc. | Methods and apparatuses for energetic neutral flux generation for processing a substrate |
US9318341B2 (en) | 2010-12-20 | 2016-04-19 | Applied Materials, Inc. | Methods for etching a substrate |
US8883028B2 (en) * | 2011-12-28 | 2014-11-11 | Lam Research Corporation | Mixed mode pulsing etching in plasma processing systems |
-
2012
- 2012-07-16 US US13/550,548 patent/US8883028B2/en active Active
- 2012-12-17 WO PCT/IB2012/057385 patent/WO2013098702A2/en active Application Filing
- 2012-12-17 CN CN201280065464.XA patent/CN104040021B/zh active Active
- 2012-12-17 SG SG11201403634TA patent/SG11201403634TA/en unknown
- 2012-12-17 JP JP2014549582A patent/JP6276704B2/ja active Active
- 2012-12-17 SG SG10201608686TA patent/SG10201608686TA/en unknown
- 2012-12-17 CN CN201610451176.8A patent/CN105895490B/zh active Active
- 2012-12-17 KR KR1020147021178A patent/KR102062930B1/ko active IP Right Grant
- 2012-12-25 TW TW101149781A patent/TWI612576B/zh active
- 2012-12-25 TW TW106142833A patent/TWI654680B/zh active
-
2014
- 2014-10-09 US US14/510,866 patent/US9425025B2/en active Active
-
2016
- 2016-07-13 US US15/209,682 patent/US10121639B2/en active Active
Patent Citations (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6238588B1 (en) * | 1991-06-27 | 2001-05-29 | Applied Materials, Inc. | High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process |
US5460689A (en) * | 1994-02-28 | 1995-10-24 | Applied Materials, Inc. | High pressure plasma treatment method and apparatus |
US20020160125A1 (en) * | 1999-08-17 | 2002-10-31 | Johnson Wayne L. | Pulsed plasma processing method and apparatus |
US20010021422A1 (en) * | 2000-03-13 | 2001-09-13 | Mitsubishi Heavy Industries, Ltd. | Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus |
US20060089005A1 (en) * | 2004-10-27 | 2006-04-27 | Lam Research Corporation | Photoresist conditioning with hydrogen ramping |
US20100038796A1 (en) * | 2006-02-21 | 2010-02-18 | Micron Technology, Inc. | High aspect ratio contacts |
CN101059640A (zh) * | 2006-04-21 | 2007-10-24 | 中国科学院物理研究所 | 一种啁啾脉冲压缩方法及装置 |
US20070247074A1 (en) * | 2006-04-24 | 2007-10-25 | Alexander Paterson | Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution |
US20100330299A1 (en) * | 2009-06-24 | 2010-12-30 | Lg Electronics Inc. | Plasma deposition of a thin film |
US20110108956A1 (en) * | 2009-11-09 | 2011-05-12 | 3M Innovative Properties Company | Etching process for semiconductors |
Cited By (12)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN105810580A (zh) * | 2015-01-16 | 2016-07-27 | Asm Ip控股有限公司 | 等离子体增强原子层蚀刻的方法 |
CN105810580B (zh) * | 2015-01-16 | 2020-08-25 | Asm Ip控股有限公司 | 等离子体增强原子层蚀刻的方法 |
CN106252222A (zh) * | 2015-06-05 | 2016-12-21 | 朗姆研究公司 | GaN和其他III-V族材料的原子层蚀刻 |
TWI750120B (zh) * | 2015-06-05 | 2021-12-21 | 美商蘭姆研究公司 | GaN及其他Ⅲ-Ⅴ族材料之原子層蝕刻 |
TWI808473B (zh) * | 2015-06-05 | 2023-07-11 | 美商蘭姆研究公司 | GaN及其他Ⅲ-Ⅴ族材料之原子層蝕刻 |
CN106449396A (zh) * | 2015-08-05 | 2017-02-22 | 朗姆研究公司 | 反向脉冲的系统和方法 |
CN106449396B (zh) * | 2015-08-05 | 2019-06-25 | 朗姆研究公司 | 反向脉冲的系统和方法 |
CN107941757A (zh) * | 2016-09-28 | 2018-04-20 | 朗姆研究公司 | 原位检测衬底处理系统的衬底区域中的氧的系统和方法 |
US10566213B2 (en) | 2016-12-19 | 2020-02-18 | Lam Research Corporation | Atomic layer etching of tantalum |
US10566212B2 (en) | 2016-12-19 | 2020-02-18 | Lam Research Corporation | Designer atomic layer etching |
US11239094B2 (en) | 2016-12-19 | 2022-02-01 | Lam Research Corporation | Designer atomic layer etching |
US11721558B2 (en) | 2016-12-19 | 2023-08-08 | Lam Research Corporation | Designer atomic layer etching |
Also Published As
Publication number | Publication date |
---|---|
US20150020971A1 (en) | 2015-01-22 |
JP2015505421A (ja) | 2015-02-19 |
TW201342469A (zh) | 2013-10-16 |
CN105895490B (zh) | 2018-10-02 |
SG10201608686TA (en) | 2016-12-29 |
WO2013098702A2 (en) | 2013-07-04 |
US20160322201A1 (en) | 2016-11-03 |
TWI654680B (zh) | 2019-03-21 |
CN105895490A (zh) | 2016-08-24 |
KR20140116453A (ko) | 2014-10-02 |
CN104040021B (zh) | 2016-08-24 |
SG11201403634TA (en) | 2014-07-30 |
US9425025B2 (en) | 2016-08-23 |
JP6276704B2 (ja) | 2018-02-07 |
TW201810423A (zh) | 2018-03-16 |
US10121639B2 (en) | 2018-11-06 |
WO2013098702A3 (en) | 2014-01-09 |
US8883028B2 (en) | 2014-11-11 |
US20130168354A1 (en) | 2013-07-04 |
KR102062930B1 (ko) | 2020-01-06 |
TWI612576B (zh) | 2018-01-21 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN104040021A (zh) | 等离子体处理系统中的混合模式脉冲蚀刻 | |
CN112424904B (zh) | 控制等离子体加工的系统和方法 | |
CN105489464B (zh) | 等离子体处理系统中的惰性主导脉冲 | |
CN103930596A (zh) | 混合型脉冲等离子体处理系统 | |
EP1793418B1 (en) | Etching method and system | |
KR20170017749A (ko) | 역 펄싱을 위한 시스템들 및 방법들 | |
CN104465291A (zh) | 控制衬底的直流偏置及离子能量和角分布的方法及设备 | |
US12119232B2 (en) | Etching isolation features and dense features within a substrate | |
CN113366603A (zh) | 蚀刻半导体结构的方法和设备 | |
US10304662B2 (en) | Multi regime plasma wafer processing to increase directionality of ions | |
KR20220044295A (ko) | 플라즈마 공정을 위한 3단계 펄싱 시스템 및 방법 | |
CN111937114B (zh) | 用于在加工等离子体时控制离子能量分布的装置和方法 | |
US20190244827A1 (en) | Apparatus and method for anisotropic drie etching with fluorine gas mixture | |
CN113496888A (zh) | 基片处理方法和基片处理装置 | |
WO2024102146A1 (en) | Reducing aspect ratio dependent etch with direct current bias pulsing |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant |