KR20140116453A - 플라즈마 처리 시스템들에서의 혼합 모드 펄싱 에칭 - Google Patents

플라즈마 처리 시스템들에서의 혼합 모드 펄싱 에칭 Download PDF

Info

Publication number
KR20140116453A
KR20140116453A KR1020147021178A KR20147021178A KR20140116453A KR 20140116453 A KR20140116453 A KR 20140116453A KR 1020147021178 A KR1020147021178 A KR 1020147021178A KR 20147021178 A KR20147021178 A KR 20147021178A KR 20140116453 A KR20140116453 A KR 20140116453A
Authority
KR
South Korea
Prior art keywords
mmp
phase
gas
during
reaction
Prior art date
Application number
KR1020147021178A
Other languages
English (en)
Other versions
KR102062930B1 (ko
Inventor
카나리크 케렌 제이콥스
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20140116453A publication Critical patent/KR20140116453A/ko
Application granted granted Critical
Publication of KR102062930B1 publication Critical patent/KR102062930B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

적어도 하나의 플라즈마 생성 소스, 챔버의 내부 영역 내로 반응 가스를 제공하기 위한 반응 가스 소스, 및 내부 영역 내로 비-반응 가스를 제공하기 위한 비-반응 가스 소스를 가지는, 챔버에서 기판을 처리하기 위한 방법이 제공된다. 상기 방법은, 혼합-모드 펄싱 (MMP) 준비 페이즈 (phase) 를 수행하는 단계로서, 내부 영역 내로 반응 가스를 유동시키는 단계 및 제1 플라즈마를 형성하여 워크 피스 홀더 상에 배치된 기판을 처리하는 단계를 포함하는, 준비 페이즈를 수행하는 단계를 포함한다. 상기 방법은, MMP 반응 페이즈를 수행하는 단계로서, 상기 반응 페이즈를 수행하는 단계는 상기 내부 영역 내로 적어도 비-반응 가스를 유동시키는 단계 및 제2 플라즈마를 형성하여 제2 플라즈마로 기판을 처리하는 단계를 포함하고, 제2 플라즈마는 MMP 준비 페이즈 동안의 반응 가스 흐름보다 더 적은, MMP 반응 페이즈 동안의 반응 가스 흐름으로 형성되는, 반응 페이즈를 수행하는 단계를 더 포함한다. 상기 방법을 복수 번 반복한다.

Description

플라즈마 처리 시스템들에서의 혼합 모드 펄싱 에칭{MIXED MODE PULSING ETCHING IN PLASMA PROCESSING SYSTEM}
본 출원은 Keren Jacobs Kanarik에 의해 2011 년 12월 28일에 출원된 미국 출원 번호 61/581,054호 "MIXED MODE PULSING ETCHING IN PLASMA PROCESSING SYSTEM"로 명명된 공동-소유의 가특허출원에 대해 35 USC. 119(e) 하의 우선권을 주장하며, 이 모두는 참조로서 본 명세서에 포함된다.
플라즈마 처리 시스템은 집적 회로 또는 다른 전자 제품을 형성하기 위해 기판 (예컨대, 웨이퍼 또는 플랫 패널 또는 LCD 패널) 을 처리하도록 오랫동안 채용되었다. 통상적인 플라즈마 처리 시스템은 용량성 커플링된 플라즈마 처리 시스템 (CCP) 또는 유도성 커플링된 플라즈마 처리 시스템 (ICP) 를 포함할 수도 있다.
일반적으로, 플라즈마 기판 처리는 (또한 뉴트럴 (neutral) 들로 지칭되는) 이온들 및 라디칼들의 균형을 수반한다. 전자 디바이스들이 더 작아지고/작아지거나 더 복잡해질수록, 선택성, 균일성, 높은 애스펙트 비율 (aspect ratio), 애스펙트 종속 에칭 (aspect dependent etching) 등과 같은 에칭 요구들이 증가해왔다. 압력, RF 바이어스, 전력 등과 같은 특정 파라미터들을 변화시킴으로써 제품들의 현재 세대에서 에칭들을 수행하는 것이 가능하였지만, 더 작고/작거나 더 정교한 제품들의 다음 세대는 다른 에칭 능력들을 요구한다. 현재의 기술로 이온들 및 라디칼들이 더 효과적으로 디커플링될 수 없고 독립적으로 제어될 수 없다는 사실은 몇몇의 플라즈마 처리 시스템들 내에서 이러한 더 작고/작거나 더 정교한 전자 디바이스들을 제조하도록 몇몇의 에칭 처리들을 수행하는 것을 제한하여 왔고, 몇몇의 경우 실시 불가능하게 하였다.
종래 기술에서, 에칭 동안 다른 시간에서 이온-대-라디칼 비율을 조절하기 위해 플라즈마 상태를 획득하도록 하는 시도들이 있었다. 통상적인 스킴 (scheme) 에서, 소스 RF 신호는 펄스 사이클의 하나의 페이즈 (예컨대, 펄스 온 (on) 페이즈) 동안 보통의 이온 대 뉴트럴 플럭스 비율 (ion to neutral flux ratio) 을 갖는 플라즈마를 얻도록 그리고 펄스 사이클의 다른 페이즈 동안 (예컨대, 펄스 오프 (off) 페이즈 동안) 더 낮은 이온 대 뉴트럴 플럭스 비율을 갖는 플라즈마를 획득하도록 펄싱될 수도 있다 (예컨대, 온 그리고 오프 (on and off)). 소스 RF 신호가 바이어스 RF 신호와 동기로 펄싱될 수도 있다는 것은 알려져 있다.
그러나, 종래 기술 펄싱이 제때 상이한 포인트에서 정상적인 이온 대 뉴트럴 플럭스 비율 플라즈마의 교번하는 페이즈들을 어느 정도 발생시키고 몇몇의 처리에 대해 동작 윈도우를 개방하였으나, 더 큰 동작 윈도우들이 여전히 요구된다는 것이 관찰되었다.
본 발명은 첨부 도면에서 제한의 방식으로 도시되지 않고 예시의 방식으로 도시되며, 도면에서 유사한 도면 부호는 유사한 엘리먼트를 지칭한다.
도 1은, 본 발명의 일 이상의 실시예에 따른, 비록 상이한 펄싱 주파수들로 펄싱되나, (반응 가스 및/또는 불활성 가스와 같은) 투입 가스 (input gas) 및 소스 RF 신호가 둘 다 펄싱되는 예시적인 조합 펄싱 스킴을 도시한다.
도 2는, 본 발명의 일 이상의 실시예에 따른, 다른 예시적인 조합 펄싱 기법을 도시한다.
도 3은, 본 발명의 일 이상의 실시예에 따른, 또 다른 예시적인 조합 펄싱 스킴을 도시한다.
도 4는, 본 발명의 일 이상의 실시예에 따른, 조합 펄싱 스킴을 위한 다른 가능한 조합을 도시한다.
도 5는, 본 발명의 일 이상의 실시예에 따른, 조합 펄싱을 수행하기 위한 단계들을 도시한다.
도 6은, 본 발명의 일 이상의 실시예에 따른, 가스 펄싱을 수행하기 위한 단계들을 도시한다.
도 7a 및 도 7b는, 본 발명의 실시예들에 따른, 도 6과 결합하여 논의되는 가스 펄싱 스킴의 상이한 예시적 변형들을 도시한다.
도 8은, 본 발명의 일 이상의 실시예에 따른, 적어도 MMP 준비 페이즈 및 MMP 반응 페이즈를 수반하는 각각의 사이클을 가지는, 실리콘 에칭 예시에 대한 개념적인 MMP 에칭 사이클들을 도시한다.
도 9는, 본 발명의 일 이상의 실시예에 따른, 몇몇의 이온들이 MMP 준비 페이즈에서 존재하는 다른 개념적인 MMP 에칭 사이클들을 도시한다.
도 10은, 본 발명의 일 이상의 실시예에 따른, 생산 ICP 챔버에서 MMP 에칭을 수행하기 위한 방법을 도시한다.
본 발명은 이제 첨부 도면에 도시된 바와 같이 본 발명의 몇몇의 실시예들과 관련하여 상세히 설명될 것이다. 이하 설명에서, 다수의 구체적인 세부사항들이 본 발명의 철저한 이해를 제공하도록 제시될 것이다. 그러나, 본 발명이 이 구체적인 세부사항들의 일부 또는 전부 없이 실시될 수도 있다는 것은 당업자에게 명백할 것이다. 다른 예시에서, 잘 알려진 처리 단계들 및/또는 구조들은 본 발명을 불필요하게 모호하게 하지 않도록 상세히 설명되지 않는다.
방법들 및 기법들을 포함한 다양한 실시예들이 이하 기술된다. 본 발명이 진보한 기법의 실시예들을 수행하기 위한 컴퓨터-판독가능한 인스트럭션들이 저장된 컴퓨터 판독가능한 매체를 포함하는 제품의 품목들을 또한 커버할 수도 있다는 것을 유념해야 한다. 컴퓨터 판독가능한 매체는, 예를 들어, 반도체, 자기, 광자기, 광학, 또는 다른 형태의 컴퓨터 판독가능한 코드를 저장하기 위한 컴퓨터 판독가능한 매체를 포함할 수도 있다. 또한, 본 발명은 본 발명의 실시예들을 실시하기 위한 장치를 또한 포함할 수도 있다. 그러한 장치는 본 발명의 실시예들에 관계된 태스크들을 수행하는 전용 회로들 및/또는 프로그램 가능한 회로들을 포함할 수도 있다. 그러한 장치의 예시들은 적절히 프로그램된 경우 범용 컴퓨터 및 또는 전용 컴퓨팅 디바이스를 포함하고 본 발명의 실시예들에 관계된 다양한 태스크들을 위해 구성된 컴퓨터/컴퓨팅 디바이스 및 전용/프로그램 가능한 회로들의 조합을 포함할 수도 있다.
본 발명의 실시예들은 제1 펄싱 주파수를 이용하여 투입 가스를 (예컨대, 반응 가스들 및/또는 불활성 가스들) 그리고 상이한 제2 펄싱 주파수에서 소스 RF 신호를 펄싱하는 조합 펄싱 스킴 (combination pulsing scheme) 과 관련된다. 유도성 커플링된 플라즈마 처리 시스템 및 유도성 RF 전력 소스가 본 명세서에 예시로서 논의하기 위해 채용되나, 본 발명의 실시예들은 용량성 커플링된 플라즈마 처리 시스템들 및 용량성 RF 전력 소스들에 동일하게 적용된다는 것이 이해되어야 한다.
일 이상의 실시예에서, 유도성 커플링된 플라즈마 처리 시스템 내에서 투입 가스는 더 느린 펄싱 주파수로 펄싱되고, 유도성 소스 RF 신호는 상이하고 더 빠른 펄싱 주파수로 펄싱된다. 예를 들어, 유도성 소스 RF 신호가 13.56 MHz 이면, 유도성 소스 RF 신호는, 예를 들어, 가스가 1 Hz 와 같은 상이한 펄싱 레이트로 펄싱되는 동안 100 Hz 로 펄싱될 수도 있다.
따라서, 완전한 가스 펄스 사이클은 이 예시에서 1 초이다. 가스 펄싱 듀티 사이클이 70% 이면, 가스는 1-초 가스 펄싱 기간의 70% 동안 온 (on) 이고 1-초 가스 펄싱 기간의 30% 동안 오프 (off) 일 수도 있다. 소스 RF 신호 펄싱 레이트가 100 Hz 이므로, 완전한 RF 신호 펄싱 기간은 10 ms 이다. RF 펄싱 듀티 사이클이 40% 이면, (13.56 MHz 신호가 온인 경우) RF 온-페이즈 (on-phase) 는 10 ms RF 펄싱 기간의 40% 이고 (13.56 MHz 신호가 오프인 경우) RF 오프 페이즈는 10 ms RF 펄싱 기간의 60% 이다.
일 이상의 실시예에서, 유도성 소스 RF 신호는 가스가 가스 자체의 가스 펄싱 주파수로 펄싱되는 동안 2개의 상이한 주파수들로 펄싱될 수도 있다. 예를 들어, 전술된 13.56 MHz RF 신호는 100 Hz 의 주파수 f1로 펄싱될 수도 있을 뿐만 아니라 주파수 f1의 온-페이즈 동안 상이하고 더 높은 주파수로 펄싱될 수도 있다. 예를 들어, RF 펄싱 듀티 사이클이 f1 펄스의 40% 이면, f1의 온-페이즈는 10 ms 의 40% 또는 4 ms 이다. 그러나, f1의 4 ms 온-페이즈 동안, RF 신호는 (400 Hz 와 같은) 상이하고 더 높은 주파수 f2로 또한 펄싱될 수도 있다.
본 발명의 실시예들은 가스 펄스들 및 RF 펄스들이 동기일 수도 있고 (즉, 펄스 신호들의 리딩 에지 (leading edge) 및/또는 하강 에지를 매칭) 또는 비동기일 수도 있다는 것을 고려한다. 듀티 사이클은 일정할 수도 있고, 또는 다른 펄싱 주파수와 독립인 방식 또는 다른 펄싱 주파수에 종속하는 방식으로 변화할 수도 있다.
일 이상의 실시예에서, 주파수 처핑 (chirping) 이 채용될 수도 있다. 예를 들어, RF 신호는 임의의 펄싱 기간들의 페이즈 또는 페이즈의 부분 동안 (예컨대, 임의의 RF 신호 또는 가스 펄싱 기간들), 상이한 주파수 (예컨대, 60 MHz 대 13.56 MHz) 가 채용될 수도 있도록 주기적 또는 비주기적 방식으로 RF 신호의 기본 주파수를 변화시킬 수도 있다. 비슷하게, 가스 펄싱 주파수는 요구된다면 주기적 또는 비주기적 방식으로 시간에 따라 변화될 수도 있다.
일 이상의 실시예에서, 전술된 가스 및 소스 RF 펄싱은 (바이어스 RF 신호의 펄싱, 전극으로의 DC 바이어스의 펄싱, 상이한 펄싱 주파수들로의 복수의 RF 주파수들의 펄싱, 임의의 파라미터의 페이즈의 변화 등과 같은) 일 이상의 다른 파라미터의 펄싱 또는 변화와 결합될 수도 있다.
본 발명의 실시예들의 특징들 및 이점들은 이하의 도면 및 설명을 참고하여 더 충분히 이해될 수도 있다.
도 1은, 본 발명의 일 실시예에 따른, 상이한 펄싱 주파수들로 펄싱되나, (반응 가스 및/또는 불활성 가스와 같은) 투입 가스 및 소스 RF 신호가 둘 다 펄싱되는 예시적인 조합 펄싱 스킴을 도시한다. 도 1의 예시에서, 투입 가스 (102) 는 약 2 초/펄스 또는 2MHz 의 (Tgp 가 가스 펄스의 주기인 경우, 1/Tgp 로 정의된) 가스 펄싱 레이트로 펄싱된다.
13.56 MHz 의 TCP 소스 RF 신호 (104) 는 (Trfp 가 RF 펄싱의 주기인 경우, 1/Trfp 로 정의된) RF 펄싱 레이트로 펄싱된다. 본 명세서에서의 RF 펄싱의 개념을 명확히하기 위해, (13.56 MHz RF 신호와 같은) RF 신호는 기간 (120) 동안 온이고 RF 신호는 기간 (122) 동안 오프이다. 가스 펄싱 레이트 및 RF 펄싱 레이트 각각은 (총 펄싱 기간으로 제산된 (divided) 펄스 온-시간으로 정의되는) 그 자체의 듀티 사이클 (duty cycle) 을 가질 수도 있다. 듀티 사이클이 임의의 펄스 신호들에 대해 50% 이어야 하는 필요조건들은 존재하지 않고, 듀티 사이클은 특정한 처리에 필요한 바대로 변화할 수도 있다.
일 실시예에서, 가스 펄싱 및 RF 신호 펄싱은 동일한 듀티 사이클에 있다. 다른 실시예에서, 가스 펄싱 및 RF 신호 펄싱은 입상 제어 (granular control) 를 최대화하도록 독립적으로 제어가능한 (그리고 상이할 수도 있는) 듀티 사이클에 있다. 일 이상의 실시예에서, 가스 펄싱 신호 및 RF 펄싱 신호의 리딩 에지 및/또는 트레일링 (trailing) 에지는 동기일 수도 있다. 일 이상의 실시예에서, 가스 펄싱 신호 및 RF 펄싱 신호의 리딩 에지 및/또는 트레일링 에지는 비동기일 수도 있다.
도 2에서, 가스 투입 (gas input) (202) 은 그 자체의 가스 펄싱 주파수로 펄싱된다. 그러나, 소스 RF 신호 (204) 는 가스가 (Tgp 가 가스 펄스의 주기인 경우, 1/Tgp 로 정의되는) 가스 자체의 가스 펄싱 주파수로 펄싱되는 동안 2개의 상이한 주파수들로 펄싱될 수도 있다. 예를 들어, RF 신호는 (도면으로부터 1/Tf1 로 정의되는) 주파수 f1로 펄싱될 수도 있을 뿐만 아니라 f1 펄싱의 온-페이즈 동안 상이하고 더 높은 주파수로 또한 펄싱될 수도 있다. 예를 들어, 이 f1 펄싱의 온-페이즈 동안, RF 신호는 (도면으로부터 1/Tf2로 정의되는) 상이한 펄싱 주파수 f2로 펄싱될 수도 있다.
도 3에서, 가스 투입 (302) 은 그 자체의 가스 펄싱 주파수로 펄싱된다. 그러나, 소스 RF 신호 (304) 는 가스가 가스 자체의 가스 펄싱 주파수로 펄싱되는 동안 3개의 상이한 주파수들로 펄싱될 수도 있다. 예를 들어, RF 신호는 (도면으로부터 1/Tf1 로 정의되는) 주파수 f1로 펄싱될 수도 있을 뿐만 아니라 f1 펄싱의 온-페이즈 동안 상이하고 더 높은 주파수로 또한 펄싱될 수도 있다. 따라서, 이 f1 펄싱의 온-페이즈 동안, RF 신호는 (도면으로부터 1/Tf2 로 정의되는) 상이한 펄싱 주파수 f2 로 펄싱될 수도 있다. f1 펄싱의 오프-페이즈 동안, RF 신호는 (도면으로부터 1/Tf3 으로 정의되는) 상이한 펄싱 주파수 f3으로 펄싱될 수도 있다.
추가적으로 또는 대안적으로, 듀티 사이클이 도 1 내지 도 3의 예시들에서 일정한 것으로 도시되었으나, 듀티 사이클은, 주기적 또는 비주기적인 방식으로 그리고 (가스 펄싱 신호, RF 펄싱 신호, 또는 다른 것이든) 펄싱 신호들 중 하나의 페이즈들에 독립적으로 또는 종속적으로, 또한 변화할 수도 있다. 또한, 듀티 사이클의 변화는 (가스 펄싱 신호, RF 펄싱 신호, 또는 다른 것이든) 펄싱 신호들 중 임의의 하나의 페이즈에 대하여 동기 또는 비동기일 수도 있다.
일 실시예에서, RF 펄싱의 듀티 사이클은 가스 펄스의 온-페이즈 동안 하나의 값이도록 (예컨대, 도 1의 154) 유리하게 설정되고, RF 펄싱의 듀티 사이클은 가스 펄스의 오프-페이즈 동안 다른 상이한 값이도록 (예컨대, 도 1의 156) 설정된다. 바람직한 실시예에서, RF 펄싱의 듀티 사이클은 가스 펄스의 온-페이즈 동안 하나의 값이도록 (예컨대, 도 1의 154) 유리하게 설정되고 RF 펄싱의 듀티 사이클은 가스 펄스의 오프-페이즈 동안 더 낮은 값이도록 (예컨대, 도 1의 156) 설정된다. 듀티 사이클이 가스 펄싱의 온 페이즈 동안 더 높고 가스 펄싱의 오프 페이즈 동안 더 낮은 이 RF 펄싱 듀티 사이클 실시예가 몇몇의 에칭들에 유리하다는 것이 고려된다. 듀티 사이클이 가스 펄싱의 온 페이즈 동안 더 낮고 가스 펄싱의 오프 페이즈 동안 더 높은 이 RF 펄싱 듀티 사이클 변화는 몇몇의 에칭들에 유리하다는 것이 고려된다. 용어는 본 명세서에 채용되는 한에서는, 신호가 펄싱된 경우, 듀티 사이클은 신호가 펄싱되는 시간 동안 100% 가 아니다 (즉, 펄싱과 "항상 온"은 2개의 상이한 개념들이다).
추가적으로 또는 대안적으로, 주파수 처핑은 (가스 펄싱 신호, RF 펄싱 신호, 또는 다른 것이든) 임의의 펄싱 신호들에 채용될 수도 있다. 주파수 처핑은 이하의 도 4에서 RF 펄싱 신호와 관련하여 더 상세히 설명된다.
일 이상의 실시예에서, 가스는 가스 펄싱 온 페이즈 동안 반응 가스(들) 및 (아르곤, 헬륨, 제논, 크립톤, 네온 등과 같은) 불활성 가스(들)이 레시피에 의해 명시된 바대로 펄싱된다. 가스 펄싱 오프 페이즈 동안, 반응 가스(들) 및 불활성 가스(들) 둘 중 적어도 일부가 제거될 수도 있다. 다른 실시예에서, 반응 가스(들) 중 적어도 일부가 가스 펄싱 오프 페이즈 동안 불활성 가스(들)에 의해 제거되거나 교체된다. 유리한 실시예에서, 반응 가스(들) 중 적어도 일부가 챔버 압력을 실질적으로 동일하게 유지하도록 가스 펄싱 오프 페이즈 동안 불활성 가스(들)에 의해 제거되고 교체된다.
일 이상의 실시예에서, 가스 펄싱 오프 페이즈 동안, 챔버로 흘려지는 총 가스(들)에 대한 불활성 가스(들)의 백분율은 약 X% 내지 약 100% 로 변화할 수도 있고, 여기서 X는 가스 펄싱 온 페이즈 동안 채용되는 총 가스 흐름에 대한 불활성 가스(들)의 백분율이다. 더 바람직한 실시예에서, 챔버로 흘려지는 총 가스(들)에 대한 불활성 가스(들)의 백분율은 약 1.1X% 내지 약 100% 로 변화할 수도 있고, 여기서 X는 가스 펄싱 온 페이즈 동안 채용되는 총 가스 흐름에 대한 불활성 가스(들)의 백분율이다. 바람직한 실시예에서, 챔버로 흘려지는 총 가스(들)에 대한 불활성 가스(들)의 백분율은 약 1.5X% 내지 약 100% 로 변화할 수도 있고, 여기서 X는 가스 펄싱 온 페이즈 동안 채용되는 총 가스 흐름에 대한 불활성 가스(들)의 백분율이다.
가스 펄싱 레이트는 챔버 내에서의 가스의 체류 시간 (residence time) 에 의해 하이 엔드 (high end) (상한 주파수 (upper frequency limit)) 로 제한된다. 이 체류 시간 개념은 당업자에게 알려져 있고 챔버 설계에 따라 다양하다. 예를 들어, 체류 시간은 통상적으로 용량성 커플링된 챔버에 대해 수십 밀리초 내 범위에 있다. 다른 예시에서, 체류 시간은 통상적으로 유도성 커플링된 챔버에 대해 수십 밀리초 내지 수백 밀리초 내 범위에 있다.
일 이상의 실시예에서, 가스 펄싱 기간은 10 밀리초 내지 50 초, 더 바람직 하게는 50 밀리초 내지 약 10 초, 그리고 바람직하게는 약 500 밀리초 내지 약 5 초 범위에 있을 수도 있다.
소스 RF 펄싱 기간은 본 발명의 실시예들에 따른 가스 펄싱 기간 보다 더 낮다. RF 펄싱 주파수는 RF 신호의 주파수에 의해 상부 엔드 (upper end) 로 제한된다 (예컨대, RF 주파수가 13.56 MHz 이면, 13.56 MHz 는 RF 펄싱 주파수에 대한 상한을 확립할 것이다).
도 4는, 본 발명의 일 이상의 실시예에 따른, 다른 가능한 조합들을 도시한다. 도 4에서, (바이어스 RF 또는 임의의 다른 주기적 파라미터와 같은) 다른 신호 (406) 는 가스 펄싱 신호 (402) 및 (430 및 432로 도시되는 바와 같이 펄싱되는) 소스 RF 펄싱 신호 (404) 를 따라 펄싱될 수도 있다. 신호 (406) 의 펄싱은 시스템 내의 임의의 다른 신호들과 동기이거나 비동기될 수도 있다.
대안적으로 또는 추가적으로, (DC 바이어스 또는 온도 또는 압력 또는 임의의 다른 비주기적 파라미터와 같은) 다른 신호 (408) 는 가스 펄싱 신호 (402) 및 소스 RF 펄싱 신호 (404) 를 따라 펄싱될 수도 있다. 신호 (408) 의 펄싱은 시스템 내에서 임의의 다른 신호들과 동기이거나 비동기될 수도 있다.
대안적으로 또는 추가적으로, (RF 소스 또는 RF 바이어스 또는 임의의 다른 비주기적 파라미터와 같은) 다른 신호 (410) 는 가스 펄싱 신호 (402) 를 따라 처핑되고 펄싱될 수도 있다. 예를 들어, 신호 (410) 가 펄싱하는 동안, 신호 (410) 의 주파수는 신호 (410) 또는 (가스 펄싱 신호와 같은) 다른 신호의 위상에 종속하여 변화할 수도 있고, 또는 툴 제어 컴퓨터로부터의 제어 신호에 대응하여 변화할 수도 있다. 도 1의 예시에서, 도면 부호 422 는 도면 부호 420 과 관련된 주파수 보다 더 높은 주파수의 영역을 가리킨다. 더 낮은 주파수 (422) 의 예시는 27 MHz 일 수도 있고 더 높은 주파수 (420) 의 예시는 60 MHz 일 수도 있다. 신호 (410) 의 펄싱 및/또는 처핑은 시스템 내에서 임의의 다른 신호들과 동기이거나 비동기될 수도 있다.
도 5는, 본 발명의 일 실시예에 따른, 조합 펄싱을 수행하기 위한 단계들을 도시한다. 도 5의 단계들은, 예를 들어, 일 이상의 컴퓨터들의 제어 하에 있는 소프트웨어를 통해 실행될 수도 있다. 소프트웨어는, 일 이상의 실시예에서 비일시적 컴퓨터 판독가능한 매체를 포함하는, 컴퓨터 판독가능한 매체 내에 저장될 수도 있다.
단계 (502) 에서, 기판은 플라즈마 처리 챔버 내에 제공된다. 단계 (504) 에서, 기판은 RF 소스 및 투입 가스 양쪽을 펄싱하는 동안 처리된다. (RF 바이어스 또는 다른 신호와 같은) 일 이상의 다른 신호들의 선택적 펄싱은 단계 (506) 에서 도시된다. 단계 (508) 에서, 주파수, 듀티 사이클, 가스 백분율 등이 RF 소스 및 투입 가스를 펄싱하는 동안 선택적으로 변화될 수도 있다.
일 이상의 실시예에서, 가스는, 주기적으로 반복되는 사이클들을 가지고, 적어도 사이클 당 2 개의 페이즈들이 존재하도록 펄싱된다. RF 소스 신호를 포함하는 여타의 파라미터들은 펄싱되지 않은채로 남겨질 수도 있다. 제1 페이즈 동안, (복수의 상이한 에칭 및/또는 폴리머-성형 가스들을 포함할 수도 있는) 반응 가스 대 (아르곤, 헬륨, 제논, 크립톤, 네온 등 중 일 이상과 같은) 불활성 가스 비율은 제1 비율에 있다. 제2 페이즈 동안, 반응 가스 대 불활성 가스 비율은 제1 비율과는 상이한 제2 비율에 있다. 만약 제2 페이즈 동안 챔버로의 총 가스 흐름에 대한 반응 가스 흐름의 비율이 감소되면 (즉, 챔버로의 총 가스 흐름에 대한 불활성 가스의 비율이 증가되면), 챔버는 제1 페이즈에서보다 제2 페이즈 동안 불활성 가스의 더 높은 백분율을 포함한다. 이러한 경우에, 플라즈마 이온 플럭스가 에칭을 수행하기 위해 불활성 가스로 주로 형성되는 이온-우세 플라즈마 (ion-dominant plasma) 가 발생한다.
이것은 가스를 펄싱하도록 반응 가스가 부가되는 선행 기술 상황과는 다르다. 챔버로의 반응 가스 흐름을 증가시키지 않으면서 챔버 내의 불활성 가스의 백분율을 증가시킴으로써, 본 발명의 실시예들은 에칭 균일성, 방향성 및/또는 선택성을 개선시키는 이온-풍부 (ion-rich) 플라즈마를 달성한다.
일 실시예에서, 상기 비율은 챔버로의 임의의 반응 (에천트 또는 폴리머-성형) 가스들을 부가시키는 것에 의한 것이 아니고, 반응 가스들 유동 레이트를 감소시키는 것에 의해 변화되어, 반응 가스에 대한 불활성 가스의 유동 백분율을 증가시킨다. 이 실시예에서, 챔버 압력은 제2 페이즈 동안 본질적으로 (inherently) 감소될 것이다.
대안적으로 또는 추가적으로, 불활성 가스(들)에 대한 반응 가스(들)의 비율은, 챔버로의 반응 가스(들) 흐름을 일정하게 유지하거나 (챔버로의 반응 가스들 흐름을 증가시키지는 않지만) 반응 가스(들) 흐름을 감소시키면서 챔버로의 불활성 가스(들) 흐름을 증가시킴으로써 변화될 수도 있다. 일 실시예에서, 불활성 가스의 흐름은 반응 가스의 흐름에서의 감소를 상쇄하도록 (offset) 증가된다. 이 실시예에서, 챔버 압력은 제1 페이즈 및 제2 페이즈 동안 실질적으로 동일하게 유지된다. 다른 실시예에서, 불활성 가스의 흐름은 증가되지만, 반응 가스의 흐름에서의 감소를 완전히 상쇄하기에는 불충분하다. 이 실시예에서, 챔버 압력은 제2 페이즈 동안 감소된다. 다른 실시예에서, 불활성 가스의 흐름은 반응 가스의 흐름에서의 감소를 상쇄하기에 충분한 것보다 더 많이 증가된다. 이 실시예에서, 챔버 압력은 제2 페이즈 동안 증가된다.
언급된 바와 같이, 일 이상의 실시예들에서, 가스 펄싱 제2 페이즈 동안, 챔버로 흘려지는 총 가스(들)에 대한 불활성 가스(들)의 백분율은 약 X% 내지 약 100%로 변화할 수도 있고, 여기서 X는 플라즈마 챔버가 처리 동안 안정화된 때 존재하는 총 가스 흐름에 대한 불활성 가스(들)의 백분율이거나 제1 페이즈 동안 존재하는 총 가스 흐름에 대한 불활성 가스(들)의 백분율이다. 더 바람직한 실시예에서, 챔버로 흘려지는 총 가스(들)에 대한 불활성 가스(들)의 백분율은 약 1.1X% 내지 약 100%로 변화할 수도 있다. 바람직한 실시예에서, 챔버로 흘려지는 총 가스(들)에 대한 불활성 가스(들)의 백분율은 제2 페이즈 동안 약 1.5X% 내지 약 100%로 변화할 수도 있다.
가스 펄싱 레이트는 챔버 내에서 가스의 체류 시간 (residence time) 에 의해 하이 엔드 (high end) (상한 주파수 (upper frequency limit)) 로 제한된다. 언급된 바와 같이, 예를 들어, 체류 시간은 통상적으로 용량성 커플링된 챔버에 대해 수십 밀리초 내 범위에 있다. 다른 예시에서, 체류 시간은 통상적으로 유도성 커플링된 챔버에 대해 수십 밀리초 내지 수백 밀리초 내 범위에 있다. 또한 언급된 바와 같이, 일 이상의 실시예에서, 가스 펄싱 기간은 10 밀리초 내지 50 초, 더 바람직하게는 50 밀리초 내지 약 10 초, 그리고 바람직하게는 약 500 밀리초 내지 약 5 초 범위에 있을 수도 있다.
일 이상의 실시예에서, 주기적 펄싱의 제2 페이즈 동안 추가된 불활성 가스는 동일한 불활성 가스이거나 상이한 화학적 조성을 가진 상이한 불활성 가스 및/또는 상이한 구성성분 가스들 (constituent gases) 일 수도 있다. 대안적으로 또는 추가적으로, 가스 펄싱 레이트의 듀티 사이클은 1% 내지 99%로 변화할 수도 있다. 대안적으로 또는 추가적으로, 가스 펄싱 레이트는 처핑될 수도 있고, 즉 처리 동안 변화할 수도 있다. 예를 들어, 가스 펄싱은 40%의 듀티 사이클로 5-초 가스 펄싱 기간을 가지고 행하여 질 수도 있고, 이후 동일한 40% 듀티 사이클 또는 상이한 듀티 사이클로 9-초 가스 펄싱 기간으로 스위칭될 수도 있다. 처핑은 처핑 주파수에 따라서 주기적으로 행하여질 수도 있다 (예컨대 20초 처핑 주파수, 여기서 가스 펄싱 주파수는 매 20초마다 변화될 수도 있음).
도 6은, 본 발명의 일 이상의 실시예에 따른, 가스 펄싱을 수행하기 위한 단계들을 도시한다. 도 6의 단계들은 예를 들어, 일 이상의 컴퓨터들의 제어 하에 소프트웨어를 통해 수행될 수도 있다. 소프트웨어는, 일 이상의 실시예에서 비-일시적인 컴퓨터 판독가능한 매체를 포함하는 컴퓨터 판독가능한 매체에 저장될 수도 있다.
단계 (602) 에서, 기판은 플라즈마 처리 챔버에 제공된다. 단계 (604) 에서, 플라즈마가 챔버 내에 생성되고, 반응 가스 흐름에 대한 불활성 가스 흐름의 기준 비율 (baseline ratio) 로 안정화된다. 단계 (606) 에서, 반응 가스 흐름에 대한 불활성 가스 흐름의 비율은 챔버로의 반응 가스 흐름을 증가시키지 않으면서 가스 펄싱의 일 페이즈에서 증가된다. 단계 (608) 에서, 반응 가스 흐름에 대한 불활성 가스의 비율은, 챔버로의 반응 가스 흐름을 증가시키지 않으면서 가스 펄싱의 다른 페이즈에서, 단계 (606) 의 반응 가스 흐름에 대한 불활성 가스 흐름의 비율에 대하여, 감소된다. 다양한 실시예들에서, 단계 (608) 에서의 반응 가스 흐름에 대한 불활성 가스 흐름의 비율은 단계 (604) (안정화 플라즈마 단계) 의 반응 가스 흐름에 대한 불활성 가스 흐름의 비율과 같거나 안정화 단계 (604) 의 반응 가스 흐름에 대한 불활성 가스 흐름의 비율보다 더 높거나 더 낮을 수도 있다. 단계 (610) 에서, 기판은 단계 (606) 및 단계 (608) 의 상기 비율들로 주기적으로 변동하는 앞서 언급된 불활성 가스-대-반응 가스 흐름 비율을 가짐으로써 가스가 펄싱되면서 처리된다.
도 7a 및 도 7b는, 본 발명의 실시예들에 따른, 도 6과 결합하여 논의되는 가스 펄싱 스킴의 상이한 예시적 변형들을 도시한다. 도 7a의 예시에서, 케이스 A, B, C 및 D는 반응 가스에 대한 불활성 가스의 다양한 비율을 나타낸다. 케이스 A에서, 반응 가스 (R) 에 대한 불활성 가스 (I) 의 비율은 예를 들어 3:7이다. 케이스 B에서, 반응 가스에 대한 불활성 가스의 비율은 예를 들어 8:1이다. 케이스 C에서, 반응 가스에 대한 불활성 가스의 비율은 예를 들어 1:9이다. 케이스 D에서, 챔버로의 가스 흐름은 본질적으로 (essentially) 모두 불활성이다. 예시적인 비율 값들이 주어지지만, 상기 비율들의 정확한 값들은 단지 예시적이며; 중요한 점은 이러한 케이스들 모두는 서로에 대하여 상이한 비율들을 가진다는 점이다.
도 7b에서, 예시적인 펄싱 (702) 은 바람직한 실시예에서 ADAD일 수도 있고, 여기서 가스 펄스는 도 7a의 케이스 A 및 케이스 D 간에 주기적으로 변동되고 반복될 수도 있다.
다른 예시적인 펄싱 (704) 은 ABABAB/ADAD/ABABAB/ADAD일 수도 있고, 여기서 가스 펄스는 도 7a의 케이스 A 및 케이스 B 간에 주기적으로 변동되고, 이후 도 7a의 케이스 A 및 케이스 D 간에 주기적으로 변동되고, 이후 도 7a의 케이스 A 및 케이스 B로 돌아가고, 반복될 수도 있다.
다른 예시적인 펄싱 (706) 은 ABABAB/ACAC/ABABAB/ACAC일 수도 있고, 여기서 가스 펄스는 도 7a의 케이스 A 및 케이스 B 간에 주기적으로 변동되고, 이후 도 7a의 케이스 A 및 케이스 C 간에 주기적으로 변동되고, 이후 도 7a의 케이스 A 및 케이스 B로 돌아가고, 반복될 수도 있다.
다른 예시적인 펄싱 (708) 은 ABABAB/CDCD/ABABAB/CDCD일 수도 있고, 여기서 가스 펄스는 도 7a의 케이스 A 및 케이스 B 간에 주기적으로 변동되고, 이후 도 7a의 케이스 C 및 케이스 D 간에 주기적으로 변동되고, 이후 도 7a의 케이스 A 및 케이스 B로 돌아가고, 반복될 수도 있다.
다른 예시적인 펄싱 (710) 은 ABABAB/CDCD/ADAD/ABABAB/CDCD/ADAD일 수도 있고, 여기서 가스 펄스는 도 7a의 케이스 A 및 케이스 B 간에 주기적으로 변동되고, 이후 도 7a의 케이스 C 및 케이스 D 간에 주기적으로 변동되고, 이후 도 7a의 케이스 A 및 케이스 D 간에 주기적으로 변동되고, 이후 도 7a의 케이스 A 및 케이스 B로 돌아가고, 반복될 수도 있다.
다른 예시들은 ABAB/CDCD/ADAD/ACAC와 같이 4개의 페이즈들을 포함할 수도 있고, 반복될 수도 있다. 복합 펄싱 (complex pulsing) 은 예를 들어 인-시츄 에칭-후-세정 (in-situ etch-then-clean) 또는 다중-단계 에칭 등을 포함하는 프로세스들에 매우 유리하다.
다른 실시예에서, 도 6, 도 7a 및 도 7b의 가스 펄싱은 전력공급된 전극에 공급되는 RF 바이어스 신호의 비동기적인 또는 동기적인 펄싱과 결합될 수도 있다. 예시에서, 가스가 가스 펄싱 사이클의 일 페이즈에서 높은 불활성 가스 백분율 또는 100% 이거나 100%에 근접한 불활성 가스 백분율로 펄싱되는 경우, RF 바이어스 신호는 높게 펄싱된다. 가스가 가스 펄싱 사이클의 다른 페이즈에서 더 낮은 불활성 가스 백분율로 펄싱되는 경우, RF 바이어스 신호는 낮게 펄싱되거나 제로 (zero) 이다. 다양한 실시예들에서, RF 바이어스 신호의 펄싱 주파수는 가스 펄싱의 펄싱 주파수와 비교하여 같거나 상이할 수도 있다. 다양한 실시예들에서, RF 바이어스 신호의 듀티 사이클은 가스 펄싱의 듀티 사이클과 비교하여 같거나 상이할 수도 있다. 처핑은 원한다면 RF 바이어스 신호 펄싱 및 가스 펄싱 양자 모두 또는 어느 하나로 채용될 수도 있다.
각각의 가스 펄싱 예시들에서, 펄싱 주파수, 펄스들의 수, 듀티 사이클 등은 에칭 동안 내내 일정하게 유지될 수도 있고, 요구되는 바와 같이 주기적으로 또는 비-주기적으로 변동될 수도 있다.
상술한 것으로부터 이해될 수 있는 바와 같이, 본 발명의 실시예들은 에칭 처리에 대한 처리 윈도우를 넓힐 수 있는 다른 제어 노브 (knob) 를 제공한다. 다수의 현재의 플라즈마 챔버들은, 펄싱 밸브들 또는 펄싱 질량 흐름 제어기 (mass flow controller) 들을 이미 제공받으므로, 필요로 하는 비싼 하드웨어 보강 (retrofitting) 없이 도 6, 도 7a 및 도 7b와 본 명세서의 논의에 따른 가스-펄싱의 실행이 달성될 수도 있다. 또한, 만약 RF 펄싱이 가스 펄싱과 함께 요구된다면, 많은 현재의 플라즈마 챔버들은 이미 펄스-가능한 RF 전력 공급부들과 함께 제공된다. 따라서, 가스/RF 전력 펄싱을 통한 더 넓은 처리 윈도우의 달성은 필요로 하는 비싼 하드웨어 보강없이 얻어질 수도 있다. 현재의 툴 소유자들은 작은 (minor) 소프트웨어 업그레이드 및/또는 작은 하드웨어 변화들로 향상된 에칭들을 달성하도록 기존의 (existing) 에칭 처리 시스템들에 영향을 줄 수도 있다. 또한, 이온-대-라디칼 플럭스 비율의 향상된 그리고/또는 더 나은 입상 제어를 가짐으로써, 선택성 및 균일성 및 역 RIE 지연 효과들 (reverse RIE lag effects) 이 향상될 수도 있다. 예를 들어, 라디칼 플럭스에 대한 이온 플럭스를 증가시킴으로써 몇몇의 경우 기판상에서 다른 층에 대한 하나의 층의 선택성을 향상시킬 수도 있다. 그러한 이온-대-라디칼의 향상된 제어로, 원자 층 에칭 (ALE) 이 더 효과적으로 달성될 수 있다.
일 이상의 실시예에서, 혼합 모드 펄싱 (MMP; mixed mode pulsing) 에칭이 개시되는데, 그래서 이 에칭은 다중-스텝 시퀀스 (multi-step sequence) 를 반복하는 것을 수반하고, 각각의 시퀀스는 적어도 MMP 준비 (MMPP) 페이즈 및 MMP 반응 (MMPR) 페이즈를 수반한다. 혼합 모드 펄싱은, 생산 (production) 유도성 커플링된 플라즈마 (ICP, 또한 어떤 예시들에서 플라즈마 커플링된 트랜스포머 (transformer) 또는 TCP로 알려진) 챔버에서의 또는 용량성 커플링된 플라즈마 (CCP) 챔버에서 인 시츄로 (in situ) 일시적으로 (temporally) (즉, 시간에 맞춰 (in time)) 이온들 및 뉴트럴 라디칼 (neutral radical) 들을 보다 완전히 분리하도록 구성된다.
명확화를 위해, MMP 에칭은, 예를 들어 원자 층 에칭 (ALE) 또는 선행 기술에서 다른 챔버 (예컨대, 빔-타입 (beam-type) 챔버) 의 사용을 통상적으로 필요로 하는 종류의 매우 정교한 에칭을 달성하도록 생산 유도성 커플링된 플라즈마 (ICP) 챔버에서 실행된다. 창의적인 MMP 에칭이 이러한 원자 층 에칭 (ALE) 또는 생산 ICP 챔버에서의 정교한 층마다의 (layer-by-layer) 에칭을 가능하게 한다는 사실은 본질적으로 (substantially) 전체적인 쓰루풋 (throughput) 을 개선시키며, 이는 ALE 또는 정교한 층마다의 에칭을 위해 생산 챔버로부터 다른 챔버로 기판을 이송시킬 필요가 없기 때문이다. 창의적인 MMP 에칭은 또한 전문화된 ALE 또는 층마다의 에칭 장비에 대한 필요를 제거시키고, 이에 따라 제조 비용을 감소시킨다. MMP 에칭은 또한 생산 ICP 챔버에서 채용되어 본 명세서에서 후에 논의될 바와 같이 높은 선택성 에칭 (high selectivity etching) 을 달성한다.
명확화를 위해, 그것의 구조가 잘 알려진 ICP 챔버는, 유전체 윈도우를 통하여 RF 에너지를 반응 가스들 및 여타의 가스들로부터 형성된 플라즈마 클라우드 (plasma cloud) 에 유도적으로 커플링하기 위한 적어도 하나의 RF-전력공급되는 유도 코일 (inductive coil) 의 사용을 수반한다. 플라즈마 클라우드는 유전체 윈도우 아래에 배치되지만 기판 에칭을 위해 기판 위에 배치된다. 기판 그 자체는 워크 피스 홀더 (work piece holder) 상에 배치되는데, 통상적으로 예를 들어 ESC 척 위에 배치된다. 워크 피스 홀더에는 또한 요구된다면 그것 자신의 RF 신호(들)이 제공될 수도 있다. 워크 피스 홀더에 제공된 RF 에너지는 바이어스 전력으로 알려져있다. ICP 챔버들은 오늘날의 IC (integrated circuit) 가공 설비들에서 기판들을 생산하는데 공통적으로 채용되고, 높은 쓰루풋에 적합하다.
일 이상의 실시예에서, MMP 준비 페이즈는 반응 가스들로부터 (또한 뉴트럴로 알려진) 라디칼들을 생성하는 플라즈마를 사용하는 것을 수반한다. 일 실시예에서 어떠한 바이어스 전력도 기판 워크 피스 홀더에 인가되지 않는다. 바이어스 전력의 제거 또는 최소한의 사용은 MMP 준비 페이즈동안의 이온들의 영향을 감소시키는데 중요하다.
예시로서 실리콘 에칭을 사용하면, 반응 가스는 예를 들어 염소 (Cl2) 일 수도 있다. 에칭될 재료에 의존하면, 다른 반응 가스들은 예를 들어 CxFy 또는 CHxFy (여기서, x 및 y는 정수들), CH3Cl, N2, BCL3, O2 또는 여타의 기판 에칭을 위해 일반적으로 사용되는 반응 가스들일 수도 있다. MMP 준비 페이즈동안, 플라즈마는 반응 가스로부터 형성되고, 실리콘 기판의 노출된 상단 층으로 흡수하는 것이 허용된다. MMP 준비 페이즈는, 흡수가 일 실시예에서 실리콘의 적어도 하나의 원자 층을 관통하는 것을 허용하도록 시간이 맞춰지고 (timed), 만약 보다 강력한 에칭이 요구된다면 다른 실시예에서는 복수의 실리콘 층을 관통하는 것을 허용하도록 시간이 맞춰진다.
챔버의 파라미터들은, MMP 준비 페이즈에서 흡수된 SiCl 층을 과도하게 제거하지 않으면서 흡수의 속도를 증가시키도록 최적화된다. 예를 들어, 유도 코일 RF 주파수는, 일 이상의 실시예에서 흡수를 증진시키도록 MMP 반응 페이즈과 관련하여 MMP 준비 페이즈 동안 상이할 수도 있다. 대안적으로 또는 부가적으로, 다른 예시로서, 기판 또는 기판 표면은 MMP 준비 페이즈 동안 가열될 (또는 냉각될) 수도 있다. 대안적으로 또는 부가적으로, 다른 예시로서, 유도 코일 RF 전력은, 이온 에너지를 감소시키고/감소시키거나 흡수를 촉진시키도록 (온 및 오프 사이클들의 지속 시간 (duration) 에 대해 대칭적이거나 비대칭적으로) 펄싱-온 (pulsing on) 그리고 펄싱-오프 (pulsing off) 될 수도 있다. 일 이상의 실시예에서, 유도 코일 RF 신호(들)은 단일 MMP 준비 페이즈 동안 상이한 RF 주파수들로 처핑될 수도 있다.
대안적으로 또는 부가적으로, 다른 예시로서, (가변적인 갭 (gap) 챔버의) 전극들 간의 챔버 갭은, 이온 에너지 레벨의 낮춤, 셀프-바이어스 (self-bias) 의 감소 및/또는 이온의 영향 감소를 위해 MMP 반응 페이즈에 비해 MMP 준비 페이즈 동안 더 크게 설정될 수도 있다. 대안적으로 또는 부가적으로, 다른 예시로서, 이온들이 부수적으로 (incidentally) 생성된다면, 파라미터들은 일 이상의 실시예에서 이온 에너지는 홉수된 SiCl 층을 에칭하는데 요구되는 레벨보다 낮도록 맞춰질 수도 있다. 예를 들어, 챔버 압력은 일 이상의 실시예에서 이온 에너지를 감소시키기 위해 MMP 준비 페이즈 동안 (예를 들어, 일 예시적인 에칭에서 40mT 이상으로) 높게 유지될 수도 있다.
일 이상의 실시예에서, (아르곤과 같은) 어떤 비-반응 가스는 MMP 준비 페이즈 동안 허용될 수도 있다. 그러나, 허용된다면, MMP 준비 페이즈 동안의 이러한 비-반응 가스 흐름은 MMP 반응 페이즈 동안 일어나는 비-반응 가스 흐름의 총량보다 낮도록 설정된다. 동일한 비-반응 가스는 MMP 준비 페이즈 및 MMP 반응 페이즈 양자 모두에 채용될 수도 있고, 또는 상이한 비-반응 가스들이 채용될 수도 있다. 다른 실시예들에서, MMP 준비 페이즈는 단지 반응 가스들 (예컨대, 염소) 을 수반하고, MMP 준비 페이즈 동안 어떠한 비-반응 가스 (예컨대, 아르곤) 도 채용되지 않는다.
일 이상의 실시예에서, 상이한 반응 가스들이 단일 MMP 준비 페이즈 동안 동시에 채용될 수도 있다. 대안적으로, 일 이상의 실시예에서, 상이한 반응 가스들이 MMP 준비 페이즈 동안 챔버 내로 순차적인 순서로 흘려질 수도 있다. 이것은 두 개의 또는 여타의 화합물들을 에칭하는데 유리할 수도 있다. 요구된다면, 챔버는 MMP 준비 페이즈 동안 상이한 반응 가스들의 흐름 간에서 비-반응 가스 (예컨대, 아르곤) 로 씻어내어질 수도 있다 (flushed).
단일 원자 층 에칭이 요구되는 ALE 에칭 또는 작은 수의 원자 층들의 에칭이 요구되는 ALE 에칭을 위해, 어떠한 바이어스 전력도 MMP 준비 페이즈 동안 인가되지 않는 것이 바람직하다. 정확성을 유지하면서 보다 높은 쓰루풋이 요구되는 어플리케이션들에서, (MMP 반응 페이즈 동안 인가되는 바이어스 전력에 비하여) 작은 양의 바이어스 전력이 반응성 종들 (reactive species) 의 어느 정도의 주입 (some implantation) 을 촉진시키기 위해 MMP 준비 페이즈 동안 인가될 수도 있다. 만약 작은 양의 바이어스 전력이 MMP 준비 페이즈 동안 인가된다면, 이러한 바이어스 전력은 MMP 준비 페이즈 동안 일정하게 유지될 수도 있거나 요구된다면 (유도 코일 RF 펄싱과 동기적으로 또는 비동기적으로) 펄싱될 수도 있다.
MMP 준비 페이즈 이후에, MMP 반응 페이즈가 존재하며 이 MMP 반응 페이즈 동안 반응 가스들이 챔버 내에 존재되도록 허용되지 않고, 플라즈마는 특정한 이온 에너지 윈도우를 가진 플라즈마를 형성하도록 비-반응 가스들 (예컨대, 불활성 가스들) 로부터 생성된다. 상술한 실리콘 예시에서, 아르곤은 MMP 반응 페이즈 동안 비-반응 가스로 채용될 수도 있다. 대안적으로 또는 부가적으로, 비-반응 가스(들)은 Xe, He, Ne 또는 이들의 임의의 클러스터들 (clusters) 일 수도 있다.
MMP 반응 페이즈에서, (반응 가스들의 부재 시 비-반응 가스들로부터 생성되는) Ar+ 이온들의 이온 에너지는 흡수된 SiCl 층을 에칭하는데 필요한 임계치 (threshold) 위이지만, 비-흡수된 Si 기판 아래를 에칭하는데 필요한 임계치 아래이도록 요구된다. 예를 들어, 이온 에너지 윈도우는 일 실시예에서 실리콘을 에칭하기 위해 50eV 내지 70eV일 수도 있다. 이것은 에칭의 정확한 제어를 허용하여 흡수된 층이 모두 에칭되어 버린 경우에 에칭을 정지하게 하는 MMP 에칭의 일 실시예의 자기-제한 피쳐 (self-limiting feature) 의 일 양태이다. MMP 에칭의 일 실시예의 자기-제한 피쳐의 다른 양태는 일 이상의 실시예에서 MMP 준비 페이즈 동안 흡수된 SiCl 층의 깊이를 제어하는 것이다. MMP 에칭의 일 실시예의 자기-제한 피쳐의 다른 양태는 단지 일부의 또는 모든 흡수된 SiCl 층이 제거되고 밑에 깔린 (underlying) Si 재료가 에칭되지 않는 것을 보장하는 MMP 반응 페이즈의 시간의 길이이다. MMP 에칭의 일 실시예의 자기-제한 피쳐의 다른 양태는 MMP 준비 페이즈의 시간의 길이이다.
중요한 주목점은 MMP 반응 페이즈 동안 바이어스 전력이 켜진다는 (turn on) 사실이다 (이와는 반대로, MMP 준비 페이즈 동안 바이어스 전력은 바람직하게는 완전히 오프이고, 또는 이온 에너지가 흡수된 층의 이온-유도된 (ion-induced) 에칭을 위해 임계치 아래로 유지되는 것을 보장하는 것을 돕도록 MMP 반응 페이즈에서의 바이어스 전력 레벨 보다 더 낮은 레벨로 켜진다). 다른 챔버의 파라미터들은 비-반응 가스로부터 형성되는 플라즈마에 의해 흡수된 SiCl 층의 지향성 에칭 (directional etching) 을 촉진시키도록 최적화될 수도 있다. 예를 들어, 챔버 압력은, 충돌 (collision) 의 수를 감소시키기 위해, (MMP 준비 페이즈의 보다 높은 챔버 압력에 비하여) MMP 반응 페이즈에서 감소될 수도 있고, 이에 따라 이온들의 각 분포 (angle distribution) 을 감소시키고, 보다 지향적인 에칭을 야기한다. 다른 예시로서, 바이어스 전력은 단일 MMP 반응 페이즈 동안 복수 번 펄스 온 및 펄스 오프될 수도 있다. 대안적으로 또는 부가적으로, 다른 예시로서, RF 유도 코일 전력은 단일 MMP 반응 페이즈 동안 복수 번 펄스 온 및 펄스 오프될 수도 있다.
대안적으로 또는 부가적으로, 다른 실시예로서, 바이어스 전력 및 RF 유도 코일 전력 양자 모두는 MMP 반응 페이즈 동안, 동기적이거나 다른 하나에 관하여 비동기적으로, 복수 번 펄싱될 수도 있다. 대안적으로 또는 부가적으로, 다른 예시로서, 유도 코일 RF 주파수는 MMP 준비 페이즈와 관련하여 MMP 반응 페이즈 동안 (이온 에너지 분포 기능을 증가시키도록 더 높은 바와 같이) 상이할 수도 있다. 일 예시에서, MMP 반응 페이즈는 유도 코일 RF 신호에 대해 60 MHz 를 채용할 수도 있는 반면, MMP 준비 단계는 MMP 반응 페이즈 동안의 유도 코일 RF 신호에 대해 13.56 MHz를 채용할 수도 있다. 대안적으로 또는 부가적으로, 다른 예시로서, 바이어스 RF 및/또는 유도 코일 RF는 단일 MMP 반응 페이즈 동안 상이한 RF 주파수들로 처핑될 수도 있다. 대안적으로 또는 부가적으로, 맞춤의 (tailored) 바이어스 파형이 이온 에너지를 감소시키도록 MMP 반응 페이즈 동안 채용될 수도 있다. 더 자세히 설명하자면, 맞춤의 바이어스 파형은 이온 에너지를 최적화하거나 조절하기 위해 맞춤된 또는 형성된 (shaped) (예를 들어, 클립되거나 (clipped) 변형된) 파형을 가지는 RF 바이어스 신호이다.
MMP 준비 페이즈 및 이어지는 MMP 반응 페이즈는 사이클을 형성하고, 이 사이클은 에칭이 완전하다고 여겨질 때까지 복수 번 반복될 수도 있다. MMP 반응 페이즈 이전에 챔버로부터 반응 가스의 완전한 또는 실질적으로 완전한 제거를 보장하기 위해, MMP 과도 (transition) 페이즈가, 예를 들어 반응 가스(들)의 보다 완벽한 제거를 가능하게 하거나/하고 MMP 반응 페이즈를 위한 챔버를 준비하도록 MMP 준비 페이즈 및 MMP 반응 페이즈 사이에 개재될 수도 있다 (하지만 MMP 과도 페이즈가 모든 케이스들에서 요구되는 것은 아님). 대안적으로 또는 부가적으로, 다른 과도 페이즈가, 일 이상의 실시예에서, MMP 준비 페이즈를 위한 챔버를 안정화하거나/하고 준비하도록 이어지는 사이클 (proceeding cycle) 의 MMP 과도 페이즈 및 MMP 준비 페이즈 사이에 채용될 수도 있다.
반응 가스들을 사용하지 않고 MMP 반응 페이즈를 수행하고자 하는 필요 때문에, 얼마나 빠른 에칭이 MMP 준비 페이즈 및 MMP 과도 페이즈 사이에 펄싱될 수 있는지에 따라 한계치 (limit) 가 도입된다 (imposed). 챔버로부터 가스를 배기시키는데 얼마간의 한정된 양의 시간이 소요되기 때문에, MMP 준비 페이즈 및 MMP 반응 페이즈 간의 과도기는 일 실시예에서, 챔버의 가스 체류 시간 (residence time) 에 의해 한정되고, 이러한 가스 체류 시간은 손쉽게 통상의 기술자에 의해 계산될 수 있다. 언급된 바와 같이, MMP 과도 페이즈는, MMP 반응 페이즈를 위한 챔버를 준비하는 것을 돕도록 (예컨대, 일 실시예에서 모든 반응 가스들이 제거되는 것을 보장하도록, 또는 챔버를 안정화시키도록) MMP 준비 페이즈 및 MMP 반응 페이즈 사이에 채용될 수도 있다 (하지만 MMP 과도 페이즈가 모든 케이스들에서 요구되는 것은 아님).
일 이상의 실시예에서, MMP 준비 페이즈는 약 0.01초 내지 약 5초일 수도 있고, 보다 바람직하게는 0.2초 내지 약 1초일 수도 있다. 일 이상의 실시예에서, MMP 반응 페이즈는 약 0.01초 내지 약 5초일 수도 있고, 보다 바람직하게는 0.05초 내지 약 1초일 수도 있다. 일 이상의 실시예에서, 스위칭 레이트 (switching rate) 는 1Hz 근방일 수도 있다. 이것은 가스 체류 시간을 고려하지 않는, 그리고또는 MMP 반응 페이즈 동안 챔버로부터의 반응 가스들의 제거를 수반하지 않는 TCP 및/또는 TCP/바이어스 전력의 동기적인 또는 비동기적인 펄싱을 수반하는 기술들과의 차이점이다.
일 이상의 실시예에서, 이온들을 기판 방향으로 가속시키는 그리드 (grid) 또는 어떤 다른 구조들의 사용이 필수적이지 않다는 점에 주목해야 한다. 또한, MMP 준비 페이즈 및 에칭 페이즈가, 다른 기판 처리 단계들을 위해 채용되는 동일한 ICP챔버에서 인 시츄로 (in situ) 완전하게 유리하도록 수행된다는 점을 주목해야 한다.
일 이상의 실시예에서, MMP 반응 페이즈는 (예를 들어 광학적 방사 분광학 기술 (optical emission spectroscopy technique) 을 사용하여) 챔버 모니터링 (monitoring) 에 응답하여 시간이 맞춰지거나 (timed) 종료될 수도 있다. 일 이상의 실시예에서, MMP 반응 페이즈 동안의 반응성 에칭은 단지 하나의 원자 층 (ALE) 을 에칭하도록 허용된다. 이러한 예시에서, 홉수는, 흡수된 층이 하나의 원자 층 두께 근방이도록 제어될 수도 있다. 일 이상의 실시예에서, MMP 반응 페이즈 동안의 반응성 에칭은 흡수된 기판 표면의 복수의 원자 층들을 에칭하게 진행하도록 허용된다. 일 이상의 실시예에서, 챔버의 파라미터들은, 단일의 MMP 반응 페이즈 동안 대량의 (bulk) MMP 반응 에칭이 존재하고 이어서 보다 정확하지만 느린 단일층 (monolayer) MMP 반응 에칭이 존재하도록 조정될 수도 있다.
일 이상의 실시예에서, MMP 에칭은 선택성을 개선하도록 채용된다. 지금까지, MMP 에칭 예시는 (상기 예시에서 실리콘과 같은) 단일 재료를 수반한다. 상술한 바와 같이, MMP 준비 페이즈 동안의 반응 가스의 선택은 실리콘을 에칭하기 위해 적합한 반응 가스 (예컨대, Cl2) 를 선택하는 것을 수반하고, MMP 반응 페이즈 동안 이온 에너지 레벨을 구성하는 것은 흡수된 SiCl 층을 에칭하지만 대량의 비-흡수된 Si 재료 아래를 에칭하지 않는 적합한 이온 에너지 레벨을 선택하는 것을 수반한다.
기판을 에칭하는 때 두개의 재료들 간의 선택성을 개선시키기 위해, 반응 가스는, 반응 가스가 다른 하나의 재료에 우선하여 (over) 어느 하나의 재료 내로의 흡수를 돕는 플라즈마를 형성하도록 (MMP 준비 페이즈 동안의 사용을 위해) 선택될 수도 있다. 대안적으로 또는 부가적으로, 선택된 가스는 양 재료들 상에 흡수되지만 다른 하나의 재료에 우선하여 어느 하나의 재료 상에 휘발성 화합물들을 형성하는 것을 도울 수도 있다. 대안적으로 또는 부가적으로, 선택된 가스는 다른 재료 상에서 보다 어느 하나의 재료 상이 더 많은 퇴적물 (deposition) 을 야기시킬 수도 있다. 대안적으로 또는 부가적으로, 선택된 가스는 다른 하나의 재료의 표면에서의 결합 강도 (bonding strength) 의 감소량 보다 더 큰 정도로 어느 하나의 재료의 표면에서의 결합 강도를 감소시킬 수도 있다. 대안적으로 또는 부가적으로, MMP 반응 페이즈 동안의 이온 에너지는 다른 하나의 재료에 우선하여 어느 하나의 재료를 보다 공격적으로 에칭하도록 선택될 수도 있다. 이러한 MMP 선택성 에칭의 예시는 폴리실리콘을 에칭하는 것이지만, 옥사이드 (oxide) 를 에칭하는 것은 아니다. 이 케이스에서, 반응 가스는 MMP 준비 페이즈 동안 Cl2 이도록 선택될 수도 있고, 이것은 화학적 고려들 (chemistry considerations) 만에 기초하여 옥사이드를 에칭하기 쉽지 않고, MMP 반응 페이즈 동안의 이온 에너지 임계치는 예를 들어 폴리실리콘에 대해 70eV 이고, 옥사이드에 대해 80eV 일 수도 있다.
도 8은, 본 발명의 일 실시예에 따른, 적어도 MMP 준비 페이즈 및 MMP 반응 페이즈를 수반하는 각각의 사이클을 가지는, (시간에 대한 종들 밀도 (species density) 를 보여주는) 실리콘 에칭 예시에 대한 개념적인 MMP 에칭 사이클들을 도시한다. 도 8을 참조하면, MMP 에칭 사이클 (802) 은 적어도 MMP 준비 페이즈 (804) 및 MMP 반응 페이즈 (806) 를 수반한다. MMP 준비 페이즈 (804) 및 MMP 반응 페이즈 (806) 의 각각에 대한 챔버 및 가스 조건들은 위에서 논의되었다. 중요한 주목점은, MMP 준비 페이즈 (804) 동안 높은 양의 라디칼들 및 실질적으로 제로인 이온들로 그리고 MMP 반응 페이즈 (806) 동안 높은 양의 이온들 및 실질적으로 제로의 라디칼들로, 라디칼들 및 이온들이 적시에 분리된다는 사실이다.
도 9는, 본 발명의 일 실시예에 따른, 몇몇의 이온들이 MMP 준비 페이즈에서 존재하는 다른 개념적인 MMP 에칭 사이클들을 도시한다. 이온들은 플라즈마 생성의 의도되지 않은 부작용으로서 나타나지만 MMP 준비 페이즈 (904) 동안 흡수된 SiCl 표면을 에칭하는데 필요한 임계 이온 에너지 레벨 아래로 (챔버 파라미터들을 조종함으로써) 유지된다. 이온들은 또한 전술한 바와 같이 주입 (implantation) 을 촉진시키기 위해 어느 정도의 작은 양의 바이어스 전력을 채용함으로써 의도적으로 도입될 수도 있다. 그럼에도 불구하고, 이온 에너지는 MMP 준비 페이즈 동안 흡수된 표면을 에칭하는데 필요한 임계 이온 에너지 레벨 아래로 유지된다.
MMP 반응 페이즈 (906) 동안, 반응 가스는 챔버로부터 배제되고, 바람직하게는 MMP 반응 페이즈 (906) 동안 챔버 내에서 어떠한 반응물들 (reactants) 도 실질적으로 존재하지 않는다. MMP 준비 페이즈 (904) 및 MMP 반응 페이즈 (906) 의 각각에 대한 챔버 및 가스 조건들은 상술하였다. 전술한 바와 같이, 원한다면 MMP 과도 페이즈는 MMP 준비 페이즈 (904) 및 MMP 반응 페이즈 (906) 사이에 개재될 수 있다. 대안적으로 또는 부가적으로, 다른 MMP 과도 페이즈가 선행하는 MMP 반응 페이즈 (906) 및 다음의 MMP 사이클의 MMP 준비 페이즈 (908) 사이에 개재될 수도 있다.
도 10은, 본 발명의 일 실시예에 따른, 생산 ICP 챔버에서 MMP 에칭을 수행하기 위한 방법을 도시한다. 단계 (1000) 에서, 기판은 인 시츄 MMP 에칭 (in situ MMP etch) 을 준비하기 위해 생산 ICP 챔버에 제공된다. 기판은 어느 정도의 시간 동안 챔버 내에 배치되어 있었을 수도 있고, 다른 처리 단계들 (예컨대, 벌크 에칭) 이 MMP 에칭 전에 이미 수행되었을 수도 있다는 점이 이해되어야만 한다. 단계 (1002) 에서, 챔버는 MMP 준비 단계에서 동작하도록 구성된다. 이러한 MMP 준비 페이즈에서, 반응 가스가 플라즈마의 도움으로 기판 표면 내로 흡수되도록 허용된다. 흡수의 깊이는 (후속하는 MMP 반응 페이즈 동안 수행되기 위해) 자기-제한 에칭의 일 양태를 형성하도록 제어된다. MMP 준비 페이즈를 위한 다른 대안적인 또는 부가적인 챔버 조건들은 위에서 논의되었다.
단계 (1004) 에서, 챔버는 MMP 반응 페이즈에서 기판을 에칭하도록 구성된다. 이러한 MMP 반응 페이즈에서, 반응 가스는 챔버로부터 배제되고, 바이어스 전력은 불활성 가스(들)로부터 형성되는 플라즈마를 사용한 흡수된 층(들) 의 플라즈마-지원 제거 (plasma-assisted removal) 를 촉진하도록 증가된다 (또는 켜진다). MMP 반응 페이즈 동안의 이온 에너지는 흡수된 층을 에칭하는데 필요한 레벨보다 더 높게 설정되지만, 비-흡수된 층 하부 (unerneath) 를 에칭하는데 필요한 레벨보다는 더 낮게 설정되고, 이에 따라 실질적으로 에칭을 자기-제한한다 (self-limit). MMP 반응 페이즈에 대한 다른 대안적인 또는 부가적인 챔버 조건들은 상술되었다. 적어도 MMP 준비 페이즈 및 MMP 반응 페이즈를 포함하는 MMP 사이클은 완벽하다고 (1008) 판단될 때까지 (1006) 반복된다 (1012).
앞선 기술로부터 이해될 수 있는 바와 같이, MMP 에칭의 실시예들은 ALE 에칭 또는 정확한 에칭들 (예컨대, 3-D 로직 또는 메모리 디바이스들 또는 MRAM 가공을 위한 에칭들) 또는 높은 선택성 에칭들에 상당히 적합하다. 또한, 본 발명의 실시예들은 기판 손상을 감소시키고, 편평한 에칭 앞면을 야기한다. MMP 에칭의 높은 선택성 및/또는 자기-제한 성질 (self-limiting nature) 는 에칭되지 않아야 하는 층(들) 또는 구조물(들) 에 대한 구조적인 손상을 감소시키는데 도움을 준다. 어떤 케이스들에서, MMP 에칭의 자기-제한 성질은 에칭 정밀성 (etch precision) 및/또는 에칭 프로파일 (etch profile) 을 개선시키는데 도움을 주고/주거나 오버에칭 (overetching) 에 대한 필요를 감소시킬 수도 있다.
본 발명이 몇몇의 바람직한 실시예에 관하여 설명되었으나, 본 발명의 범위 내에 들어가는 개조물, 치환물 (permutation), 및 균등물이 존재한다. 예를 들어, MMP 에칭이 ICP 챔버 예시를 사용하여 개시되었다 하더라도, 요구된다면 MMP 에칭은 용량성 커플링된 플라즈마 (CCP) 챔버에서 수행될 수도 있다. MMP 에칭에 대하여, 에칭이 용량성 커플링된 플라즈마 챔버에서 수행되는 경우, 공급되는 보다 높은 RF 주파수는 소스 RF로 고려되고, 공급되는 보다 낮은 RF 주파수는 바이어스 RF로 고려될 수도 있고, 어떠한 이러한 RF 신호들이 챔버의 단지 하나의 플레이트 또는 챔버의 플레이트들 중 분리된 (split up) 플레이트에 제공되는지는 불문한다.
다른 예시로서, 도면들에서 논의된 펄싱 기법들은 특정한 처리의 요구에 적합하도록 임의의 조합으로 결합될 수도 있다. 예를 들어, 듀티 사이클의 변화는 도면들 중 임의의 하나 (또는 임의의 하나의 일부 또는 복수의 도면들의 조합) 와 함께 설명된 기법으로 실시될 수도 있다. 비슷하게, 주파수 처핑은 도면 중 임의의 하나 (또는 임의의 하나의 일부 또는 복수의 도면들의 조합) 와 함께 설명된 기법으로 그리고/또는 듀티 사이클 변화로 실시될 수도 있다. 비슷하게, 불활성 가스 치환 (substitution) 은 도면 중 임의의 하나 (또는 임의의 하나의 일부 또는 복수의 도면들의 조합) 와 함께 설명된 기법으로 그리고/또는 듀티 사이클 변화로 그리고/또는 주파수 처핑으로 실시될 수도 있다. 기법들은 개별적으로 그리고/또는 구체적인 도면과 관련되어 설명되었으나, 다양한 기법들이 특정 처리를 수행하기 위해 임의의 조합으로 결합될 수 있다.
본 명세서에 다양한 예시들이 제공되었으나, 이 예시들은 본 발명에 관하여 제한하는 것이 아니라 설명적인 것으로 의도된다. 또한, 본 명세서에 명칭 및 요약이 편의를 위해 제공되나, 명칭 및 요약은 본 명세서에서 청구항의 범위를 해석하는데 이용되지 않아야 한다. 본 명세서에 용어 "세트 (set)"가 채용되면, 그러한 용어는 영의 (zero), 하나의, 또는 하나 이상의 원소를 커버하는 그것의 일반적으로 이해되는 수학적 의미를 갖는 것으로 의도된다. 또한 본 발명의 방법들 및 장치들을 구현하는 다수의 대체 방식들이 있다는 것이 주목되어야 한다.

Claims (24)

  1. 플라즈마 처리 시스템의 플라즈마 처리 챔버에서 기판을 처리하기 위한 방법으로서,
    상기 플라즈마 처리 챔버는 적어도 하나의 플라즈마 생성 소스와, 상기 플라즈마 처리 챔버의 내부 영역 내로 적어도 제1 반응 가스를 제공하기 위한 적어도 하나의 반응 가스 소스와, 상기 플라즈마 처리 챔버의 상기 내부 영역 내로 적어도 제1 비-반응 (non-reactive) 가스를 공급하기 위한 비-반응 가스 소스를 가지고,
    상기 기판을 처리하기 위한 방법은,
    (a) 상기 내부 영역 내의 워크 피스 홀더 (work piece holder) 상에 상기 기판을 배치하는 단계;
    (b) 혼합-모드 펄싱 (MMP) 준비 페이즈 (phase) 를 수행하는 단계로서, 상기 내부 영역 내로 상기 제1 반응 가스를 유동시키는 단계 및 제1 플라즈마를 적어도 상기 제1 반응 가스로 형성하여 상기 기판을 상기 제1 플라즈마로 처리하는 단계를 포함하는, 상기 준비 페이즈를 수행하는 단계;
    (c) 혼합 모드 펄싱 (MMP) 반응 페이즈를 수행하는 단계로서, 상기 반응 페이즈를 수행하는 단계는 상기 내부 영역 내로 적어도 상기 제1 비-반응 가스를 유동시키는 단계 및 적어도 상기 제1 비-반응 가스로 제2 플라즈마를 형성하여 상기 제2 플라즈마로 상기 기판을 처리하는 단계를 포함하고, 상기 제2 플라즈마는 상기 MMP 준비 페이즈 동안의 상기 제1 반응 가스의 흐름보다 더 적은, 상기 MMP 반응 페이즈 동안의 상기 제1 반응 가스의 흐름으로 형성되는, 상기 반응 페이즈를 수행하는 단계; 및
    (d) 상기 (b) 단계와 상기 (c) 단계를 복수 번 반복하는 단계를 포함하는, 기판을 처리하기 위한 방법.
  2. 제1 항에 있어서,
    상기 MMP 반응 페이즈 동안, 어떠한 제1 반응 가스도 상기 내부 영역으로 흘려지지 않는, 기판을 처리하기 위한 방법.
  3. 제1 항에 있어서,
    상기 플라즈마 처리 챔버는 유도성 커플링된 (inductively coupled) 플라즈마 처리 챔버를 나타내는 (represent), 기판을 처리하기 위한 방법.
  4. 제1 항에 있어서,
    상기 플라즈마 처리 챔버는 용량성 커플링된 (capacitively coupled) 플라즈마 처리 챔버를 나타내는, 기판을 처리하기 위한 방법.
  5. 제1 항에 있어서,
    상기 비-반응 가스 소스는 제2 비-반응 가스를 더 제공하고,
    상기 제2 비-반응 가스는 상기 MMP 준비 페이즈 동안 상기 내부 영역 내로 흘려지는, 기판을 처리하기 위한 방법.
  6. 제1 항에 있어서,
    상기 MMP 준비 페이즈 동안 상기 제1 비-반응 가스는 또한 상기 내부 영역으로 흘려지는, 기판을 처리하기 위한 방법.
  7. 제1 항에 있어서,
    상기 MMP 준비 페이즈 동안 어떠한 바이어스 전력도 상기 워크 피스 홀더에 인가되지 않는, 기판을 처리하기 위한 방법.
  8. 제7 항에 있어서,
    제로보다 큰 바이어스 전력 레벨을 갖는 바이어스 전력이 상기 MMP 반응 페이즈 동안 상기 워크 피스 홀더에 인가되는, 기판을 처리하기 위한 방법.
  9. 제1 항에 있어서,
    상기 적어도 하나의 플라즈마 생성 소스는 상기 MMP 준비 페이즈 동안 제1 주파수를 가지는 제1 RF 신호로 활성화되고 (excited),
    상기 적어도 하나의 플라즈마 생성 소스는 상기 MMP 반응 페이즈 동안 상기 제1 RF 주파수와는 다른 제2 RF 주파수를 가지는 제2 RF 신호로 활성화되는, 기판을 처리하기 위한 방법.
  10. 제1 항에 있어서,
    상기 적어도 하나의 플라즈마 생성 소스는 상기 MMP 준비 페이즈 동안 제1 RF 주파수를 가지는 제1 RF 신호로 활성화되고,
    상기 제1 RF 신호는 펄싱된 RF 신호를 나타내는, 기판을 처리하기 위한 방법.
  11. 제1 항에 있어서,
    상기 적어도 하나의 플라즈마 생성 소스는 상기 MMP 준비 페이즈 동안 제1 RF 주파수를 가지는 제1 RF 신호로 활성화되고,
    상기 제1 RF 신호는 처핑된 (chirped) 주파수들을 가지는 RF 신호를 나타내는, 기판을 처리하기 위한 방법.
  12. 제1 항에 있어서,
    상기 MMP 반응 페이즈 동안 상기 제1 반응 가스와는 다른 제2 반응 가스를 상기 내부 영역 내로 유동시키는 단계를 더 포함하는, 기판을 처리하기 위한 방법.
  13. 제12 항에 있어서,
    어떠한 제1 반응 가스도 상기 MMP 반응 페이즈 동안 흘려지지 않는, 기판을 처리하기 위한 방법.
  14. 제1 항에 있어서,
    제1 바이어스 전력이 상기 MMP 반응 페이즈 동안 상기 워크 피스 홀더에 인가되고,
    상기 제1 바이어스 전력의 전력 레벨과는 다른 전력 레벨을 갖는 제2 바이어스 전력이 상기 MMP 반응 페이즈 동안 상기 워크 피스 홀더에 인가되는, 기판을 처리하기 위한 방법.
  15. 제14 항에 있어서,
    상기 제2 바이어스 전력의 상기 전력 레벨은 상기 제1 바이어스 전력의 상기 전력 레벨보다 더 높은, 기판을 처리하기 위한 방법.
  16. 제1 항에 있어서,
    상기 플라즈마 처리 챔버는, 상기 기판의 표면 상의 흡수된 층을 에칭하는데 필요한 것보다 더 높지만 상기 기판의 비-흡수된 층을 에칭하는데 불충분한 이온 에너지의 레벨을 가지는 비-반응성 이온들을 상기 MMP 반응 페이즈 동안 생성하도록 구성되고,
    상기 흡수된 층은 상기 MMP 준비 페이즈 동안 형성되는, 기판을 처리하기 위한 방법.
  17. 플라즈마 처리 시스템의 유도성 커플링된 플라즈마 처리 챔버에서 기판을 처리하기 위한 방법으로서,
    상기 플라즈마 처리 챔버는 적어도 하나의 유도 안테나 (inducive antenna) 와, 상기 플라즈마 처리 챔버의 내부 영역 내로 적어도 제1 반응 가스를 제공하기 위한 적어도 하나의 반응 가스 소스와, 상기 플라즈마 처리 챔버의 상기 내부 영역 내로 적어도 비-반응 가스를 공급하기 위한 비-반응 가스 소스를 가지고,
    상기 방법은,
    (a) 상기 내부 영역 내의 워크 피스 홀더 (work piece holder) 상에 상기 기판을 배치하는 단계;
    (b) 혼합-모드 펄싱 (MMP) 준비 페이즈 (phase) 를 수행하는 단계로서, 상기 내부 영역 내로 상기 제1 반응 가스를 유동시키는 단계 및 제1 플라즈마를 적어도 상기 제1 반응 가스로 형성하여 상기 기판을 상기 제1 플라즈마로 처리하는 단계를 포함하는, 상기 준비 페이즈를 수행하는 단계;
    (c) 혼합 모드 펄싱 (MMP) 반응 페이즈를 수행하는 단계로서, 상기 반응 페이즈를 수행하는 단계는 상기 내부 영역 내로 적어도 상기 제1 비-반응 가스를 유동시키는 단계 및 적어도 상기 제1 비-반응 가스로 제2 플라즈마를 형성하여 상기 제2 플라즈마로 상기 기판을 처리하는 단계를 포함하고, 상기 제2 플라즈마는 상기 MMP 준비 페이즈 동안의 상기 제1 반응 가스의 흐름보다 더 적은, 상기 MMP 반응 페이즈 동안의 상기 제1 반응 가스의 흐름으로 형성되고, 상기 플라즈마 처리 챔버는 상기 기판의 표면 상의 흡수된 층을 에칭하는데 필요한 것보다 더 높지만 상기 기판의 비-흡수된 층을 에칭하는데 불충분한 이온 에너지의 레벨을 가지는 비-반응성 이온들을 상기 MMP 반응 페이즈 동안 생성하도록 구성되는, 상기 반응 페이즈를 수행하는 단계; 및
    (d) 상기 (b) 단계와 상기 (c) 단계를 복수 번 반복하는 단계를 포함하는, 기판을 처리하기 위한 방법.
  18. 제17 항에 있어서,
    상기 MMP 반응 페이즈 동안, 어떠한 제1 반응 가스도 상기 내부 영역으로 흘려지지 않는, 기판을 처리하기 위한 방법.
  19. 제17 항에 있어서,
    상기 MMP 준비 페이즈 동안 어떠한 바이어스 전력도 상기 워크 피스 홀더에 인가되지 않는, 기판을 처리하기 위한 방법.
  20. 제19 항에 있어서,
    제로보다 큰 바이어스 전력 레벨을 갖는 바이어스 전력이 상기 MMP 반응 페이즈 동안 상기 워크 피스 홀더에 인가되는, 기판을 처리하기 위한 방법.
  21. 제20 항에 있어서,
    상기 바이어스 전력은 상기 MMP 반응 페이즈 동안 펄싱되는, 기판을 처리하기 위한 방법.
  22. 제17 항에 있어서,
    상기 적어도 하나의 유도 안테나는 상기 MMP 준비 페이즈 동안 제1 주파수를 가지는 제1 RF 신호로 활성화되고 (excited),
    상기 적어도 하나의 유도 안테나는 상기 MMP 반응 페이즈 동안 상기 제1 RF 주파수와는 다른 제2 RF 주파수를 가지는 제2 RF 신호로 활성화되는, 기판을 처리하기 위한 방법.
  23. 제17 항에 있어서,
    상기 적어도 하나의 유도 안테나는 상기 MMP 준비 페이즈 동안 제1 RF 주파수를 가지는 제1 RF 신호로 활성화되고,
    상기 제1 RF 신호는 펄싱된 RF 신호를 나타내는, 기판을 처리하기 위한 방법.
  24. 제17 항에 있어서,
    상기 적어도 하나의 유도 안테나는 상기 MMP 반응 페이즈 동안 제1 RF 주파수를 가지는 제1 RF 신호로 활성화되고,
    상기 제1 RF 신호는 펄싱된 RF 신호를 나타내는, 기판을 처리하기 위한 방법.
KR1020147021178A 2011-12-28 2012-12-17 플라즈마 처리 시스템들에서의 혼합 모드 펄싱 에칭 KR102062930B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201161581054P 2011-12-28 2011-12-28
US61/581,054 2011-12-28
US13/550,548 2012-07-16
US13/550,548 US8883028B2 (en) 2011-12-28 2012-07-16 Mixed mode pulsing etching in plasma processing systems
PCT/IB2012/057385 WO2013098702A2 (en) 2011-12-28 2012-12-17 Mixed mode pulsing etching in plasma processing systems

Publications (2)

Publication Number Publication Date
KR20140116453A true KR20140116453A (ko) 2014-10-02
KR102062930B1 KR102062930B1 (ko) 2020-01-06

Family

ID=48694019

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147021178A KR102062930B1 (ko) 2011-12-28 2012-12-17 플라즈마 처리 시스템들에서의 혼합 모드 펄싱 에칭

Country Status (7)

Country Link
US (3) US8883028B2 (ko)
JP (1) JP6276704B2 (ko)
KR (1) KR102062930B1 (ko)
CN (2) CN105895490B (ko)
SG (2) SG11201403634TA (ko)
TW (2) TWI612576B (ko)
WO (1) WO2013098702A2 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170017789A (ko) * 2015-08-07 2017-02-15 램 리써치 코포레이션 향상된 텅스텐 증착 충진을 위한 텅스텐의 원자층 에칭
US11239094B2 (en) 2016-12-19 2022-02-01 Lam Research Corporation Designer atomic layer etching
US11450513B2 (en) 2018-03-30 2022-09-20 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials

Families Citing this family (388)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9059101B2 (en) * 2011-07-07 2015-06-16 Lam Research Corporation Radiofrequency adjustment for instability management in semiconductor processing
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8883028B2 (en) * 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
CN113862634A (zh) 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9299574B2 (en) 2013-01-25 2016-03-29 Applied Materials, Inc. Silicon dioxide-polysilicon multi-layered stack etching with plasma etch chamber employing non-corrosive etchants
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
US9275869B2 (en) 2013-08-02 2016-03-01 Lam Research Corporation Fast-gas switching for etching
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9401263B2 (en) * 2013-09-19 2016-07-26 Globalfoundries Inc. Feature etching using varying supply of power pulses
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9318304B2 (en) 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
TWI557347B (zh) * 2014-01-09 2016-11-11 華邦電子股份有限公司 蝕刻反應設備及其節流閥
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
JP6316735B2 (ja) * 2014-12-04 2018-04-25 東京エレクトロン株式会社 プラズマエッチング方法
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9396956B1 (en) * 2015-01-16 2016-07-19 Asm Ip Holding B.V. Method of plasma-enhanced atomic layer etching
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
JP6516542B2 (ja) * 2015-04-20 2019-05-22 東京エレクトロン株式会社 被エッチング層をエッチングする方法
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
TW202336855A (zh) * 2015-06-05 2023-09-16 美商蘭姆研究公司 GaN及其他Ⅲ-Ⅴ族材料之原子層蝕刻
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9761459B2 (en) * 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9788405B2 (en) 2015-10-03 2017-10-10 Applied Materials, Inc. RF power delivery with approximated saw tooth wave pulsing
US9741539B2 (en) 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US9754767B2 (en) 2015-10-13 2017-09-05 Applied Materials, Inc. RF pulse reflection reduction for processing substrates
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9991128B2 (en) * 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9837312B1 (en) 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10267728B2 (en) * 2016-09-28 2019-04-23 Lam Research Corporation Systems and methods for detecting oxygen in-situ in a substrate area of a substrate processing system
US10002773B2 (en) 2016-10-11 2018-06-19 Lam Research Corporation Method for selectively etching silicon oxide with respect to an organic mask
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US9872373B1 (en) 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10494715B2 (en) 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US10396601B2 (en) * 2017-05-25 2019-08-27 Mks Instruments, Inc. Piecewise RF power systems and methods for supplying pre-distorted RF bias voltage signals to an electrode in a processing chamber
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR102475069B1 (ko) * 2017-06-30 2022-12-06 삼성전자주식회사 반도체 제조 장치, 이의 동작 방법
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10763083B2 (en) * 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10157773B1 (en) 2017-11-28 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure having layer with re-entrant profile and method of forming the same
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11205562B2 (en) * 2018-10-25 2021-12-21 Tokyo Electron Limited Hybrid electron beam and RF plasma system for controlled content of radicals and ions
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
KR20210076999A (ko) 2018-11-14 2021-06-24 램 리써치 코포레이션 차세대 리소그래피에서 유용한 하드 마스크들을 제조하기 위한 방법들
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
WO2021035132A1 (en) * 2019-08-22 2021-02-25 Lam Research Corporation Multi-state rf pulsing to control mask shape and breaking selectivity versus process margin trade-off
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102539806B1 (ko) 2020-01-15 2023-06-05 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11158516B2 (en) * 2020-02-07 2021-10-26 Tokyo Electron Limited Plasma processing methods using low frequency bias pulses
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
WO2021236359A1 (en) 2020-05-19 2021-11-25 Tokyo Electron Limited Systems and methods for selective ion mass segregation in pulsed plasma atomic layer etching
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11328902B1 (en) 2021-06-09 2022-05-10 XP Power Limited Radio frequency generator providing complex RF pulse pattern
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB8516537D0 (en) * 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
US6238588B1 (en) * 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
JP3158612B2 (ja) * 1992-03-24 2001-04-23 株式会社日立製作所 ドライエッチング方法
US5368685A (en) * 1992-03-24 1994-11-29 Hitachi, Ltd. Dry etching apparatus and method
US5252178A (en) 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
JPH0677184A (ja) * 1992-08-27 1994-03-18 Nippon Telegr & Teleph Corp <Ntt> 半導体原子層のエッチング方法
US5460689A (en) * 1994-02-28 1995-10-24 Applied Materials, Inc. High pressure plasma treatment method and apparatus
US6083355A (en) * 1997-07-14 2000-07-04 The University Of Tennessee Research Corporation Electrodes for plasma treater systems
US6352049B1 (en) * 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
JP2000306884A (ja) * 1999-04-22 2000-11-02 Mitsubishi Electric Corp プラズマ処理装置およびプラズマ処理方法
DE60041341D1 (de) * 1999-08-17 2009-02-26 Tokyo Electron Ltd Gepulstes plasmabehandlungsverfahren und vorrichtung
TW507256B (en) * 2000-03-13 2002-10-21 Mitsubishi Heavy Ind Ltd Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
US7199328B2 (en) * 2001-08-29 2007-04-03 Tokyo Electron Limited Apparatus and method for plasma processing
US20040025791A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
US6924235B2 (en) * 2002-08-16 2005-08-02 Unaxis Usa Inc. Sidewall smoothing in high aspect ratio/deep etching using a discrete gas switching method
US20050112891A1 (en) * 2003-10-21 2005-05-26 David Johnson Notch-free etching of high aspect SOI structures using a time division multiplex process and RF bias modulation
US7053003B2 (en) * 2004-10-27 2006-05-30 Lam Research Corporation Photoresist conditioning with hydrogen ramping
US8163087B2 (en) * 2005-03-31 2012-04-24 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US8404594B2 (en) * 2005-05-27 2013-03-26 Freescale Semiconductor, Inc. Reverse ALD
JP2007126749A (ja) * 2005-11-01 2007-05-24 Applied Films Corp 新しい膜特性を達成するためのpecvd放電源の電力及び電力関連ファンクションの変調のためのシステム及び方法
US7335602B2 (en) * 2006-01-18 2008-02-26 Freescale Semiconductor, Inc. Charge-free layer by layer etching of dielectrics
US7608195B2 (en) * 2006-02-21 2009-10-27 Micron Technology, Inc. High aspect ratio contacts
CN100504566C (zh) * 2006-04-21 2009-06-24 中国科学院物理研究所 一种啁啾脉冲压缩方法及装置
US7780864B2 (en) * 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7569491B2 (en) * 2006-08-30 2009-08-04 Tokyo Electron Limited Method for enlarging a nano-structure
US8187486B1 (en) * 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
US9997325B2 (en) * 2008-07-17 2018-06-12 Verity Instruments, Inc. Electron beam exciter for use in chemical analysis in processing systems
US8058179B1 (en) * 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
KR101315950B1 (ko) * 2009-06-24 2013-10-08 엘지전자 주식회사 플라즈마 증착 장치 및 이 장치를 이용한 박막 제조 방법
WO2011056783A2 (en) * 2009-11-09 2011-05-12 3M Innovative Properties Company Etching process for semiconductors
US20110139748A1 (en) * 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
JP4982582B2 (ja) * 2010-03-31 2012-07-25 株式会社東芝 マスクの製造方法
US8669185B2 (en) * 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US8828883B2 (en) * 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
US9318341B2 (en) 2010-12-20 2016-04-19 Applied Materials, Inc. Methods for etching a substrate
US8883028B2 (en) * 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170017789A (ko) * 2015-08-07 2017-02-15 램 리써치 코포레이션 향상된 텅스텐 증착 충진을 위한 텅스텐의 원자층 에칭
US11069535B2 (en) 2015-08-07 2021-07-20 Lam Research Corporation Atomic layer etch of tungsten for enhanced tungsten deposition fill
KR20210125967A (ko) * 2015-08-07 2021-10-19 램 리써치 코포레이션 향상된 텅스텐 증착 충진을 위한 텅스텐의 원자층 에칭
US11239094B2 (en) 2016-12-19 2022-02-01 Lam Research Corporation Designer atomic layer etching
US11721558B2 (en) 2016-12-19 2023-08-08 Lam Research Corporation Designer atomic layer etching
US11450513B2 (en) 2018-03-30 2022-09-20 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials

Also Published As

Publication number Publication date
US9425025B2 (en) 2016-08-23
CN105895490B (zh) 2018-10-02
CN104040021B (zh) 2016-08-24
US20150020971A1 (en) 2015-01-22
KR102062930B1 (ko) 2020-01-06
JP2015505421A (ja) 2015-02-19
SG10201608686TA (en) 2016-12-29
TW201810423A (zh) 2018-03-16
US8883028B2 (en) 2014-11-11
CN105895490A (zh) 2016-08-24
CN104040021A (zh) 2014-09-10
US20160322201A1 (en) 2016-11-03
US10121639B2 (en) 2018-11-06
WO2013098702A2 (en) 2013-07-04
TW201342469A (zh) 2013-10-16
WO2013098702A3 (en) 2014-01-09
TWI612576B (zh) 2018-01-21
SG11201403634TA (en) 2014-07-30
JP6276704B2 (ja) 2018-02-07
TWI654680B (zh) 2019-03-21
US20130168354A1 (en) 2013-07-04

Similar Documents

Publication Publication Date Title
KR102062930B1 (ko) 플라즈마 처리 시스템들에서의 혼합 모드 펄싱 에칭
US9583316B2 (en) Inert-dominant pulsing in plasma processing systems
JP6349257B2 (ja) ハイブリッドパルス化プラズマ処理システム
WO2020117503A1 (en) Etching isolation features and dense features within a substrate
CN111937114A (zh) 用于在加工等离子体时控制离子能量分布的装置和方法
CN113496888A (zh) 基片处理方法和基片处理装置

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant