CN106449396B - 反向脉冲的系统和方法 - Google Patents
反向脉冲的系统和方法 Download PDFInfo
- Publication number
- CN106449396B CN106449396B CN201610604517.0A CN201610604517A CN106449396B CN 106449396 B CN106449396 B CN 106449396B CN 201610604517 A CN201610604517 A CN 201610604517A CN 106449396 B CN106449396 B CN 106449396B
- Authority
- CN
- China
- Prior art keywords
- state
- pulse signal
- biasing
- signal
- source
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 238000000034 method Methods 0.000 title claims abstract description 78
- 238000009616 inductively coupled plasma Methods 0.000 claims abstract description 105
- 238000012545 processing Methods 0.000 claims description 14
- 230000001360 synchronised effect Effects 0.000 claims description 12
- 238000005530 etching Methods 0.000 claims description 10
- 230000009467 reduction Effects 0.000 claims description 5
- 238000003851 corona treatment Methods 0.000 claims description 3
- 230000002708 enhancing effect Effects 0.000 claims description 3
- 230000004048 modification Effects 0.000 claims description 3
- 238000012986 modification Methods 0.000 claims description 3
- 238000009832 plasma treatment Methods 0.000 claims description 2
- 150000002500 ions Chemical class 0.000 claims 6
- 230000003628 erosive effect Effects 0.000 claims 1
- 239000004065 semiconductor Substances 0.000 description 11
- 230000008569 process Effects 0.000 description 10
- 239000000758 substrate Substances 0.000 description 9
- 238000010586 diagram Methods 0.000 description 7
- 238000004519 manufacturing process Methods 0.000 description 7
- 238000004891 communication Methods 0.000 description 4
- 210000001367 artery Anatomy 0.000 description 3
- 239000004020 conductor Substances 0.000 description 3
- 230000007423 decrease Effects 0.000 description 3
- 238000000151 deposition Methods 0.000 description 3
- 230000008021 deposition Effects 0.000 description 3
- 230000006870 function Effects 0.000 description 3
- 238000005240 physical vapour deposition Methods 0.000 description 3
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 3
- 210000003462 vein Anatomy 0.000 description 3
- 230000008901 benefit Effects 0.000 description 2
- 238000004364 calculation method Methods 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 238000004590 computer program Methods 0.000 description 2
- 238000013500 data storage Methods 0.000 description 2
- 239000007789 gas Substances 0.000 description 2
- 239000000463 material Substances 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 238000000429 assembly Methods 0.000 description 1
- 230000000712 assembly Effects 0.000 description 1
- 230000001413 cellular effect Effects 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 239000012611 container material Substances 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 201000006549 dyspepsia Diseases 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 230000005611 electricity Effects 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 239000004744 fabric Substances 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 210000003127 knee Anatomy 0.000 description 1
- 239000010410 layer Substances 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 239000000178 monomer Substances 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- -1 oxide Substances 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 238000002360 preparation method Methods 0.000 description 1
- 230000001737 promoting effect Effects 0.000 description 1
- 239000010453 quartz Substances 0.000 description 1
- 229910052710 silicon Inorganic materials 0.000 description 1
- 239000010703 silicon Substances 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/321—Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32128—Radio frequency generated discharge using particular waveforms, e.g. polarised waves
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32137—Radio frequency generated discharge controlling of the discharge by modulation of energy
- H01J37/32146—Amplitude modulation, includes pulsing
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32174—Circuits specially adapted for controlling the RF discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32422—Arrangement for selecting ions or species in the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
-
- H—ELECTRICITY
- H05—ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
- H05H—PLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
- H05H1/00—Generating plasma; Handling plasma
- H05H1/24—Generating plasma
- H05H1/46—Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32174—Circuits specially adapted for controlling the RF discharge
- H01J37/32183—Matching circuits
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32532—Electrodes
- H01J37/32577—Electrical connecting means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67069—Apparatus for fluid treatment for etching for drying etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2221/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
- H01L2221/67—Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Chemical & Material Sciences (AREA)
- Power Engineering (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Manufacturing & Machinery (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Spectroscopy & Molecular Physics (AREA)
- Electromagnetism (AREA)
- Drying Of Semiconductors (AREA)
- Plasma Technology (AREA)
- Chemical Vapour Deposition (AREA)
- Detergent Compositions (AREA)
- Solid-Sorbent Or Filter-Aiding Compositions (AREA)
- Treatment Of Steel In Its Molten State (AREA)
Abstract
本发明描述了用于反向脉冲的系统和方法。所述方法中的一种包括:接收具有第一状态和第二状态的数字信号。该方法还包括:产生在所述数字信号处于所述第一状态时具有高状态并且在所述数字信号处于所述第二状态时具有低状态的变压器耦合等离子体(TCP)射频(RF)脉冲信号。所述方法还包括:提供TCP射频脉冲信号到所述等离子体室的一个或多个线圈;产生在所述数字信号处于所述第一状态时具有低状态并且在所述数字信号处于所述第二状态时具有高状态的偏置射频脉冲信号;以及提供所述偏置射频脉冲信号到所述等离子体室的卡盘。
Description
技术领域
本发明涉及用于射频信号的反向脉冲的系统和方法。
背景技术
等离子体系统被用于在晶片上执行各种操作。将射频(RF)信号提供给其中放置有晶片的等离子体室。此外,将一种或多种气体供给到等离子体室并在接收到所述射频信号时,在等离子体室中产生等离子体。操作中的一种是使用等离子体来蚀刻晶片。
正是在这样的背景下,提出了在本公开中描述的实施方式。
发明内容
本公开的实施方式提供了用于偏置射频(RF)信号和源射频(RF)信号之间的反向同步的装置、方法和计算机程序。应当理解,可以通过多种方式来实施本实施例,所述方式为例如,工艺、或设备、或系统、或一件硬件、或方法、或计算机可读介质。以下描述了几种实施方式。
被提供到变压器耦合等离子体(TCP)射频线圈的源射频信号和被提供给卡盘的偏置射频信号两者都被施以脉冲以及它们的脉冲序列被反向同步,以减少微负载/ARDE(深宽比依赖性蚀刻)的影响,改善选择性和/或满足基于RF等离子体的半导体制造的其他潜在的工艺好处。例如,当偏置RF信号是在状态S0(电源关闭或低功率)时,源RF脉冲信号处于状态S1,而当偏置RF信号是在状态S1(电源开通或较高的功率)时,源RF脉冲信号处于状态S0。反向多级脉冲也提供了一些工艺调谐旋钮(process tuning knob),这些旋钮可以有利于选择性、蚀刻速率、在蚀刻和沉积之间的均匀性轮廓调整等等。
在一实施方式中,一种用于反向脉冲的方法被描述了且被用于执行导体蚀刻。使用室进行导体蚀刻,该室具有在室的顶窗盖上方的TCP线圈。在操作中,一种方法包括:接收具有第一状态和第二状态的数字信号。该方法还包括:产生在所述数字信号处于所述第一状态时具有高状态并且在所述数字信号处于所述第二状态时具有低状态的TCP射频脉冲信号。所述方法包括:提供TCP射频脉冲信号到等离子体室的一个或多个线圈;产生在所述数字信号处于所述第一状态时具有低状态并且在所述数字信号处于所述第二状态时具有高状态的偏置射频脉冲信号;以及提供所述偏置射频脉冲信号到所述等离子体室的卡盘。
在一实施方式中,描述了一种用于反向脉冲的系统。该系统包括用于产生一个或多个偏置RF脉冲信号的一个或多个偏置射频产生器(具有不同频率)。该系统还包括:耦合到所述一个或多个偏置射频产生器的偏置匹配,其用于从所述一个或多个偏置射频脉冲信号产生经修改的偏置射频信号。所述系统包括等离子体室。所述等离子体室包括耦合到所述偏置匹配的卡盘,所述卡盘主要用于在接收到经修改的偏置射频信号时控制朝向所述晶片的离子能量。所述系统还包括:一个或多个源射频产生器,其用于产生一个或多个源射频脉冲信号;和耦合到所述一个或多个源射频产生器的源匹配,其用于在接收到一个或多个源射频脉冲信号时产生经修改的等离子体。所述源射频脉冲信号中的第一源射频脉冲信号在所述偏置射频脉冲信号中的第一偏置射频脉冲信号处于低状态(例如低功率电平、零功率电平等)时处于高状态(例如高功率电平等),并且所述第一源脉冲射频信号在所述第一偏置射频脉冲信号处于高状态时处于低状态。
为了减少微负载的影响、改善选择性、和/或满足其他潜在的工艺要求,描述了在TCP和偏置之间的反向脉冲。具有各种多级组合的反向脉冲利用在TCP射频功率和偏置射频功率之间的在开通、关断、高功率,低功耗以及组合的不同的脉冲时间段期间的等离子体属性调节中的动力学。
由于在脉冲等离子体中的关断期间的电子温度衰减和离子密度下降之间不同的时间尺度,因而在偏置射频电源开通时间段期间利用反向脉冲来蚀刻,该偏置射频电源开通时间段与TCP电源关断时间段(TCP power OFF period)是相同的,具有低电子温度,而离子密度仍然相对较高。这减少了微负载的负面影响,并可能提供其他处理的益处,例如,增大蚀刻速率、改善选择性、较高深宽比等等。
在一些实施方式中,反向多级脉冲也提供了一些有利于选择性、蚀刻速率、蚀刻和沉积之间的均匀性轮廓调整等的工艺调谐旋钮。
具体而言,本发明的一些方面可以阐述如下:
1.一种用于在等离子体处理过程中操作等离子体室的方法,该方法包括:
接收数字信号,所述数字信号具有第一状态和第二状态;
产生在所述数字信号处于所述第一状态时具有高状态并且在所述数字信号处于所述第二状态时具有低状态的变压器耦合等离子体(TCP)射频(RF)脉冲信号;
提供所述TCP射频脉冲信号到所述等离子体室的一个或多个线圈;
产生在所述数字信号处于所述第一状态时具有低状态并且在所述数字信号处于所述第二状态时具有高状态的偏置射频脉冲信号;以及
提供所述偏置射频脉冲信号到所述等离子体室的卡盘,其中,提供所述TCP射频脉冲信号在提供所述偏置射频脉冲信号的同时进行,使得在所述等离子体室中产生的离子被影响以具有增强的朝向所述卡盘的垂直方向性,从而处理高深宽比特征的蚀刻操作。
2.根据条款1所述的方法,其中在第二状态期间具有所述偏置射频脉冲信号的所述高状态和所述TCP射频脉冲信号的所述低状态起作用以降低在所述等离子体室中的电子的温度,使得温度的所述降低有助于增强所述离子的方向性。
3.根据条款1所述的方法,其中产生所述TCP射频脉冲信号包括:
在从所述数字信号的从所述第二状态到所述第一状态的渡越起的预定时间量内使所述TCP射频脉冲信号从所述低状态渡越到所述高状态;以及
在从所述数字信号的从所述第一状态到所述第二状态的渡越起的预定时间量内使所述TCP射频脉冲信号从所述高状态渡越到所述低状态。
4.根据条款1所述的方法,其中产生所述偏置射频脉冲信号包括:
在从所述数字信号的从所述第二状态到所述第一状态的渡越起的预定时间量内使所述偏置射频脉冲信号从所述高状态渡越到所述低状态;以及
在从所述数字信号的从所述第一状态到所述第二状态的渡越起的预定时间量内使所述偏置射频脉冲信号从所述低状态渡越到所述高状态。
5.根据条款1所述的方法,其中产生所述TCP射频脉冲信号包括:
在所述数字信号从所述第二状态渡越到所述第一状态时使所述TCP射频脉冲信号从所述低状态渡越到所述高状态;以及
在所述数字信号从所述第一状态渡越到所述第二状态时使所述TCP射频脉冲信号从所述高状态渡越到所述低状态。
6.根据条款1所述的方法,其中产生所述偏置射频脉冲信号包括:
在所述数字信号从所述第二状态渡越到所述第一状态时使所述偏置射频脉冲信号从所述高状态渡越到所述低状态;以及
在所述数字信号从所述第一状态渡越到所述第二状态时使所述偏置射频脉冲信号从所述低状态渡越到所述高状态。
7.根据条款1所述的方法,其中所述TCP射频脉冲信号在所述低状态期间具有零功率并且在所述高状态期间具有正的功率量。
8.根据条款1所述的方法,其中所述偏置射频脉冲信号在所述低状态期间具有零功率并且在所述高状态期间具有正的功率量。
9.根据条款1所述的方法,其中所述TCP射频脉冲信号在所述高状态期间具有高电平的功率,并且在所述低状态期间具有低电平的功率,其中所述高电平大于所述低电平时,其中所述低电平大于零。
10.根据条款1所述的方法,其中所述偏置射频脉冲信号在所述高状态期间具有高电平的功率,并且在所述低状态期间具有低电平的功率,其中所述高电平大于所述低电平时,其中所述低电平大于零。
11.根据条款1所述的方法,其中由时钟源产生所述数字信号,其中所述时钟源位于射频产生器内,其中产生所述偏置射频脉冲信号由所述射频产生器执行。
12.根据条款1所述的方法,其中由时钟源产生所述数字信号,其中所述时钟源位于与射频产生器耦合的主机系统内,其中产生所述偏置射频脉冲信号由所述射频产生器执行。
13.根据条款1所述的方法,其中所述数字信号是时钟信号。
14.一种用于执行等离子体处理的系统,该系统包括:
一个或多个偏置射频(RF)产生器,其用于产生一个或多个偏置射频脉冲信号;
耦合到所述一个或多个偏置射频产生器的偏置匹配,所述偏置匹配用于从所述一个或多个偏置射频脉冲信号产生经修改的偏置射频信号;以及
包括耦合到所述偏置匹配的卡盘的等离子体室,所述卡盘用于在接收到所述经修改的偏置射频信号时在所述等离子体室内产生或维持等离子体;
一个或多个源射频产生器,其用于产生一个或多个源射频脉冲信号;
耦合到所述一个或多个源射频产生器的源匹配,所述源匹配用于在接收到所述一个或多个源射频脉冲信号时产生经修改的源射频信号;以及
一个或多个变压器耦合等离子体(TCP)射频线圈,其耦合到所述源匹配以接收所述经修改的源射频信号,从而修改在所述等离子体室中的离子的热速度,
其中所述源射频脉冲信号中的第一源射频脉冲信号在所述偏置射频脉冲信号中的第一个处于低状态时处于高状态,并且所述第一源脉冲射频信号在所述第一偏置射频脉冲信号处于高状态时处于低状态。
15.根据条款14所述的系统,其中当所述第一偏置射频脉冲信号从所述高状态渡越到所述低状态时,所述第一源脉冲射频信号从所述低状态渡越到所述高状态,并且当所述第一偏置射频脉冲信号从所述低状态渡越到所述高状态时,所述第一源脉冲射频信号从所述高状态渡越到所述低状态。
16.根据条款14所述的系统,其中,所述第一源射频脉冲信号具有与所述源射频脉冲信号中的第二源射频脉冲信号的频率不同的频率。
17.根据条款14所述的系统,其中,所述第一偏置射频脉冲信号具有与所述偏置射频脉冲信号中的第二偏置射频脉冲信号的频率不同的频率。
18.一种用于在处理过程中操作等离子体室的方法,该方法包括:
接收周期性地在第一状态和第二状态之间渡越的数字信号;以及
提供变压器耦合等离子体(TCP)射频(RF)脉冲信号到所述等离子体室的一个或多个TCP线圈以及提供偏置射频脉冲信号到所述等离子体室的卡盘,其中所述提供TCP射频脉冲信号包括基于所述数字信号使所述TCP射频脉冲信号的高状态和所述偏置射频脉冲信号的低状态同步以及使所述TCP射频脉冲信号的低状态和所述偏置射频脉冲信号的高状态同步,其中,提供所述TCP射频脉冲信号在提供所述偏置射频脉冲信号的同时进行,使得在所述等离子体室中产生的离子被影响以具有增强的朝向所述卡盘的垂直方向性,从而处理高深宽比特征的蚀刻操作。
19.根据条款18所述的方法,基于所述数字信号的所述同步包括:
在所述数字信号从所述第一状态渡越到所述第二状态时使所述TCP射频脉冲信号从所述高状态渡越到所述低状态;以及
在所述数字信号从所述第二状态渡越到所述第一状态时使所述TCP射频脉冲信号从所述低状态渡越到所述高状态;
在所述数字信号从所述第二状态渡越到所述第一状态时使所述偏置射频脉冲信号从所述高状态渡越到所述低状态;以及
在所述数字信号从所述第一状态渡越到所述第二状态时使所述偏置射频脉冲信号从所述低状态渡越到所述高状态。
20.根据条款18所述的方法,其中当所述偏置射频脉冲信号处于所述低状态时,所述TCP射频脉冲信号处于所述高状态,而当所述偏置射频脉冲信号处于所述高状态时,所述TCP射频脉冲信号处于所述低状态。
21.根据条款18所述的方法,其中所述数字信号是时钟信号。
结合附图,其他方面从以下详细描述将变得明显。
附图说明
结合附图,参照以下描述理解所述的实施方式。
图1是根据在本公开中所描述的实施方式用以说明离子的横向方向行进产生微负载的示意图。
图2是减少微负载的几率的系统的一实施方式的示意图。
图3是用以说明在源射频(RF)脉冲信号和偏置射频脉冲信号中的反向脉冲的同步的系统的一实施方式的示意图。
图4A示出了用以说明变压器耦合等离子体(TCP)射频脉冲信号和偏置射频脉冲信号的相对状态的曲线图。
图4B示出了用以说明在状态S0期间的源射频脉冲信号的功率大于零的曲线图。
图4C示出了用以说明在状态S0期间的偏置射频脉冲信号的功率大于零的曲线图。
图4D示出了用以说明在状态S0期间的偏置射频脉冲信号功率和在状态S0期间的源射频脉冲信号的功率两者都大于零的曲线图。
图5A是用于说明多个源射频产生器而不是单个源射频产生器的使用以及多个偏置射频产生器而不是单个偏置射频产生器的使用的系统的实施方式的曲线图。
图5B示出了用以说明多个源射频脉冲信号被组合以产生源射频脉冲信号以及多个偏置射频脉冲信号被组合以产生偏置射频脉冲信号的曲线图。
具体实施方式
以下实施方式描述了用于反向脉冲的系统和方法。显而易见的是,这些实施方式可以在没有这些具体细节中的一些或者所有的情况下实施。在其他情况下,没有详细描述众所周知的工艺操作以免不必要地使这些实施方式难以理解。
用于等离子体处理的变压器耦合等离子体(TCP)和偏置之间的反向脉冲被建议用各种多级组合,如下文参照图4A、4B、4C和4D所描述的,以利用在TCP射频功率和偏置射频功率之间的在开通、关断、高功率,低功耗以及组合的不同的脉冲时间段期间的等离子体属性调节中的动力学。尽管图4A示出了开通/关断的反向脉冲的情况,但是多级反向脉冲提供了甚至更多的工艺调谐旋钮(knob)。反向脉冲降低了微负载/ARDE(深宽比依赖性蚀刻)的影响、改善了选择性以及促进其他潜在的工艺要求的实现。
图1是用以说明离子106的方向产生微负载的示意图。当在等离子体室中产生等离子体以蚀刻衬底叠层100,例如,晶片、在顶部上面具有氧化物层的半导体衬底、在顶部上面具有单体或聚合物的半导体衬底、半导体衬底等时,等离子体的离子106被引导朝向形成在衬底叠层100内的特征104的底部102。当离子例如相对于垂直方向110以角度θ被引导朝向特征104的侧壁108A和108B时,微负载产生并且在特征的底部的蚀刻速率下降。通过施加反向脉冲,如本文所描述,离子沿垂直方向朝向沟槽的底部行进的概率将增大以进一步减小ARDE或微负载的影响。
图2是减少微负载的几率的系统200的一实施方式的示意图。系统200包括TCP射频电源212、匹配电路215、多个TCP射频线圈204A和204B、等离子体室206、偏置射频电源214和匹配电路216。介电窗219将TCP射频线圈204A和204B与等离子体室206的内部分隔开。用于制造介电窗219的材料的实例包括石英、或陶瓷等。
等离子体室206包括静电卡盘(ESC)202,衬底叠层100被放置在静电卡盘202上以用于处理,例如,蚀刻、或沉积、或溅射、或清洁等。
偏置射频电源214通过射频电缆220耦合到匹配电路216。偏置射频电源214产生偏置脉冲射频信号230,并经由射频电缆220提供偏置脉冲射频信号230到匹配电路216。匹配电路216接收偏置脉冲射频信号230并且匹配负载(例如,等离子体室206内形成的等离子体)的阻抗。
TCP射频产生器的TCP射频电源212通过射频电缆224连接到匹配电路215。TCP射频电源212产生源脉冲射频信号232并将该源脉冲射频信号提供给匹配电路215。匹配电路215接收源脉冲射频信号232并且匹配负载的阻抗,该负载如TCP射频线圈204A和204B以及射频电缆226A和226B等。
源射频脉冲信号232在与偏置射频脉冲信号230的脉冲方向相反的方向上被施以脉冲。例如,当源射频脉冲信号232的状态为高时,偏置射频脉冲信号230的状态为低,而该源射频脉冲信号232的状态为低时,偏置射频脉冲信号230的状态为高。高状态的一个例子是在高功率电平的状态,低状态的一个例子是在低功率电平的状态。在射频脉冲信号232的状态S0期间的源射频脉冲信号232的零电平有利于在关断时间段期间降低电子温度Te。温度和电势的降低使离子106的热速度改善。例如,温度和电势的降低增大了离子106沿垂直方向110而不是横向方向236被引导的几率或者相比于横向方向236更接近垂直方向110被引导,以减轻微负载。
在一实施方式中,不是一个偏置射频产生器,而是多个偏置射频产生器被耦合到所述匹配电路216。每个偏置射频产生器具有不同的频率。例如,这些偏置射频产生器中的一个具有13.56兆赫(MHz)的工作频率,这些偏置射频产生器中的另一个具有1兆赫的工作频率,并且这些偏置射频产生器中的又一个具有60兆赫的工作频率。每个偏置射频产生器通过单独的射频电缆耦合到匹配电路216。
在一实施方式中,不是一个TCP射频产生器,而是多个TCP射频产生器耦合到匹配电路215。每个TCP射频产生器具有不同的频率。每个TCP射频产生器是通过单独的射频电缆耦合到匹配电路215。在一实施方式中,TCP射频线圈204A和204B是共平面的。在一实施方式中,TCP射频线圈204A位于与TCP射频线圈204B所处的平面不同的平面。在一实施方式中,不是两个TCP射频线圈204A和204B,而是任何其它数量的线圈,例如,一个、三个、等等,被放置在等离子体室206的顶部。
图3是用以说明在源射频脉冲信号232和偏置射频脉冲信号230中的反向脉冲的同步的系统300的一实施方式的示意图。系统300包括主机系统306,例如,计算机、膝上型计算机、平板电脑、蜂窝电话等。主机系统306耦合到源射频产生器302,源射频产生器302包括TCP射频电源212(图2)。另外,主机系统306耦合到偏置射频产生器304,偏置射频产生器304包括偏置射频电源214(图2)。主机系统306产生数字脉冲信号310,例如,时钟(CLK)信号,等等。例如,主机系统306的处理器产生数字脉冲信号310。举另一示例而言,在主机系统306内的时钟振荡器产生数字脉冲信号310。举又一示例而言,耦合到锁相环的时钟振荡器产生数字脉冲信号310。举另一示例而言,命令或命令集从主机系统306发送至源射频产生器302和偏置射频产生器304,以通知产生器如何施以脉冲。
数字脉冲信号310具有状态S1,例如,高状态、状态1、位1、等等,以及状态S0,例如,低状态、状态0、位0,等。数字脉冲信号在状态S1和S0之间周期性地脉冲。例如,数字脉冲信号是在状态S0持续一定时间段,然后从状态S0渡越到状态S1,在状态S1停留该时间段,然后从状态S1渡越到状态S0。
源射频产生器302通过电缆312和另一电缆314A接收数字脉冲信号310,而偏置射频产生器304通过电缆312和电缆314B接收数字脉冲信号310。源射频产生器302的源射频电源212(图2)产生与数字脉冲信号310同步的源射频脉冲信号232,而偏置射频产生器304的偏置射频电源214(图2)产生与数字脉冲信号310同步的偏置射频脉冲信号230。例如,所述源射频产生器302的处理器接收在某一时间的数字脉冲信号310,确定在该时间的数字脉冲信号310的状态,并发送控制信号到源射频电源212以产生具有数字脉冲信号310的状态的源射频脉冲信号232。举另一示例而言,该偏置射频产生器304的处理器接收在某一时间的数字脉冲信号310,确定在该时间的数字脉冲信号310的状态,并发送控制信号到偏置射频电源214以产生具有与数字脉冲信号310的状态相反的状态的偏置射频脉冲信号230。
在本实施例中,源射频产生器302的处理器接收在某一时间的数字脉冲信号310,确定数字脉冲信号310的状态在该时间从低状态渡越到高状态,并发送控制信号到源射频电源212以使源射频脉冲信号232在该时间从低状态渡越到高状态。在本实施例中,偏置射频产生器304的处理器接收在某一时间的数字脉冲信号310,确定数字脉冲信号310的状态在该时间从低状态渡越到高状态,并发送控制信号到偏置射频电源214以使偏置射频脉冲信号230在该时间从高状态渡越到低状态。在本实施例中,源射频产生器302的处理器接收在某一时间的数字脉冲信号310,确定数字脉冲信号310的状态在该时间从高状态渡越到低状态,并发送控制信号到源射频电源212以使源射频脉冲信号232在该时间从高状态渡越到低状态。在本实施例中,偏置射频产生器304的处理器接收在某一时间的数字脉冲信号310,确定数字脉冲信号310的状态在该时间从高状态渡越到低状态,并发送控制信号到偏置射频电源214以使偏置射频脉冲信号230在该时间从低状态渡越到高状态。
在一实施方式中,通过偏置射频产生器304而不是通过主机系统306产生数字脉冲信号310。例如,偏置射频产生器304包括位于该偏置射频产生器304内的时钟源,例如,时钟振荡器,耦合到锁相环的时钟振荡器等。又例如,偏置射频产生器304包括产生数字脉冲信号310的处理器。数字脉冲信号310被从偏置射频产生器304提供到源射频产生器302以使源射频脉冲信号232和偏置射频脉冲信号230的产生同步,如本文所描述的。
图4A示出了曲线图406、408、410、412和414来说明TCP射频脉冲信号402和偏置射频脉冲信号404的相反的状态。TCP射频脉冲信号402是源射频脉冲信号232(图2)的一个示例,而偏置射频脉冲信号404是偏置射频脉冲信号230(图2)的一个示例。
曲线图406描绘了偏置射频脉冲信号404的电压波形与时间t的关系。此外,曲线图408描绘了TCP射频脉冲信号402的电压波形与时间t的关系,而曲线图410描绘了电子温度Te与时间的关系。曲线图412描绘了离子106(图1)的离子密度与时间t的关系,而图414描绘了数字脉冲信号310与时间t的关系。
源射频产生器302在数字脉冲信号310处于状态S1时产生TCP射频脉冲信号402的高状态S1,例如,电源开通或较高的功率,等等,而在数字脉冲信号310处于状态S0时产生TCP射频脉冲信号402的低状态S0,例如,零功率、或较低的功率等。例如,在数字脉冲信号310处于状态S1的时间段t1A期间,产生TCP射频脉冲信号402的高状态S1,而在数字脉冲信号310处于状态S0的时间段t1B期间,产生TCP射频脉冲信号402的低状态S0。此外,在数字脉冲信号310处于状态S1的时间段t1C期间,产生TCP射频脉冲信号402的高状态S1,而在数字脉冲信号310处于状态S0的时间段t1D期间,产生TCP射频脉冲信号402的低状态S0。每个时间段t1A、t1B、t1C和t1D是相等的。
此外,自数字脉冲信号310的从状态S0到状态S1的渡越TR1的时间ty起的预定的时间量内,例如,在时间段t1B的一部分或时间段t1C的一部分,或相位滞后时间或相位超前时间等内,TCP射频脉冲信号402从低状态S0渡越到高状态S1。在一实施方式中,TCP射频脉冲信号402在数字脉冲信号310从状态S0到状态S1的渡越TR1的时间ty从低状态S0渡越到高状态S1。
此外,自数字脉冲信号310的从状态S1到状态S0的渡越TR2的时间tx起的预定的时间量内,例如,在时间段t1A的一部分或时间段t1B的一部分,或相位滞后时间或相位超前时间等内,TCP射频脉冲信号402从高状态S1渡越到低状态S0。在一实施方式中,TCP射频脉冲信号402在数字脉冲信号310从状态S1到状态S0的渡越TR2的时间tx,从高状态S1渡越到低状态S0。
另外,偏置射频产生器304在数字脉冲信号310处于状态S1时产生偏置射频脉冲信号404的低状态S0,例如,零功率、或较低的功率等,而在数字脉冲信号310处于状态S0时产生偏置射频脉冲信号404的高状态S1,例如,电源开通或较高的功率,等等。例如,在数字脉冲信号310处于状态S1的时间段t1A期间,产生偏置射频脉冲信号404的低状态S0,而在数字脉冲信号310处于状态S0的时间段t1B期间,产生偏置射频脉冲信号404的高状态S1。
此外,自数字脉冲信号310的从状态S0到状态S1的渡越TR1的时间ty起的预定的时间量内,例如,在时间段t1B的一部分或时间段t1C的一部分,或相位滞后时间或相位超前时间等内,偏置射频脉冲信号404从高状态S1渡越到低状态S0。在一实施方式中,偏置射频脉冲信号404在数字脉冲信号310从状态S0到状态S1的渡越TR1的时间ty,从高状态S1渡越到低状态S0。
此外,自数字脉冲信号310从状态S1到状态S0的渡越TR2的时间tx起的预定的时间量内,例如,在时间段t1A的一部分或时间段t1B的一部分,或相位滞后时间或相位超前时间等内,偏置射频脉冲信号404从低状态S0渡越到高状态S1。在一实施方式中,偏置射频脉冲信号404在数字脉冲信号310从状态S1到状态S0的渡越TR2的时间tx,从低状态S0渡越到高状态S1。
应当注意的是,TCP射频脉冲信号402与偏置射频脉冲信号404反向同步。例如,当偏置射频脉冲信号404的状态是S0时,TCP射频脉冲信号402的状态为S1,而当偏置射频脉冲信号404的状态是S1时,TCP射频脉冲信号的状态402为S0。又例如,当偏置射频脉冲信号404从状态S1渡越到状态S0时,TCP射频脉冲信号402从状态S0渡越到状态S1,而当偏置射频脉冲信号404从状态S0渡越到状态S1时,TCP射频脉冲信号402从状态S1渡越到状态S0。
TCP射频脉冲信号402在低状态S0期间具有零功率,而在高状态S1期间具有正的功率量,例如,A2等。偏置射频脉冲信号404在低状态S0期间具有零功率,而在高状态S1期间具有正的功率量,例如,A 1等。
在一实施方式中,量A1与量A2是相同的。在一实施方案中,量A1与量A2不同。在一实施方式中,偏置射频脉冲信号404在状态S0期间具有不是零的功率。此外,在一实施方式中,TCP射频脉冲信号402在状态S0期间具有不是零的功率。在一实施方式中,偏置射频脉冲信号404不是具有两种状态S1和S0,而是连续的,例如,一直具有状态S1等。不存在偏置射频脉冲信号404的在两种状态S1和S0之间的切换。
应该注意的是,当TCP射频脉冲信号402处于状态S0并且偏置射频脉冲信号404处于状态S1时,电子的温度Te下降。温度下降在衬底叠层100(图1)的蚀刻过程中使等离子体电压降低并且使微负载减少。
图4B示出了曲线图406、420和414。曲线图420描绘了TCP射频脉冲信号422的功率(例如峰-峰值功率,等等)与时间t的关系。TCP射频脉冲信号422是TCP射频脉冲信号232(图2)的一个示例。TCP射频脉冲信号422在高状态S1期间具有高电平A2的功率,而在低状态S0期间具有低电平A3的功率。低电平A3比零功率电平高,而比高电平A2低。应当指出,如曲线图406和420所示,在由源射频产生器302(图3)产生TCP射频信号422的同时,由偏置射频产生器304(图3)产生偏置射频信号404。
图4C示出了曲线图432、408和414。曲线图432描绘了偏置射频脉冲信号430的功率(例如,峰-峰值功率等等)与时间t的关系。偏置射频脉冲信号430是偏置射频脉冲信号230(图2)的一个示例。偏置射频脉冲信号430在高状态S1期间具有高电平A1的功率,而在低状态S0期间具有低电平A4的功率。高电平A1大于低电平A4,而低电平A4大于零功率电平。应当注意的是,如在曲线图408和432所示的,在由源射频产生器302(图3)产生TCP射频信号402的同时,由偏置射频产生器304(图3)产生偏置射频信号430。
在一实施方式中,偏置射频脉冲信号430不是具有两种状态S1和S0,而是连续的,例如,一直具有状态S1或一直具有状态S0等。不存在偏置射频脉冲信号430的在两种状态S1和S0之间的切换,而偏置射频脉冲信号430一直具有状态S1。
图4D示出了曲线图432、420和414。应当指出,如曲线图420和432所示的,在由源射频产生器302(图3)产生TCP射频信号422的同时,由偏置射频产生器304(图3)产生偏置射频信号430。
图5A是用于说明多个源射频产生器516和518以及多个偏置射频产生器520和522的使用的系统500的实施方式的示意图。主机系统306经由电缆502和电缆504A提供数字脉冲信号310到源射频产生器516,经由电缆502和电缆504B提供数字脉冲信号310到源射频产生器518,经由电缆502和电缆504C提供数字脉冲信号310到偏置射频产生器520,以及经由电缆502和电缆504D提供数字脉冲信号310到偏置射频产生器522。
源射频产生器516产生具有频率f1的源射频脉冲信号524,而源射频脉冲信号524与数字脉冲信号310同步。而且,源射频产生器518产生具有频率f2的源射频脉冲信号526,而源射频脉冲信号526与数字脉冲信号310同步。频率f2与频率f1不同。例如,频率f2是在与具有频率f1的频率范围不同的频率范围内。源射频产生器516和518经由相应的射频电缆532A和532B耦合到匹配电路215,而偏置射频产生器520和522经由相应的射频电缆534A和534B耦合到匹配电路216。
匹配电路215使耦合到匹配电路215的负载的阻抗与耦合到匹配电路215的源(例如,源射频产生器516和518,以及射频电缆532A和532B等)的阻抗相匹配,以产生经修改的源射频脉冲信号。经修改的源射频脉冲信号被从匹配电路215发送到TCP射频线圈204A和204B(图2)来修改(例如提高等)离子106的热速度(图1),以进一步改善蚀刻速率。例如,当离子106被控制以沿垂直方向110行进(图1)或相比于沿横向方向236更接近沿垂直方向110行进(图2)时,离子106的热速度被修改。
此外,偏置射频产生器520产生具有频率f3的偏置射频脉冲信号528,而偏置射频脉冲信号528与数字脉冲信号310同步。此外,偏置射频产生器522产生具有频率f4的偏置射频脉冲信号530,而偏置射频脉冲信号530与数字脉冲信号310同步。频率f4与频率f3不同。例如,频率f4是在与具有频率f3的频率范围不同的频率范围内。
此外,匹配电路216使耦合到匹配电路216的负载的阻抗与源(例如,偏置射频产生器520和522,以及射频电缆534A和534B等)的阻抗相匹配,以产生经修改的偏置射频脉冲信号。经修改的偏置射频脉冲信号被从匹配电路216发送到ESC 202(图2),以产生或维持等离子体室206(图2)内的等离子体。
图5B示出了曲线图540、542、544、546、556、558和414以说明多个源射频脉冲信号548和550被组合以产生源射频脉冲信号560,以及多个偏置射频脉冲信号552和554被组合以产生偏置射频脉冲信号562。曲线图540描绘了源射频脉冲信号548的功率(例如,峰-峰值功率等等)与时间t的关系。此外,曲线图542描绘了源射频脉冲信号550的功率(例如,峰-峰值功率等等)与时间t的关系。此外,曲线图556描绘了源射频脉冲信号560的功率(例如,峰-峰值功率等等)与时间t的关系。例如,源射频脉冲信号560是从匹配电路215(图5A)输出的经修改的源射频脉冲信号的一个示例。
源射频脉冲信号548在状态S1期间具有正的功率量A2,而在状态S0期间具有零功率。此外,源射频脉冲信号550在状态S1期间具有正的功率量A3,而在状态S0期间具有零功率。源射频脉冲信号548由源射频产生器516(图5A)产生,而源射频脉冲信号550由源射频产生器518(图5A)产生。
此外,曲线图544描绘了偏置射频脉冲信号552的功率(例如峰-峰值功率等)与时间t的关系。曲线图546描绘了偏置射频脉冲信号554的功率(例如峰-峰值功率等)与时间t的关系。曲线图558描绘了偏置射频脉冲信号562的功率(例如峰-峰值功率等)与时间t的关系。例如,偏置射频脉冲信号562是从匹配电路216(图5A)输出的经修改的偏置射频脉冲信号的一个示例。偏置射频脉冲信号552在状态S1期间具有正的功率量A1,以及在状态S0期间具有零功率。此外,该偏置射频脉冲信号554在状态S1期间具有正的功率量A4,以及在状态S0期间具有零功率。偏置射频脉冲信号552由偏置射频产生器520(图5A)产生,而偏置射频脉冲信号554由偏置射频产生器522(图5A)产生。
源射频脉冲信号548和550在匹配电路215(图5A)内被组合,例如,相加,等等,以产生源射频脉冲信号560作为匹配电路215的输出。应该注意的是,源射频信号560在状态S1期间具有正的功率量A2,并且在状态S0期间具有正的功率量A3。例如,当源射频脉冲信号548在状态S1期间具有正的功率量A2时,源射频脉冲信号550在状态S0期间具有零功率量,并且正的功率量A2与零功率量组合以产生源射频脉冲信号560的状态S1。又例如,当源射频脉冲信号548在状态S0期间具有零功率量时,源射频脉冲信号550在状态S1期间具有正的功率量A3,并且正的功率量A3与零功率量组合以产生源射频脉冲信号560的状态S0。应当指出的是,正的量A3小于量A2。
类似地,偏置射频脉冲信号552和554在匹配电路216(图5A)内被组合,例如,相加,等等,以产生偏置射频脉冲信号562作为匹配电路216的输出。应该注意的是,偏置射频信号562在状态S1期间具有正的功率量A1,并且在状态S0期间具有正的功率量A4。例如,当偏置射频脉冲信号552在状态S0期间具有零功率量时,偏置射频脉冲信号554在状态S1期间具有正的功率量A4,并且正的功率量A4与零功率量组合以产生偏置射频脉冲信号562的状态S0。又例如,当偏置射频脉冲信号552在状态S1期间具有正的功率量A1时,偏置射频脉冲信号554在状态S0期间具有零功率量,并且正的功率量A1与零功率量组合以产生偏置射频脉冲信号562的状态S1。应当指出的是,正的量A4小于量A1。
在一实施方式中,本文描述的由一个处理器执行的功能是由多个处理器执行,例如,在多个处理器之间分配。应当注意的是,在一实施方式中,具有状态S0的TCP射频脉冲信号402(图4A)和具有状态S1的偏置射频脉冲信号404(图4A)的同时产生和提供降低了电子的温度Te,并且温度Te的降低提高了功率对偏置射频脉冲信号404的影响。该影响的提高增强了离子106朝向ESC 202(图2)的垂直方向性,以执行衬底叠层100(图1)的高深宽比(例如,50:1的深宽比、100:1的深宽比等等)特征的蚀刻操作。在一实施方式中,当相比于横向方向236(图2),离子106被更倾向于沿垂直方向110(图1)引导时,离子106的垂直方向性增强。例如,如果相对于横向方向236,离子106沿大于45度的方向朝向ESC 202行进(图2),则相比于横向方向236,离子106被更倾向于沿垂直方向110引导。
本文中描述的一些实施方式由各种计算机系统配置来实施,各种计算机系统配置包括手持式硬件设备、微处理器系统、基于微处理器或可编程的消费电子产品、微型计算机、大型计算机等。本文中描述的一些实施方式也可以在分布式计算环境中实施,其中任务由通过计算机网络连接的远程处理硬件单元来执行。
在一些实施方式中,控制器(例如主机系统等)是系统的一部分,该一部分可以作为上述实施例的一部分。系统包括半导体处理设备,包括一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。系统与用于控制其在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件被称为“控制器”,该控制器可以控制系统的各种元件或子部件。根据处理要求和/或系统的类型,控制器被编程以控制本文公开的任何工艺,包括控制工艺气体的输送、温度设置(例如,加热和/或冷却)、压力设置、真空设置、功率设置、RF产生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其他转移工具和/或与系统连接上或通过接口连接的装载锁。
宽泛地讲,在各种实施方式中,控制器被定义为具有接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、可编程逻辑器件(PLD)、一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令是以各种单独设置的形式(或程序文件)通信到控制器、定义用于在或针对半导体晶片执行特定工艺的操作参数的指令。在一些实施方式中,操作参数是由工艺工程师定义用于在制备一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实施方式中,控制器是与系统集成、耦合上或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦合。例如,控制器在“云端”或者fab主机系统的全部或一部分,它们允许远程访问晶片处理。控制器启用远程访问系统以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,以改变当前处理的参数,设置处理步骤以接续当前的处理或者开始新的工艺。
在一些实施方式中,远程计算机(例如,服务器)通过计算机网络提供工艺配方到系统,该网络包括本地网络或互联网。远程计算机包括允许输入或编程参数和/或设置的用户界面,该用户界面然后从远程计算机通信到系统。在一些实施例中,控制器接收用于处理晶片的设置形式的指令。应当理解,设置针对在晶片上将要执行的工艺类型以及控制器连接或控制的工具类型。因此,如上所述,控制器例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的执行工艺)工作。用于这些目的分布式控制器的实例包括与结合以控制室内工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室内的一个或多个集成电路。
不希望限制,在各种实施方式中,系统包括等离子体蚀刻室、沉积室、旋转清洗室、金属电镀室、清洁室、倒角边缘蚀刻室、物理气相沉积(PVD)室、化学气相沉积(CVD)室、原子层沉积(ALD)室、原子层蚀刻(ALE)室、离子注入室、轨道室、和在半导体晶片的制备和/或制造中关联上或使用的任何其他的半导体处理室。
还应当注意的是,虽然上述操作参照变压器耦合等离子体(TCP)反应器进行了描述,但在一些实施方式中,上述操作适用于其他类型的等离子体室,例如,导体工具,等等。
如上所述,根据工具将要执行的工艺操作,控制器与一个或多个其他的工具电路或模块、其他工具组件、组合设备工具、其他工具界面、相邻的工具、邻近的工具、位于整个工厂中的工具、主机、另一个控制器、或者将晶片的容器搬运到半导体制造工厂中的工具位置和/或装载口以及从半导体制造工厂中的工具位置和/或装载口搬运晶片的容器的材料搬运中使用的工具通信。
在上述实施方式的基础上,应当理解的是,一些实施方式采用涉及存储在计算机系统中的数据的各种计算机实现的操作。这些计算机实现的操作是操纵物理量的操作。
一些实施方式也涉及用于执行这些操作的硬件单元或装置。所述装置为专用计算机专门构造。当被定义为专用计算机时,该计算机执行不是专用部分的其它处理、程序执行或例程,同时仍然能够进行专用操作。
在一些实施方式中,如本文所述的操作由计算机执行,该计算机被存储在计算机存储器或在计算机网络上获得的一或多个计算机程序选择性地激活或配置。当数据通过计算机网络获得时,该数据可由该计算机网络上的其它计算机(例如云计算资源)进行处理。
本文所述的一或多种实施方式还可被制作为在非暂时性计算机可读介质上的计算机可读代码。非暂时性计算机可读介质是存储数据的任意数据存储硬件单元(例如存储器设备等),其以后被计算机系统读取。非暂时性计算机可读介质的实例包括硬盘驱动器、网络附加存储(NAS)、ROM、RAM、光盘ROM(CD-ROM)、可录式CD(CD-R)、可擦写CD(CD-RW)、磁带及其它光学和非光学数据存储硬件单元。在一些实施方式中,非暂时性计算机可读介质包括分布在网络耦合计算机系统中的计算机可读有形介质,使得计算机可读代码以分布方式被存储和执行。
虽然上述一些方法操作以特定顺序进行描述,但应当理解,在一些实施方式中,其它内务操作可在方法操作之间执行,或者方法操作可被调整使得它们在略微不同的时间发生,或者可被分布在允许方法操作以各种时间间隔发生的系统中,或以不同于上述顺序的顺序执行。
还应当指出的是,在一种实施方式中,上述任何实施方式的一或多个特征在不背离本公开中所记载的各种实施方式中所描述的范围的情况下与任何其它实施方式的一或多个特征组合。
虽然出于清楚理解的目的已在一定程度上详细描述了前述实施方式,但显而易见的是,可在所附权利要求的范围内实施某些改变和修改。据此,本发明的实施方式应被视为示例性的而非限制性的,且这些实施方式不受限于此处给出的细节,而是可在所附权利要求的范围和等同原则内进行修改。
Claims (21)
1.一种用于在等离子体处理过程中操作等离子体室的方法,该方法包括:
接收数字信号,所述数字信号具有第一状态和第二状态;
产生在所述数字信号处于所述第一状态时具有高状态并且在所述数字信号处于所述第二状态时具有低状态的变压器耦合等离子体射频脉冲信号;
提供所述变压器耦合等离子体射频脉冲信号到所述等离子体室的一个或多个线圈;
产生在所述数字信号处于所述第一状态时具有低状态并且在所述数字信号处于所述第二状态时具有高状态的偏置射频脉冲信号;以及
提供所述偏置射频脉冲信号到所述等离子体室的卡盘,其中,提供所述变压器耦合等离子体射频脉冲信号在提供所述偏置射频脉冲信号的同时进行,使得在所述等离子体室中产生的离子被影响以具有增强的朝向所述卡盘的垂直方向性,从而处理高深宽比特征的蚀刻操作。
2.根据权利要求1所述的方法,其中在第二状态期间具有所述偏置射频脉冲信号的所述高状态和所述变压器耦合等离子体射频脉冲信号的所述低状态起作用以降低在所述等离子体室中的电子的温度,使得温度的所述降低有助于增强所述离子的方向性。
3.根据权利要求1所述的方法,其中产生所述变压器耦合等离子体射频脉冲信号包括:
在从所述数字信号的从所述第二状态到所述第一状态的渡越起的预定时间量内使所述变压器耦合等离子体射频脉冲信号从所述低状态渡越到所述高状态;以及
在从所述数字信号的从所述第一状态到所述第二状态的渡越起的预定时间量内使所述变压器耦合等离子体射频脉冲信号从所述高状态渡越到所述低状态。
4.根据权利要求1所述的方法,其中产生所述偏置射频脉冲信号包括:
在从所述数字信号的从所述第二状态到所述第一状态的渡越起的预定时间量内使所述偏置射频脉冲信号从所述高状态渡越到所述低状态;以及
在从所述数字信号的从所述第一状态到所述第二状态的渡越起的预定时间量内使所述偏置射频脉冲信号从所述低状态渡越到所述高状态。
5.根据权利要求1所述的方法,其中产生所述变压器耦合等离子体射频脉冲信号包括:
在所述数字信号从所述第二状态渡越到所述第一状态时使所述变压器耦合等离子体射频脉冲信号从所述低状态渡越到所述高状态;以及
在所述数字信号从所述第一状态渡越到所述第二状态时使所述变压器耦合等离子体射频脉冲信号从所述高状态渡越到所述低状态。
6.根据权利要求1所述的方法,其中产生所述偏置射频脉冲信号包括:
在所述数字信号从所述第二状态渡越到所述第一状态时使所述偏置射频脉冲信号从所述高状态渡越到所述低状态;以及
在所述数字信号从所述第一状态渡越到所述第二状态时使所述偏置射频脉冲信号从所述低状态渡越到所述高状态。
7.根据权利要求1所述的方法,其中所述变压器耦合等离子体射频脉冲信号在所述低状态期间具有零功率并且在所述高状态期间具有正的功率量。
8.根据权利要求1所述的方法,其中所述偏置射频脉冲信号在所述低状态期间具有零功率并且在所述高状态期间具有正的功率量。
9.根据权利要求1所述的方法,其中所述变压器耦合等离子体射频脉冲信号在所述高状态期间具有高电平的功率,并且在所述低状态期间具有低电平的功率,其中所述高电平大于所述低电平时,其中所述低电平大于零。
10.根据权利要求1所述的方法,其中所述偏置射频脉冲信号在所述高状态期间具有高电平的功率,并且在所述低状态期间具有低电平的功率,其中所述高电平大于所述低电平时,其中所述低电平大于零。
11.根据权利要求1所述的方法,其中由时钟源产生所述数字信号,其中所述时钟源位于射频产生器内,其中产生所述偏置射频脉冲信号由所述射频产生器执行。
12.根据权利要求1所述的方法,其中由时钟源产生所述数字信号,其中所述时钟源位于与射频产生器耦合的主机系统内,其中产生所述偏置射频脉冲信号由所述射频产生器执行。
13.根据权利要求1所述的方法,其中所述数字信号是时钟信号。
14.一种用于执行等离子体处理的系统,该系统包括:
一个或多个偏置射频产生器,其用于产生一个或多个偏置射频脉冲信号;
耦合到所述一个或多个偏置射频产生器的偏置匹配,所述偏置匹配用于从所述一个或多个偏置射频脉冲信号产生经修改的偏置射频信号;以及
包括耦合到所述偏置匹配的卡盘的等离子体室,所述卡盘用于在接收到所述经修改的偏置射频信号时在所述等离子体室内产生或维持等离子体;
一个或多个源射频产生器,其用于产生一个或多个源射频脉冲信号;
耦合到所述一个或多个源射频产生器的源匹配,所述源匹配用于在接收到所述一个或多个源射频脉冲信号时产生经修改的源射频信号;以及
一个或多个变压器耦合等离子体射频线圈,其耦合到所述源匹配以接收所述经修改的源射频信号,从而修改在所述等离子体室中的离子的热速度,
其中所述源射频脉冲信号中的第一源射频脉冲信号在所述偏置射频脉冲信号中的第一偏置射频脉冲信号处于低状态时处于高状态,并且所述第一源脉冲射频信号在所述第一偏置射频脉冲信号处于高状态时处于低状态。
15.根据权利要求14所述的系统,其中当所述第一偏置射频脉冲信号从所述高状态渡越到所述低状态时,所述第一源脉冲射频信号从所述低状态渡越到所述高状态,并且当所述第一偏置射频脉冲信号从所述低状态渡越到所述高状态时,所述第一源脉冲射频信号从所述高状态渡越到所述低状态。
16.根据权利要求14所述的系统,其中,所述第一源射频脉冲信号具有与所述源射频脉冲信号中的第二源射频脉冲信号的频率不同的频率。
17.根据权利要求14所述的系统,其中,所述第一偏置射频脉冲信号具有与所述偏置射频脉冲信号中的第二偏置射频脉冲信号的频率不同的频率。
18.一种用于在处理过程中操作等离子体室的方法,该方法包括:
接收周期性地在第一状态和第二状态之间渡越的数字信号;以及
提供变压器耦合等离子体射频脉冲信号到所述等离子体室的一个或多个变压器耦合等离子体线圈以及提供偏置射频脉冲信号到所述等离子体室的卡盘,其中所述提供变压器耦合等离子体射频脉冲信号包括基于所述数字信号使所述变压器耦合等离子体射频脉冲信号的高状态和所述偏置射频脉冲信号的低状态同步以及使所述变压器耦合等离子体射频脉冲信号的低状态和所述偏置射频脉冲信号的高状态同步,其中,提供所述变压器耦合等离子体射频脉冲信号在提供所述偏置射频脉冲信号的同时进行,使得在所述等离子体室中产生的离子被影响以具有增强的朝向所述卡盘的垂直方向性,从而处理高深宽比特征的蚀刻操作。
19.根据权利要求18所述的方法,基于所述数字信号的所述同步包括:
在所述数字信号从所述第一状态渡越到所述第二状态时使所述变压器耦合等离子体射频脉冲信号从所述高状态渡越到所述低状态;以及
在所述数字信号从所述第二状态渡越到所述第一状态时使所述变压器耦合等离子体射频脉冲信号从所述低状态渡越到所述高状态;
在所述数字信号从所述第二状态渡越到所述第一状态时使所述偏置射频脉冲信号从所述高状态渡越到所述低状态;以及
在所述数字信号从所述第一状态渡越到所述第二状态时使所述偏置射频脉冲信号从所述低状态渡越到所述高状态。
20.根据权利要求18所述的方法,其中当所述偏置射频脉冲信号处于所述低状态时,所述变压器耦合等离子体射频脉冲信号处于所述高状态,而当所述偏置射频脉冲信号处于所述高状态时,所述变压器耦合等离子体射频脉冲信号处于所述低状态。
21.根据权利要求18所述的方法,其中所述数字信号是时钟信号。
Applications Claiming Priority (6)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201562201541P | 2015-08-05 | 2015-08-05 | |
US62/201,541 | 2015-08-05 | ||
US14/863,331 | 2015-09-23 | ||
US14/863,331 US9761459B2 (en) | 2015-08-05 | 2015-09-23 | Systems and methods for reverse pulsing |
US15/139,045 | 2016-04-26 | ||
US15/139,045 US9583357B1 (en) | 2015-08-05 | 2016-04-26 | Systems and methods for reverse pulsing |
Publications (2)
Publication Number | Publication Date |
---|---|
CN106449396A CN106449396A (zh) | 2017-02-22 |
CN106449396B true CN106449396B (zh) | 2019-06-25 |
Family
ID=58052639
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201610604517.0A Active CN106449396B (zh) | 2015-08-05 | 2016-07-28 | 反向脉冲的系统和方法 |
Country Status (4)
Country | Link |
---|---|
US (4) | US9761459B2 (zh) |
KR (1) | KR20170017749A (zh) |
CN (1) | CN106449396B (zh) |
TW (4) | TW202331902A (zh) |
Families Citing this family (72)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9873180B2 (en) | 2014-10-17 | 2018-01-23 | Applied Materials, Inc. | CMP pad construction with composite material properties using additive manufacturing processes |
US9776361B2 (en) | 2014-10-17 | 2017-10-03 | Applied Materials, Inc. | Polishing articles and integrated system and methods for manufacturing chemical mechanical polishing articles |
KR102295988B1 (ko) | 2014-10-17 | 2021-09-01 | 어플라이드 머티어리얼스, 인코포레이티드 | 애디티브 제조 프로세스들을 이용한 복합 재료 특성들을 갖는 cmp 패드 구성 |
US11745302B2 (en) | 2014-10-17 | 2023-09-05 | Applied Materials, Inc. | Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process |
US10875153B2 (en) | 2014-10-17 | 2020-12-29 | Applied Materials, Inc. | Advanced polishing pad materials and formulations |
US10593574B2 (en) | 2015-11-06 | 2020-03-17 | Applied Materials, Inc. | Techniques for combining CMP process tracking data with 3D printed CMP consumables |
US10391605B2 (en) | 2016-01-19 | 2019-08-27 | Applied Materials, Inc. | Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process |
US10685862B2 (en) | 2016-01-22 | 2020-06-16 | Applied Materials, Inc. | Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device |
KR102689380B1 (ko) | 2016-01-26 | 2024-07-26 | 어플라이드 머티어리얼스, 인코포레이티드 | 웨이퍼 에지 링 리프팅 솔루션 |
CN116110846A (zh) | 2016-01-26 | 2023-05-12 | 应用材料公司 | 晶片边缘环升降解决方案 |
US10204795B2 (en) | 2016-02-04 | 2019-02-12 | Applied Materials, Inc. | Flow distribution plate for surface fluorine reduction |
US10009028B2 (en) * | 2016-09-30 | 2018-06-26 | Lam Research Corporation | Frequency and match tuning in one state and frequency tuning in the other state |
US9947517B1 (en) | 2016-12-16 | 2018-04-17 | Applied Materials, Inc. | Adjustable extended electrode for edge uniformity control |
US10553404B2 (en) | 2017-02-01 | 2020-02-04 | Applied Materials, Inc. | Adjustable extended electrode for edge uniformity control |
US10734195B2 (en) | 2017-06-08 | 2020-08-04 | Lam Research Corporation | Systems and methods for transformer coupled plasma pulsing with transformer coupled capacitive tuning switching |
US10763081B2 (en) | 2017-07-10 | 2020-09-01 | Applied Materials, Inc. | Apparatus and methods for manipulating radio frequency power at an edge ring in plasma process device |
US11471999B2 (en) | 2017-07-26 | 2022-10-18 | Applied Materials, Inc. | Integrated abrasive polishing pads and manufacturing methods |
US10395894B2 (en) * | 2017-08-31 | 2019-08-27 | Lam Research Corporation | Systems and methods for achieving peak ion energy enhancement with a low angular spread |
US10002746B1 (en) * | 2017-09-13 | 2018-06-19 | Lam Research Corporation | Multi regime plasma wafer processing to increase directionality of ions |
US10763150B2 (en) | 2017-09-20 | 2020-09-01 | Applied Materials, Inc. | System for coupling a voltage to spatially segmented portions of the wafer with variable voltage |
US10510575B2 (en) | 2017-09-20 | 2019-12-17 | Applied Materials, Inc. | Substrate support with multiple embedded electrodes |
US10811296B2 (en) | 2017-09-20 | 2020-10-20 | Applied Materials, Inc. | Substrate support with dual embedded electrodes |
US10714372B2 (en) | 2017-09-20 | 2020-07-14 | Applied Materials, Inc. | System for coupling a voltage to portions of a substrate |
US10904996B2 (en) | 2017-09-20 | 2021-01-26 | Applied Materials, Inc. | Substrate support with electrically floating power supply |
US11075105B2 (en) | 2017-09-21 | 2021-07-27 | Applied Materials, Inc. | In-situ apparatus for semiconductor process module |
US10784091B2 (en) | 2017-09-29 | 2020-09-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Process and related device for removing by-product on semiconductor processing chamber sidewalls |
KR102550393B1 (ko) | 2017-10-25 | 2023-06-30 | 삼성전자주식회사 | 플라즈마 처리 장치 및 이를 이용한 반도체 장치의 제조 방법 |
US11664206B2 (en) * | 2017-11-08 | 2023-05-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Arcing protection method and processing tool |
US11043400B2 (en) | 2017-12-21 | 2021-06-22 | Applied Materials, Inc. | Movable and removable process kit |
US10811267B2 (en) * | 2017-12-21 | 2020-10-20 | Micron Technology, Inc. | Methods of processing semiconductor device structures and related systems |
US10727075B2 (en) | 2017-12-22 | 2020-07-28 | Applied Materials, Inc. | Uniform EUV photoresist patterning utilizing pulsed plasma process |
US10555412B2 (en) | 2018-05-10 | 2020-02-04 | Applied Materials, Inc. | Method of controlling ion energy distribution using a pulse generator with a current-return output stage |
US10790123B2 (en) | 2018-05-28 | 2020-09-29 | Applied Materials, Inc. | Process kit with adjustable tuning ring for edge uniformity control |
US10347500B1 (en) | 2018-06-04 | 2019-07-09 | Applied Materials, Inc. | Device fabrication via pulsed plasma |
US10515781B1 (en) | 2018-06-13 | 2019-12-24 | Lam Research Corporation | Direct drive RF circuit for substrate processing systems |
US11935773B2 (en) | 2018-06-14 | 2024-03-19 | Applied Materials, Inc. | Calibration jig and calibration method |
CN110648888B (zh) * | 2018-06-27 | 2020-10-13 | 北京北方华创微电子装备有限公司 | 射频脉冲匹配方法及其装置、脉冲等离子体产生系统 |
US11011351B2 (en) * | 2018-07-13 | 2021-05-18 | Lam Research Corporation | Monoenergetic ion generation for controlled etch |
CN110739197B (zh) * | 2018-07-18 | 2022-05-27 | 北京北方华创微电子装备有限公司 | 脉冲信号控制方法和装置、等离子体刻蚀方法和设备 |
US10504744B1 (en) | 2018-07-19 | 2019-12-10 | Lam Research Corporation | Three or more states for achieving high aspect ratio dielectric etch |
US20200058469A1 (en) * | 2018-08-14 | 2020-02-20 | Tokyo Electron Limited | Systems and methods of control for plasma processing |
WO2020050932A1 (en) | 2018-09-04 | 2020-03-12 | Applied Materials, Inc. | Formulations for advanced polishing pads |
US11476145B2 (en) | 2018-11-20 | 2022-10-18 | Applied Materials, Inc. | Automatic ESC bias compensation when using pulsed DC bias |
US11289310B2 (en) | 2018-11-21 | 2022-03-29 | Applied Materials, Inc. | Circuits for edge ring control in shaped DC pulsed plasma process device |
KR20210089254A (ko) | 2018-12-05 | 2021-07-15 | 램 리써치 코포레이션 | 기판 내에 격리 피처들 및 치밀 피처들 에칭 |
CN118315254A (zh) | 2019-01-22 | 2024-07-09 | 应用材料公司 | 用于控制脉冲电压波形的反馈回路 |
US11508554B2 (en) | 2019-01-24 | 2022-11-22 | Applied Materials, Inc. | High voltage filter assembly |
US10784089B2 (en) | 2019-02-01 | 2020-09-22 | Applied Materials, Inc. | Temperature and bias control of edge ring |
JP7061981B2 (ja) | 2019-03-28 | 2022-05-02 | 東京エレクトロン株式会社 | プラズマエッチング装置およびプラズマエッチング方法 |
US11101115B2 (en) | 2019-04-19 | 2021-08-24 | Applied Materials, Inc. | Ring removal from processing chamber |
US12009236B2 (en) | 2019-04-22 | 2024-06-11 | Applied Materials, Inc. | Sensors and system for in-situ edge ring erosion monitor |
KR102080442B1 (ko) | 2019-06-28 | 2020-02-21 | 한국타이어앤테크놀로지 주식회사 | 전자장치가 일체화된 타이어 및 이의 제조방법 |
US11817295B2 (en) | 2019-08-14 | 2023-11-14 | Tokyo Electron Limited | Three-phase pulsing systems and methods for plasma processing |
US20210210355A1 (en) * | 2020-01-08 | 2021-07-08 | Tokyo Electron Limited | Methods of Plasma Processing Using a Pulsed Electron Beam |
US20230154728A1 (en) * | 2020-04-06 | 2023-05-18 | Lam Research Corporation | Methods and Systems for Controlling Radiofrequency Pulse-Initiation Power Spike for Plasma Sheath Stabilization |
JP7450455B2 (ja) | 2020-05-13 | 2024-03-15 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
JP2021182620A (ja) | 2020-05-14 | 2021-11-25 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP7542451B2 (ja) | 2020-05-14 | 2024-08-30 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US11848176B2 (en) | 2020-07-31 | 2023-12-19 | Applied Materials, Inc. | Plasma processing using pulsed-voltage and radio-frequency power |
US11901157B2 (en) | 2020-11-16 | 2024-02-13 | Applied Materials, Inc. | Apparatus and methods for controlling ion energy distribution |
US11798790B2 (en) | 2020-11-16 | 2023-10-24 | Applied Materials, Inc. | Apparatus and methods for controlling ion energy distribution |
US11495470B1 (en) | 2021-04-16 | 2022-11-08 | Applied Materials, Inc. | Method of enhancing etching selectivity using a pulsed plasma |
US11791138B2 (en) | 2021-05-12 | 2023-10-17 | Applied Materials, Inc. | Automatic electrostatic chuck bias compensation during plasma processing |
US11948780B2 (en) | 2021-05-12 | 2024-04-02 | Applied Materials, Inc. | Automatic electrostatic chuck bias compensation during plasma processing |
US11967483B2 (en) | 2021-06-02 | 2024-04-23 | Applied Materials, Inc. | Plasma excitation with ion energy control |
US11328902B1 (en) | 2021-06-09 | 2022-05-10 | XP Power Limited | Radio frequency generator providing complex RF pulse pattern |
US11984306B2 (en) | 2021-06-09 | 2024-05-14 | Applied Materials, Inc. | Plasma chamber and chamber component cleaning methods |
US11810760B2 (en) | 2021-06-16 | 2023-11-07 | Applied Materials, Inc. | Apparatus and method of ion current compensation |
US11569066B2 (en) | 2021-06-23 | 2023-01-31 | Applied Materials, Inc. | Pulsed voltage source for plasma processing applications |
US11476090B1 (en) | 2021-08-24 | 2022-10-18 | Applied Materials, Inc. | Voltage pulse time-domain multiplexing |
US11942307B2 (en) * | 2021-10-15 | 2024-03-26 | Tokyo Electron Limited | Plasma processing with radio frequency (RF) source and bias signal waveforms |
US11972924B2 (en) | 2022-06-08 | 2024-04-30 | Applied Materials, Inc. | Pulsed voltage source for plasma processing applications |
Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5779925A (en) * | 1994-10-14 | 1998-07-14 | Fujitsu Limited | Plasma processing with less damage |
CN101542857A (zh) * | 2006-09-20 | 2009-09-23 | 朗姆研究公司 | 在脉冲rf偏置处理中测量和控制晶片电势的方法和装置 |
CN104040021A (zh) * | 2011-12-28 | 2014-09-10 | 朗姆研究公司 | 等离子体处理系统中的混合模式脉冲蚀刻 |
Family Cites Families (46)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4314879A (en) | 1979-03-22 | 1982-02-09 | The United States Of America As Represented By The United States Department Of Energy | Production of field-reversed mirror plasma with a coaxial plasma gun |
US4377961A (en) | 1979-09-10 | 1983-03-29 | Bode Harald E W | Fundamental frequency extracting system |
US4420790A (en) | 1982-04-02 | 1983-12-13 | Honeywell Inc. | High sensitivity variable capacitance transducer |
US5084239A (en) | 1990-08-31 | 1992-01-28 | Abtox, Inc. | Plasma sterilizing process with pulsed antimicrobial agent treatment |
US5645796A (en) | 1990-08-31 | 1997-07-08 | Abtox, Inc. | Process for plasma sterilizing with pulsed antimicrobial agent treatment |
US5244629A (en) | 1990-08-31 | 1993-09-14 | Caputo Ross A | Plasma sterilizing process with pulsed antimicrobial agent pretreatment |
DE9109503U1 (de) | 1991-07-31 | 1991-10-17 | Magtron Magneto Elektronische Geraete Gmbh, 7583 Ottersweier | Schaltungsanordnung für ein Stromversorgungsgerät für Geräte und Anlagen der Plasma- und Oberflächentechnik |
US5202623A (en) | 1992-02-26 | 1993-04-13 | Digital Equipment Corporation | Laser-activated plasma chamber for non-contact testing |
US5783102A (en) * | 1996-02-05 | 1998-07-21 | International Business Machines Corporation | Negative ion deductive source for etching high aspect ratio structures |
US5917286A (en) | 1996-05-08 | 1999-06-29 | Advanced Energy Industries, Inc. | Pulsed direct current power supply configurations for generating plasmas |
JPH1079372A (ja) * | 1996-09-03 | 1998-03-24 | Matsushita Electric Ind Co Ltd | プラズマ処理方法及びプラズマ処理装置 |
US5654043A (en) | 1996-10-10 | 1997-08-05 | Eaton Corporation | Pulsed plate plasma implantation system and method |
JP3533105B2 (ja) | 1999-04-07 | 2004-05-31 | Necエレクトロニクス株式会社 | 半導体装置の製造方法と製造装置 |
JP2000306884A (ja) | 1999-04-22 | 2000-11-02 | Mitsubishi Electric Corp | プラズマ処理装置およびプラズマ処理方法 |
US6472822B1 (en) | 2000-04-28 | 2002-10-29 | Applied Materials, Inc. | Pulsed RF power delivery for plasma processing |
US6441620B1 (en) | 2000-06-20 | 2002-08-27 | John Scanlan | Method for fault identification in a plasma process |
US7465478B2 (en) | 2000-08-11 | 2008-12-16 | Applied Materials, Inc. | Plasma immersion ion implantation process |
US6875700B2 (en) * | 2000-08-29 | 2005-04-05 | Board Of Regents, The University Of Texas System | Ion-Ion plasma processing with bias modulation synchronized to time-modulated discharges |
US6808607B2 (en) | 2002-09-25 | 2004-10-26 | Advanced Energy Industries, Inc. | High peak power plasma pulsed supply with arc handling |
WO2004112950A2 (en) | 2003-06-20 | 2004-12-29 | Drexel University | Plasma reactor for the production of hydrogen-rich gas |
US6902646B2 (en) | 2003-08-14 | 2005-06-07 | Advanced Energy Industries, Inc. | Sensor array for measuring plasma characteristics in plasma processing environments |
US9607719B2 (en) | 2005-03-07 | 2017-03-28 | The Regents Of The University Of California | Vacuum chamber for plasma electric generation system |
US7771606B2 (en) | 2007-02-22 | 2010-08-10 | Applied Materials, Inc. | Pulsed-plasma system with pulsed reaction gas replenish for etching semiconductors structures |
US7737042B2 (en) | 2007-02-22 | 2010-06-15 | Applied Materials, Inc. | Pulsed-plasma system for etching semiconductor structures |
US7718538B2 (en) | 2007-02-21 | 2010-05-18 | Applied Materials, Inc. | Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates |
US9123509B2 (en) * | 2007-06-29 | 2015-09-01 | Varian Semiconductor Equipment Associates, Inc. | Techniques for plasma processing a substrate |
EP3062589B1 (en) | 2007-08-06 | 2024-08-28 | Plasma Surgical, Inc. | Method of generating a pulsed plasma |
US7589473B2 (en) | 2007-08-06 | 2009-09-15 | Plasma Surgical Investments, Ltd. | Pulsed plasma device and method for generating pulsed plasma |
WO2009073361A1 (en) * | 2007-11-29 | 2009-06-11 | Lam Research Corporation | Pulsed bias plasma process to control microloading |
US9018098B2 (en) * | 2008-10-23 | 2015-04-28 | Lam Research Corporation | Silicon etch with passivation using chemical vapor deposition |
US8901004B2 (en) | 2009-07-27 | 2014-12-02 | Lam Research Corporation | Plasma etch method to reduce micro-loading |
US8404598B2 (en) | 2009-08-07 | 2013-03-26 | Applied Materials, Inc. | Synchronized radio frequency pulsing for plasma etching |
WO2011088156A2 (en) * | 2010-01-12 | 2011-07-21 | Applied Materials, Inc. | Phase-modulated rf power for plasma chamber electrode |
US9076646B2 (en) | 2010-04-15 | 2015-07-07 | Lam Research Corporation | Plasma enhanced atomic layer deposition with pulsed plasma exposure |
JP2012033409A (ja) | 2010-07-30 | 2012-02-16 | Origin Electric Co Ltd | 直流プラズマ用逆極性パルス発生回路及び直流プラズマ電源装置 |
US8809199B2 (en) * | 2011-02-12 | 2014-08-19 | Tokyo Electron Limited | Method of etching features in silicon nitride films |
CA2742060C (en) | 2011-05-31 | 2013-09-10 | Vln Advanced Technologies Inc. | Reverse-flow nozzle for generating cavitating or pulsed jets |
US8692467B2 (en) | 2011-07-06 | 2014-04-08 | Lam Research Corporation | Synchronized and shortened master-slave RF pulsing in a plasma processing chamber |
US8872429B2 (en) | 2011-07-28 | 2014-10-28 | Kirk Rosener | Pulsed plasma generator |
US8974684B2 (en) | 2011-10-28 | 2015-03-10 | Applied Materials, Inc. | Synchronous embedded radio frequency pulsing for plasma etching |
US20130119018A1 (en) | 2011-11-15 | 2013-05-16 | Keren Jacobs Kanarik | Hybrid pulsing plasma processing systems |
US8808561B2 (en) | 2011-11-15 | 2014-08-19 | Lam Research Coporation | Inert-dominant pulsing in plasma processing systems |
JP2013131587A (ja) * | 2011-12-21 | 2013-07-04 | Hitachi High-Technologies Corp | プラズマ処理方法 |
US9462672B2 (en) * | 2012-02-22 | 2016-10-04 | Lam Research Corporation | Adjustment of power and frequency based on three or more states |
US9297063B2 (en) * | 2012-04-26 | 2016-03-29 | Varian Semiconductor Equipment Associates, Inc. | Plasma potential modulated ion implantation system |
US9960776B2 (en) * | 2013-03-14 | 2018-05-01 | Applied Materials, Inc. | Method and apparatus for generating a variable clock used to control a component of a substrate processing system |
-
2015
- 2015-09-23 US US14/863,331 patent/US9761459B2/en active Active
-
2016
- 2016-04-26 US US15/139,045 patent/US9583357B1/en active Active
- 2016-07-28 CN CN201610604517.0A patent/CN106449396B/zh active Active
- 2016-08-01 TW TW112110574A patent/TW202331902A/zh unknown
- 2016-08-01 TW TW105124272A patent/TWI716428B/zh active
- 2016-08-01 TW TW109144370A patent/TWI819259B/zh active
- 2016-08-01 TW TW112134769A patent/TW202401565A/zh unknown
- 2016-08-02 KR KR1020160098309A patent/KR20170017749A/ko active IP Right Grant
-
2017
- 2017-09-11 US US15/701,176 patent/US11798785B2/en active Active
-
2023
- 2023-10-03 US US18/480,495 patent/US20240030000A1/en active Pending
Patent Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5779925A (en) * | 1994-10-14 | 1998-07-14 | Fujitsu Limited | Plasma processing with less damage |
CN101542857A (zh) * | 2006-09-20 | 2009-09-23 | 朗姆研究公司 | 在脉冲rf偏置处理中测量和控制晶片电势的方法和装置 |
CN104040021A (zh) * | 2011-12-28 | 2014-09-10 | 朗姆研究公司 | 等离子体处理系统中的混合模式脉冲蚀刻 |
Also Published As
Publication number | Publication date |
---|---|
TWI819259B (zh) | 2023-10-21 |
US9583357B1 (en) | 2017-02-28 |
US11798785B2 (en) | 2023-10-24 |
TW201715607A (zh) | 2017-05-01 |
TW202113971A (zh) | 2021-04-01 |
KR20170017749A (ko) | 2017-02-15 |
US20170372912A1 (en) | 2017-12-28 |
TWI716428B (zh) | 2021-01-21 |
US20240030000A1 (en) | 2024-01-25 |
TW202401565A (zh) | 2024-01-01 |
CN106449396A (zh) | 2017-02-22 |
US20170040174A1 (en) | 2017-02-09 |
US9761459B2 (en) | 2017-09-12 |
TW202331902A (zh) | 2023-08-01 |
US20170040176A1 (en) | 2017-02-09 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN106449396B (zh) | 反向脉冲的系统和方法 | |
US10256077B2 (en) | Sub-pulsing during a state | |
CN105702550B (zh) | 通过rf脉冲形状进行离子能量控制 | |
CN105391427B (zh) | 状态期间的子脉冲 | |
CN109599318B (zh) | 多态等离子体晶片处理以增强离子的方向性 | |
US20160307736A1 (en) | Systems and methods for reducing reflected power during state transitions by using radio frequency values | |
US11398387B2 (en) | Etching isolation features and dense features within a substrate | |
WO2023278171A1 (en) | Multiple state pulsing for high aspect ratio etch | |
KR20210035073A (ko) | 플라즈마 처리 방법 및 플라즈마 처리 장치 | |
US20230230807A1 (en) | Control of mask cd | |
JP2023530125A (ja) | Rf信号のパラメータのパルス化周波数およびデューティサイクルの制御 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
GR01 | Patent grant | ||
GR01 | Patent grant |