TW202331902A - 用於反向脈衝的系統及方法 - Google Patents

用於反向脈衝的系統及方法 Download PDF

Info

Publication number
TW202331902A
TW202331902A TW112110574A TW112110574A TW202331902A TW 202331902 A TW202331902 A TW 202331902A TW 112110574 A TW112110574 A TW 112110574A TW 112110574 A TW112110574 A TW 112110574A TW 202331902 A TW202331902 A TW 202331902A
Authority
TW
Taiwan
Prior art keywords
pulse signal
state
generator
low state
occurrences
Prior art date
Application number
TW112110574A
Other languages
English (en)
Inventor
龍茂林
譚忠魁
垠 吳
謙 符
艾立克斯 派特森
約翰 朱爾瑞
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202331902A publication Critical patent/TW202331902A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32128Radio frequency generated discharge using particular waveforms, e.g. polarised waves
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Solid-Sorbent Or Filter-Aiding Compositions (AREA)
  • Treatment Of Steel In Its Molten State (AREA)
  • Detergent Compositions (AREA)

Abstract

本發明描述用於反向脈衝的系統及方法。其中一種方法包括接收具有第一狀態及第二狀態的數位信號。此方法更包括產生變壓器耦合電漿(TCP)射頻(RF)脈衝信號,當數位信號處於第一狀態時,TCP RF脈衝信號具有高狀態,且當數位信號處於第二狀態時,TCP RF脈衝信號具有低狀態。此方法包括:將TCP RF脈衝信號提供至電漿腔室的一或更多線圈;產生偏壓RF脈衝信號,當數位信號處於第一狀態時,偏壓RF脈衝信號具有低狀態,且當數位信號處於第二狀態時,偏壓RF脈衝信號具有高狀態;以及將偏壓RF脈衝信號提供至電漿腔室的夾具。

Description

用於反向脈衝的系統及方法
本文實施方式涉及產生射頻信號之反向脈衝的系統及方法。
電漿系統係用以執行晶圓上的各種操作。將射頻(RF,radio frequency)信號提供至一電漿腔室,晶圓係設置在此電漿腔室之中。此外,將一或更多氣體供應至電漿腔室,並在接收RF信號後,於電漿腔室內產生電漿。其中之一操作係利用電漿蝕刻晶圓。
本揭露內容所述之實施方式正是在此背景下產生。
本揭露內容之實施方式提供了用於偏壓與來源射頻(RF)信號間之反向同步的設備、方法及電腦程式。應瞭解到本文實施方式可以許多方式實現,例如:製程、或設備、或系統、或硬體的一部份、或方法、或電腦可讀媒體。以下將敘述數個實施方式。
提供至變壓器耦合電漿(TCP,transformer coupled plasma)RF線圈的來源RF信號及提供至夾具的偏壓RF信號皆為脈衝式,且其脈衝時序為反向同步,以降低微負載(micro-loading)/ARDE(深寬比相依性蝕刻,aspect ratio dependent etching)的效應、改善選擇性、及/或符合基於RF電漿之半導體製造的其他潛在製程優勢。例如,當偏壓RF信號處於狀態S0(電力OFF或較低電力)時,則來源RF脈衝信號處於狀態S1,且當偏壓RF信號處於狀態S1(電力ON或較高電力)時,則來源RF脈衝信號處於狀態S0。反向多位準脈衝亦提供一些製程調整手段,其可有利於選擇性、蝕刻速率、蝕刻與沉積間之均勻輪廓調整等等。
在一實施方式中,敘述了一種用於反向脈衝的方法,且此方法係用以執行導體蝕刻。導體C蝕刻係利用一腔室而執行,該腔室的頂部窗蓋件上方具有一TCP線圈。在操作時,一方法包括接收具有第一狀態及第二狀態的數位信號。此方法更包括產生一TCP RF脈衝信號,當數位信號處於第一狀態時,TCP RF脈衝信號具有高狀態,且當數位信號處於第二狀態時,TCP RF脈衝信號具有低狀態。此方法包括:將TCP RF脈衝信號提供至電漿腔室的一或更多線圈;產生一偏壓RF脈衝信號,當數位信號處於第一狀態時,偏壓RF脈衝信號具有低狀態,且當數位信號處於第二狀態時,偏壓RF脈衝信號具有高狀態;以及將偏壓RF脈衝信號提供至電漿腔室的夾具。
在一實施方式中,敘述了用於反向脈衝的系統。此系統包括用以產生一或更多偏壓RF脈衝信號的一或更多偏壓RF產生器(具有不同頻率)。此系統更包括耦合至一或更多偏壓RF產生器的偏壓匹配部,其係用以由一或更多偏壓RF脈衝信號而產生一修正之偏壓RF信號。此系統包括一電漿腔室。該電漿腔室包括耦合至偏壓匹配部的夾具,其主要用以在接收修正之偏壓RF信號時控制朝向晶圓的離子能量。此系統更包括一或更多來源RF產生器及來源匹配部,該一或更多來源RF產生器係用以產生一或更多來源RF脈衝信號,且該來源匹配部係耦合至該一或更多來源RF產生器,以在接收一或更多來源RF脈衝信號時產生修正之電漿。當偏壓RF脈衝信號之其中第一者係處於低狀態(例如:低電力位準、零電力位準等等)時,則來源RF脈衝信號之其中第一者係處於高狀態(例如:高電力位準等等),且當偏壓RF脈衝信號之其中第一者係處於高狀態時,則來源脈衝RF信號之其中第一者係處於低狀態。
為降低微負載效應、改善選擇性、及/或符合其他潛在製程需求,故敘述了TCP與偏壓間之反向脈衝方法。具有各種多位準組合的反向脈衝利用了不同脈衝週期的ON、OFF、高電力、低電力、及TCP RF電力與偏壓RF電力間之組合的電漿特性調整之動態性質。
由於脈衝式電漿中之OFF週期期間的電子溫度衰減與離子密度下降之間的不同時間幅度,故在偏壓RF電力ON週期(其與TCP電力OFF週期相同)期間使用反向脈衝進行蝕刻,當離子密度仍維持相對高時,而電子溫度為低。這降低了微負載效應的負面影響並潛在地提供了其他的製程優勢,例如提高蝕刻速率、改善選擇性、更高的深寬比等等。
在一些實施方式中,反向多位準脈衝亦提供一些製程調整手段,其有利於選擇性、蝕刻速率、蝕刻與沉積間之均勻輪廓調整等等。
由以下的詳細描述配合附圖,則其他實施態樣將變得顯而易知。
以下實施方式描述了用於反向脈衝的系統及方法。顯而易見地,本文實施方式可在不具這些具體細節的部份或全部之情況下實施。在其他情況下,為了不非必要地混淆本文實施方式,故不再詳細敘述熟知的製程操作。
本發明提出用於電漿處理之變壓器耦合電漿(TCP)與偏壓之間的反向脈衝,其具有各種多位準(multi-level)組合(如以下參考圖4A、4B、4C、及4D所述),以利用TCP RF電力與偏壓RF電力之間的不同ON、OFF、高電力、低電力、及其組合之脈衝週期期間之電漿特性調整的動態性質。雖然圖4A顯示ON/OFF反向脈衝的一實例,但多位準反向脈衝提供甚至更多的製程調整手段。反向脈衝方法降低了微負載/ARDE(aspect ratio dependent etching,深寬比相依性蝕刻)的效應、改善選擇性、並幫助達到其他潛在的製程需求。
圖1係顯示引起微負載效應之離子106的方向之示意圖。當電漿腔室之中產生電漿以蝕刻基板堆疊100(例如:晶圓、基板頂部上具有氧化物層的半導體基板、基板頂部上具有單體或聚合物的半導體基板、半導體基板等等)時,電漿的離子106會被引導朝向形成在基板堆疊100內之特徵部104的底部102。當這些離子被引導朝向特徵部104的側壁108A及108B時(例如相對於垂直方向110呈一角度θ),微負載效應發生且特徵部的底部處之蝕刻速率降低。藉由應用如本文所述之反向脈衝,離子朝垂直方向行進至溝渠底部的機率會增高,進而降低ARDE或微負載的效應。
圖2係使微負載效應可能性降低之系統200之實施方式的示意圖。系統200包括:TCP RF電源供應器212、匹配電路215、複數TCP RF線圈204A及204B、電漿腔室206、偏壓RF電源供應器214、及匹配電路216。介電窗219將TCP RF線圈204A及204B與電漿腔室206的內部隔開。用來製作介電窗216的材料範例包括石英或陶瓷等等。
電漿腔室206包括靜電夾具(ESC,electrostatic chuck)202,基板堆疊100係設置在ESC 202上以進行處理(例如:蝕刻、或沉積、或濺鍍、或清理等等)。
偏壓RF電源供應器214係經由RF纜線220而耦合至匹配電路216。偏壓RF電源供應器214產生偏壓脈衝RF信號230,並經由RF纜線220將偏壓脈衝RF信號230供應至匹配電路216。匹配電路216接收偏壓脈衝RF信號230,並匹配負載(例如形成在電漿腔室206內之電漿)的阻抗。
TCP RF產生器之TCP RF電源供應器212係經由RF纜線224而連接至匹配電路215。TCP RF電源供應器212產生來源脈衝RF信號232,並將此來源脈衝RF信號供應至匹配電路215。匹配電路215接收來源脈衝RF信號232,並匹配負載(例如TCP RF線圈204A及204B、和RF纜線226A及226B等等)的阻抗。
來源RF脈衝信號232的脈衝與偏壓RF脈衝信號230的脈衝為反向。舉例而言,當來源RF脈衝信號232的狀態為高時,則偏壓RF脈衝信號230的狀態為低,而且當來源RF脈衝信號232的狀態為低時,則偏壓RF脈衝信號230的狀態為高。高狀態之一範例係處於高電力位準的狀態,且低狀態之一範例係處於低電力位準的狀態。RF脈衝信號232的狀態S0期間之來源RF脈衝信號232的零位準幫助降低OFF週期期間的電子溫度Te。溫度及電位的降低改善了離子106的熱速度(thermal velocity)。舉例而言,溫度及電位的降低使離子106被引導至垂直方向110(而非橫向方向236)或被引導更靠近垂直方向110(相較於橫向方向236)的可能性增高,進而減輕微負載效應。
在一實施方式中,將多數偏壓RF產生器(代替一偏壓RF產生器)耦合至匹配電路216。各偏壓RF產生器具有不同的頻率。舉例而言,偏壓RF產生器其中一者具有13.56百萬赫茲(MHz)的操作頻率,偏壓RF產生器其中另一者具有1 MHz的操作頻率,且偏壓RF產生器其中又另一者具有60 MHz的操作頻率。偏壓RF產生器之每一者係經由個別的RF纜線而耦合至匹配電路216。
在一實施方式中,將多數TCP RF產生器(代替一TCP RF產生器)耦合至匹配電路215。各TCP RF產生器具有不同的頻率。TCP RF產生器之每一者係經由個別的RF纜線而耦合至匹配電路215。在一實施方式中,TCP RF線圈204A及204B係共平面。在一實施方式中,TCP RF線圈204A係設置在一平面,而該平面與TCP RF線圈204B所設置之平面不同。在一實施方式中,將任何其他數目(例如:一、三等等)的線圈(代替二TCP RF線圈204A及204B)設置在電漿腔室206的頂部上。
圖3係系統300之實施方式的示意圖,其顯示來源RF脈衝信號232及偏壓RF脈衝信號230中之反向脈衝的同步(synchronization)。系統300包括主機系統306,例如:電腦、筆記型電腦電腦、平板電腦、行動電話等等。主機系統306係耦合至來源RF產生器302,其包括TCP RF電源供應器212(圖2)。此外,主機系統306係耦合至偏壓RF產生器304,其包括偏壓RF電源供應器214(圖2)。主機系統306產生數位脈衝信號310,例如:時脈信號(Clk)等等。舉例而言,主機系統306的處理器產生數位脈衝信號310。如另一範例,主機系統306內的時脈振盪器產生數位脈衝信號310。如又另一範例,耦合至鎖相迴路之時脈振盪器產生數位脈衝信號310。如另一範例,指令或指令集係從主機系統306傳送到來源RF產生器302及偏壓RF產生器304,以告知這些產生器如何產生脈衝。
數位脈衝信號310具有一狀態S1(例如:高狀態、狀態1、位元1等等)及一狀態S0(例如:低狀態、狀態0、位元0等等)。數位脈衝信號在狀態S1與狀態S0之間週期性地產生脈衝。舉例而言,數位脈衝信號處於狀態S0達一時間週期,然後從狀態S0轉變到狀態S1,保持在狀態S1達該時間週期,並接著從狀態S1轉變到狀態S0。
來源RF產生器302經由纜線312及另一纜線314A接收數位脈衝信號310,且偏壓RF產生器304經由纜線312及纜線314B接收數位脈衝信號310。來源RF產生器302的來源RF電源供應器212(圖2)產生與數位脈衝信號310同步的來源RF脈衝信號232,且偏壓RF產生器304的偏壓RF電源供應器214(圖2)產生與數位脈衝信號310同步的偏壓RF脈衝信號230。舉例而言,來源RF產生器302的處理器在某一時間接收數位脈衝信號310、確認數位脈衝信號310在該時間的狀態、並傳送控制信號至來源RF電源供應器212,以產生具有數位脈衝信號310的狀態之來源RF脈衝信號232。如另一範例,偏壓RF產生器304的處理器在某一時間接收數位脈衝信號310、確認數位脈衝信號310在該時間的狀態、並傳送控制信號至偏壓RF電源供應器214,以產生具有與數位脈衝信號310相反的狀態之偏壓RF脈衝信號230。
在此範例中,來源RF產生器302的處理器在某一時間接收數位脈衝信號310、確認數位脈衝信號310的狀態在該時間從低狀態轉變到高狀態、並傳送控制信號至來源RF電源供應器212,以使來源RF脈衝信號232在該時間從低狀態轉變到高狀態。在此範例中,偏壓RF產生器304的處理器在某一時間接收數位脈衝信號310、確認數位脈衝信號310的狀態在該時間從低狀態轉變到高狀態、並傳送控制信號至偏壓RF電源供應器214,以使偏壓RF脈衝信號230在該時間從高狀態轉變到低狀態。在此範例中,來源RF產生器302的處理器在某一時間接收數位脈衝信號310、確認數位脈衝信號310的狀態在該時間從高狀態轉變到低狀態、並傳送控制信號至來源RF電源供應器212,以使來源RF脈衝信號232在該時間從高狀態轉變到低狀態。在此範例中,偏壓RF產生器304的處理器在某一時間接收數位脈衝信號310、確認數位脈衝信號310的狀態在該時間從高狀態轉變到低狀態、並傳送控制信號至偏壓RF電源供應器214,以使偏壓RF脈衝信號230在該時間從低狀態轉變到高狀態。
在一實施方式中,數位脈衝信號310係由偏壓RF產生器304產生,而非由主機系統306產生。舉例而言,偏壓RF產生器304包括設置在偏壓RF產生器304內的時脈來源,例如:時脈振盪器、耦合至鎖相迴路的時脈振盪器等等。如另一範例,偏壓RF產生器304包括產生數位脈衝信號310之處理器。如於此所述般,將數位脈衝信號310從偏壓RF產生器304供應到來源RF產生器302,以使來源RF脈衝信號232與偏壓RF脈衝信號230的產生同步。
圖4A顯示圖式406、408、410、412、及414,其繪示TCP RF脈衝信號402及偏壓RF脈衝信號404的相反狀態。TCP RF脈衝信號402係來源RF脈衝信號232(圖2)之一例子,且偏壓RF脈衝信號404係偏壓RF脈衝信號230(圖2)之一例子。
圖式406繪示偏壓RF脈衝信號404對時間t的電壓波形。此外,圖式408繪示TCP RF脈衝信號402對時間t的電壓波形,且圖式410繪示電子溫度Te對時間。圖式412繪示離子106(圖1)的離子密度對時間t,且圖式414繪示數位脈衝信號310對時間t。
當數位脈衝信號310處於狀態S1時,來源RF產生器302產生TCP RF脈衝信號402的高狀態S1(例如:電力ON或較高電力等等),並且當數位脈衝信號310處於狀態S0時,來源RF產生器302產生TCP RF脈衝信號402的低狀態S0(例如:零電力或較低電力等等)。舉例而言,在數位脈衝信號310處於狀態S1之時間週期t1A期間,產生TCP RF脈衝信號402的高狀態S1,並且在數位脈衝信號310處於狀態S0之時間週期t1B期間,產生TCP RF脈衝信號402的低狀態S0。此外,在數位脈衝信號310處於狀態S1的時間週期t1C期間,產生TCP RF脈衝信號402的高狀態S1,並且在數位脈衝信號310處於狀態S0的時間週期t1D期間,產生TCP RF脈衝信號402的低狀態S0。各個時間週期t1A、t1B、t1C、及t1D皆相同。
此外,自數位脈衝信號310從狀態S0到狀態S1的轉變TR1之時間ty的一預定時間量之內(例如:在時間週期t1B的一部份、或時間週期t1C的一部份、或相位落後時間、或相位超前時間等等之內),TCP RF脈衝信號402從低狀態S0轉變到高狀態S1。在一實施方式中,於數位脈衝信號310從狀態S0到狀態S1的轉變TR1之時間ty,TCP RF脈衝信號402從低狀態S0轉變到高狀態S1。
此外,自數位脈衝信號310從狀態S1到狀態S0的轉變TR2之時間tx的一預定時間量之內(例如:在時間週期t1A的一部份、或時間週期t1B的一部份、或相位落後時間、或相位超前時間等等之內),TCP RF脈衝信號402從高狀態S1轉變到低狀態S0。在一實施方式中,於數位脈衝信號310從狀態S1到狀態S0的轉變TR2之時間tx,TCP RF脈衝信號402從高狀態S1轉變到低狀態S0。
再者,當數位脈衝信號310處於狀態S1時,偏壓RF產生器304產生偏壓RF脈衝信號404的低狀態S0(例如:零電力或較低電力等等),並且當數位脈衝信號310處於狀態S0時,偏壓RF產生器304產生偏壓RF脈衝信號404的高狀態S1(例如:電力ON或較高電力等等)。舉例而言,在數位脈衝信號310處於狀態S1之時間週期t1A期間,產生偏壓RF脈衝信號404的低狀態S0,並且在數位脈衝信號310處於狀態S0之時間週期t1B期間,產生偏壓RF脈衝信號404的高狀態S1。
此外,自數位脈衝信號310從狀態S0到狀態S1的轉變TR1之時間ty的一預定時間量之內(例如:在時間週期t1B的一部份、或時間週期t1C的一部份、或相位落後時間、或相位超前時間等等之內),偏壓RF脈衝信號404從高狀態S1轉變到低狀態S0。在一實施方式中,於數位脈衝信號310從狀態S0到狀態S1的轉變TR1之時間ty,偏壓RF脈衝信號404從高狀態S1轉變到低狀態S0。
再者,自數位脈衝信號310從狀態S1到狀態S0的轉變TR2之時間tx的一預定時間量之內(例如:在時間週期t1A的一部份、或時間週期t1B的一部份、或相位落後時間、或相位超前時間等等之內),偏壓RF脈衝信號404從低狀態S0轉變到高狀態S1。在一實施方式中,於數位脈衝信號310從狀態S1到狀態S0的轉變TR2之時間tx,偏壓RF脈衝信號404從低狀態S0轉變到高狀態S1。
應注意到,TCP RF脈衝信號402與偏壓RF脈衝信號404為反向同步。舉例而言,當偏壓RF脈衝信號404的狀態為S0時,則TCP RF脈衝信號402的狀態為S1,而當偏壓RF脈衝信號404的狀態為S1時,則TCP RF脈衝信號402的狀態為S0。如另一範例,當偏壓RF脈衝信號404從狀態S1轉變到狀態S0時,則TCP RF脈衝信號402從狀態S0轉變到狀態S1,而當偏壓RF脈衝信號404從狀態S0轉變到狀態S1時,則TCP RF脈衝信號402從狀態S1轉變到狀態S0。
TCP RF脈衝信號402於低狀態S0期間具有零電力,並且於高狀態S1期間具有正數量電力(例如:A2等等)。偏壓RF脈衝信號404於低狀態S0期間具有零電力,並且於高狀態S1期間具有正數量電力(例如:A1等等)。
在一實施方式中,數量A1與數量A2相同。在一實施方式中,數量A1與數量A2不同。在一實施方式中,偏壓RF脈衝信號404於狀態S0期間具有除了零以外之電力。此外,在一實施方式中,TCP RF脈衝信號402於狀態S0期間具有除了零以外之電力。在一實施方式中,偏壓RF脈衝信號404為連續(例如:在所有時間皆具有狀態S1等等),以代替具有二狀態S1及S0。偏壓RF脈衝信號404並未在二狀態S1與S0之間進行切換。
應注意到,當TCP RF脈衝信號402處於狀態S0且偏壓RF脈衝信號404處於狀態S1時,電子溫度Te降低。此溫度降低使得電漿電壓下降,並且使基板堆疊100(圖1)之蝕刻期間的微負載效應減低。
圖4B顯示了圖式406、420、及414。圖式420繪示TCP RF脈衝信號422的電力(例如:峰對峰(peak-to-peak)電力等等)對時間t。TCP RF脈衝信號422係TCP RF脈衝信號232(圖2)之一範例。TCP RF脈衝信號422於高狀態S1期間具有高位準A2的電力,並且於低狀態S0期間具有低位準A3的電力。低位準A3大於零電力且小於高位準A2。應注意如圖式406及420所示般,偏壓RF信號404係由偏壓RF產生器304(圖3)產生,同時TCP RF信號422係由來源RF產生器302(圖3)產生。
圖4C顯示圖式432、408、及414。圖式432繪示偏壓RF脈衝信號430的電力(例如:峰對峰電力等等)對時間t。偏壓RF脈衝信號430係偏壓RF脈衝信號230(圖2)之一範例。偏壓RF脈衝信號430於高狀態S1期間具有高位準A1的電力,並且於低狀態S0期間具有低位準A4的電力。高位準A1大於低位準A4,且低位準A4大於零電力位準。應注意如圖式408及432所示般,偏壓RF信號430係由偏壓RF產生器304(圖3)產生,同時TCP RF信號402係由來源RF產生器302(圖3)產生。
在一實施方式中,偏壓RF脈衝信號430為連續(例如:在所有時間皆具有狀態S1或狀態S0等等),以代替具有二狀態S1及S0。偏壓RF脈衝信號430並未在二狀態S1與S0之間進行切換,但偏壓RF脈衝信號430在所有時間皆具有狀態S1。
圖4D顯示圖式432、420、及414。應注意如圖式420及432所示般,偏壓RF信號430係由偏壓RF產生器304(圖3)產生,同時TCP RF信號422係由來源RF產生器302(圖3)產生。
圖5A係系統500之實施方式的示意圖,其繪示多數來源RF產生器516及518和多數偏壓RF產生器520及522的使用。主機系統306將數位脈衝信號310經由纜線502和纜線504A供應至來源RF產生器516、經由纜線502和纜線504B供應至來源RF產生器518、經由纜線502和纜線504C供應至偏壓RF產生器520、以及經由纜線502和纜線504D供應至偏壓RF產生器522。
來源RF產生器516產生具有頻率f1的來源RF脈衝信號524,且來源RF脈衝信號524與數位脈衝信號310同步。此外,來源RF產生器518產生具有頻率f2的來源RF脈衝信號526,且來源RF脈衝信號526與數位脈衝信號310同步。頻率f2與頻率f1不同。例如,頻率f2係在與具有頻率f1之頻率範圍不同的頻率範圍內。來源RF產生器516及518係經由對應的RF纜線532A及532B而耦合至匹配電路215,且偏壓RF產生器520及522係經由對應的RF纜線534A及534B而耦合至匹配電路216。
匹配電路215使耦合至匹配電路215的負載之阻抗與耦合至匹配電路215的來源(例如:來源RF產生器516及518和RF纜線532A及532B等等)之阻抗匹配,以產生一修正之來源RF脈衝信號。修正之來源RF脈衝信號係從匹配電路215傳送到TCP RF線圈204A及204B(圖2)以修正(例如改善等等)離子106(圖1)的熱速度,進而改善蝕刻速率。舉例而言,當離子106被控制在垂直方向110上或更靠近垂直方向110(圖1)行進時(相較於橫向方向236,圖2),則離子106的熱速度受到修正。
此外,偏壓RF產生器520產生具有頻率f3的偏壓RF脈衝信號528,且偏壓RF脈衝信號528與數位脈衝信號310同步。再者,偏壓RF產生器522產生具有頻率f4的偏壓RF脈衝信號530,且偏壓RF脈衝信號530與數位脈衝信號310同步。頻率f4與頻率f3不同。例如,頻率f4係在與具有頻率f3之頻率範圍不同的頻率範圍內。
此外,匹配電路216使耦合至匹配電路216的負載之阻抗與來源(例如:偏壓RF產生器520及522和RF纜線534A及534B等等)之阻抗匹配,以產生一修正之偏壓RF脈衝信號。修正之偏壓RF脈衝信號係從匹配電路216傳送到ESC 202(圖2)以產生或維持電漿腔室206(圖2)內之電漿。
圖5B顯示圖式540、542、544、546、556、558、及414,其繪示將多數來源RF脈衝信號548及550結合以產生一來源RF脈衝信號560,並將多數偏壓RF脈衝信號552及554結合以產生一偏壓RF脈衝信號562。圖式540繪示來源RF脈衝信號548的電力(例如:峰對峰電力等等)對時間t。此外,圖式542繪示來源RF脈衝信號550的電力(例如:峰對峰電力等等)對時間t。此外,圖式556繪示來源RF脈衝信號560的電力(例如:峰對峰電力等等)對時間t。舉例而言,來源RF脈衝信號560係輸出自匹配電路215(圖5A)的修正來源RF脈衝信號之一範例。
來源RF脈衝信號548於狀態S1期間具有正數量A2的電力,並且於狀態S0期間具有零電力。此外,來源RF脈衝信號550於狀態S1期間具有正數量A3的電力,並且於狀態S0期間具有零電力。來源RF脈衝信號548係由來源RF產生器516(圖5A)產生,且來源RF脈衝信號550係由來源RF產生器518(圖5A)產生。
此外,圖式544繪示偏壓RF脈衝信號552的電力(例如:峰對峰電力等等)對時間t。圖式546繪示偏壓RF脈衝信號554的電力(例如:峰對峰電力等等)對時間t。圖式558繪示偏壓RF脈衝信號562的電力(例如:峰對峰電力等等)對時間t。舉例而言,偏壓RF脈衝信號562係輸出自匹配電路216(圖5A)的修正偏壓RF脈衝信號之一範例。偏壓RF脈衝信號552於狀態S1期間具有正數量A1的電力,並且於狀態S0期間具有零電力。再者,偏壓RF脈衝信號554於狀態S1期間具有正數量A4的電力,並且於狀態S0期間具有零電力。偏壓RF脈衝信號552係由偏壓RF產生器520(圖5A)產生,且偏壓RF脈衝信號554係由偏壓RF產生器522(圖5A)產生。
在匹配電路215(圖5A)之中將來源RF脈衝信號548及550結合(如加成等等),以產生來源RF脈衝信號560作為匹配電路215的輸出。應注意到,來源RF信號560於狀態S1期間具有正數量A2的電力,並且於狀態S0期間具有正數量的電力A3。舉例而言,當來源RF脈衝信號548於狀態S1期間具有正數量A2的電力時,則來源RF脈衝信號550於狀態S0期間具有零數量的電力,並且將此正數量A2與零數量結合以產生來源RF脈衝信號560的狀態S1。如另一範例,當來源RF脈衝信號548於狀態S0期間具有零數量電力時,則來源RF脈衝信號550於狀態S1期間具有正數量A3的電力,並且將此正數量A3與零數量結合以產生來源RF脈衝信號560的狀態S0。應注意到,正數量A3小於數量A2。
同樣地,在匹配電路216(圖5A)之中將偏壓RF脈衝信號552及554結合(如加成等等),以產生偏壓RF脈衝信號562作為匹配電路216的輸出。應注意到,偏壓RF信號562於狀態S1期間具有正數量A1的電力,並且於狀態S0期間具有正數量的電力A4。舉例而言,當偏壓RF脈衝信號552於狀態S0期間具有零數量的電力時,則偏壓RF脈衝信號554於狀態S1期間具有正數量A4的電力,並且將此正數量A4與零數量結合以產生偏壓RF脈衝信號562的狀態S0。如另一範例,當偏壓RF脈衝信號552於狀態S1期間具有正數量A1的電力時,則偏壓RF脈衝信號554於狀態S0期間具有零數量的電力,並且將此正數量A1與零數量結合以產生偏壓RF脈衝信號562的狀態S1。應注意到,正數量A4小於數量A1。
在一實施方式中,於此所述之由一處理器所執行之功能係藉由多數處理器來執行,例如分散在多數處理器之間。應注意在一實施方式中,同時產生並提供具有狀態S0的TCP RF脈衝信號402(圖4A)及具有狀態S1的偏壓RF脈衝信號404(圖4A)使得電子溫度Te降低,且溫度Te的降低使得偏壓RF脈衝信號404的電力之影響增大。此影響的增大使離子106朝向ESC 202(圖2)的垂直方向性增強,藉此執行基板堆疊100(圖1)之高深寬比特徵部(例如50:1的比例、100:1的比例等等)的蝕刻操作。在一實施方式中,相較於橫向方向236(圖2),當離子106更被導向在垂直方向110(圖1)上時,則離子106的垂直方向性增強。舉例而言,若離子106以相對於橫向方向236呈大於45度的方向朝ESC 202(圖2)行進,則相較於橫向方向236,離子106更被導向垂直方向110。
可用各種電腦系統配置來實施於此所述之實施方式,包括:手持式硬體單元、微處理器系統、基於微處理器或可編程的消費性電子裝置、小型電腦、主機電腦、及類似者。亦可在分散式計算環境中實施於此所述之實施方式,其中藉由透過電腦網路所連結之遠端處理硬體單元來執行各種工作。
在一些實施方式中,控制器(如主機系統等等)係系統的一部份,其可為上述範例的一部份。此系統包括半導體處理設備,其包括一或多數處理工具、一或多數腔室、一或多數處理平台、及/或特定處理構件(晶圓基座、氣體流量系統等等)。此系統係與用以控制其處理半導體晶圓或基板之前、期間、及之後的操作之電子裝置結合。此電子裝置稱為「控制器」,其可控制系統的各個構件或子部份。依處理需求及/或系統類型,將控制器進行編程以控制於此所揭露之任何處理,包括:處理氣體遞送、溫度設定(例如加熱及/或冷卻)、壓力設定、真空設定、電力設定、RF產生器設定、RF匹配電路設定、頻率設定、流速設定、流體遞送設定、位置及操作設定、將晶圓傳入(及傳出)與此系統連接或接合之工具及其他傳遞工具及/或裝載鎖室。
概括而言,在各種實施方式中,控制器係定義為具有各種積體電路、邏輯、記憶體、及/或軟體之電子裝置,其接收指令、發佈指令、控制操作、啟動清理操作、啟動終點量測、及類似者。這些積體電路包括:儲存程式指令之韌體形式的晶片、數位信號處理器(DSP,digital signal processor)、定義為特定應用積體電路(ASIC,application specific integrated circuit)之晶片、可編程邏輯裝置(PLD,programmable logic device)、一或更多微處理器、或執行程式指令(例如軟體)之微控制器。程式指令係傳送至控制器之各種獨立設定(或程式檔案)之形式的指令,其定義用以執行半導體晶圓之製程的操作參數。在一些實施方式中,操作參數係配方的一部份,而配方係由製程工程師所定義以在晶圓之一或更多的層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶粒的製作期間完成一或更多處理步驟。
在一些實施方式中,控制器係電腦的一部份或耦合至一電腦,此電腦係與系統結合、耦合至系統、或與系統透過網路連結、或其組合。例如,控制器係位於「雲端」(cloud)或係晶圓廠主機電腦系統的全部或部份,其允許遠端使用晶圓處理。控制器允許遠端使用系統,以監控製造操作的目前進度、檢查過去製造操作的歷程、從多數製造操作來檢查趨向或性能指標、改變目前處理的參數、設定處理步驟以跟隨目前處理、或開始新製程。
在一些實施方式中,遠端電腦(如伺服器)在電腦網路(包括區域網路或網際網路)上提供製程配方給系統。遠端電腦包括使用者介面,其允許登錄或程式化參數及/或設定,然後自遠端電腦將其傳送到系統。在一些範例中,控制器接收用於處理晶圓之設定形式的指令。應瞭解到,這些設定係欲在晶圓上所執行之製程類型所特定,且係與控制器接合或由控制器所控制之工具類型所特定。故如以上所述,控制器係分散式,例如包括透過網路連結在一起並朝共同目標運作(例如完成於此所述之製程)之一或更多離散控制器。用於上述目的之分散式控制器之一範例包括:腔室上之一或更多積體電路,其與遠端設置(如位於平台等級或作為遠端電腦的一部份)而結合以控制腔室中之製程的一或更多積體電路連通。
在非限制的情況下,於各種實施方式中,此系統包括:電漿蝕刻腔室、沉積腔室、旋轉清洗腔室、金屬電鍍腔室、清理腔室、斜邊蝕刻腔室、物理氣相沉積(PVD,physical vapor deposition)腔室、化學氣相沉積(CVD,chemical vapor deposition)腔室、原子層沉積(ALD,atomic layer deposition)腔室、原子層蝕刻(ALE,atomic layer etch)腔室、離子植入腔室、徑跡腔室、及半導體晶圓製作及/或製造中相關或使用之任何其他半導體處理腔室。
應更注意到,雖然上述操作係參考變壓器耦合電漿(TCP)反應器而進行描述,但在一些實施方式中,上述操作可應用在其他類型的電漿腔室,例如導體工具等等。
如以上所述,根據工具欲執行之製程操作,控制器與下列其中之一或多者進行通信:其他工具電路或模組、其他工具構件、群集工具、其他工具介面、鄰接工具、附近工具、位於工廠各處之工具、主電腦、另一控制器、或用於材料運送之工具(其在半導體製造廠中的工具位置及/或裝載埠之間往返運送晶圓容器)。
有了以上實施方式的概念後,應瞭解到部份實施方式採用涉及電腦系統中所儲存資料的各種電腦執行之操作。這些電腦執行之操作係處理物理量之操作。
部份實施方式亦涉及執行這些操作的硬體單元或設備。該設備係特別建構為特殊用途電腦。當定義為特殊用途電腦時,該電腦執行非此特殊用途部份的其他處理、程式執行、或例行工作,而同時仍能操作於此特殊用途。
在一些實施方式中,於此所述之操作係藉由一選擇性啟動的電腦來執行、或係藉由儲存在電腦記憶體的一或更多電腦程式來配置、或係在電腦網路上取得。當資料是在電腦網路上取得時,該資料可由電腦網路上的其他電腦(例如雲端計算資源)加以處理。
於此所述之一或更多實施方式亦可製作為非暫態電腦可讀媒體上之電腦可讀碼。非暫態電腦可讀媒體係能儲存以後可由電腦系統讀取之資料的任何資料儲存硬體單元,如記憶體裝置等等。非暫態電腦可讀媒體的例子包括:硬碟、網路附接儲存器(NAS,network attached storage)、ROM、RAM、光碟(CD-ROM,compact disc-ROM)、可記錄式光碟(CD-R,CD-recordable)、可複寫式光碟(CD-RW,CD-rewritable)、磁帶、以及其他光學式與非光學式資料儲存硬體單元。在一些實施方式中,非暫態電腦可讀媒體包括分散在網路耦合之電腦系統上的電腦可讀實體媒體,使得電腦可讀碼以分散的方式儲存及執行。
雖然以上所述之部份方法操作係以特定順序敘述,惟應瞭解到在不同實施方式中,這些方法操作之間可執行其他內務管理操作、或將這些方法操作調整成出現在稍微不同的時間、或將這些方法操作分散在允許其出現在各個期間的系統中、或以不同於上述之順序執行這些方法操作。
應更注意到,在不離開本揭露內容所述各種實施方式之範圍的情況下,在一實施方式中,上述任何實施方式的一或更多特徵可與任何其他實施方式的一或更多特徵組合。
雖然為清楚瞭解之目的已就一些細節敘述以上實施方式,但顯而易見的,在隨附的專利申請範圍內仍可實施一些變化及修改。因此,本文實施方式應視為示例性而非限制性,且這些實施方式並不限於本文所指定之細節,而在隨附的專利申請範圍內及其均等範圍內仍可進行修改。
100:基板堆疊 102:底部 104:特徵部 106:離子 108A:側壁 108B:側壁 110:垂直方向 200:系統 202:靜電夾具 204A:TCP RF線圈 204B:TCP RF線圈 206:電漿腔室 212:TCP RF電源供應器 214:偏壓RF電源供應器 215:匹配電路 216:匹配電路 219:介電窗 220:RF纜線 224:RF纜線 226A:RF纜線 226B:RF纜線 230:偏壓RF脈衝信號 232:來源RF脈衝信號 236:橫向方向 300:系統 302:來源RF產生器 304:偏壓RF產生器 306:主機系統 310:數位脈衝信號 312:纜線 314A:纜線 314B:纜線 402:TCP RF脈衝信號 404:偏壓RF脈衝信號 406:圖式 408:圖式 410:圖式 412:圖式 414:圖式 420:圖式 422:TCP RF脈衝信號 430:偏壓RF脈衝信號 432:圖式 500:系統 502:纜線 504A:纜線 504B:纜線 504C:纜線 504D:纜線 516:來源RF產生器 518:來源RF產生器 520:偏壓RF產生器 522:偏壓RF產生器 524:來源RF脈衝信號 526:來源RF脈衝信號 528:偏壓RF脈衝信號 530:偏壓RF脈衝信號 532A:RF纜線 532B:RF纜線 534A:RF纜線 534B:RF纜線 540:圖式 542:圖式 544:圖式 546:圖式 548:來源RF脈衝信號 550:來源RF脈衝信號 552:偏壓RF脈衝信號 554:偏壓RF脈衝信號 556:圖式 558:圖式 560:來源RF脈衝信號 562:偏壓RF脈衝信號
藉由參考以下敘述配合附圖而瞭解這些實施方式。
圖1係依據本揭露內容中所述之實施方式的示意圖,其繪示造成微負載效應之離子橫向方向行進。
圖2係一系統之實施方式的示意圖,其降低微負載效應的可能性。
圖3係一系統之實施方式的示意圖,其顯示來源射頻(RF)脈衝信號及偏壓RF脈衝信號中之反向脈衝的同步。
圖4A顯示變壓器耦合電漿(TCP)RF脈衝信號及偏壓RF脈衝信號之相反狀態的圖式。
圖4B顯示狀態S0期間的來源RF脈衝信號之電力大於零的圖式。
圖4C顯示狀態S0期間的偏壓RF脈衝信號之電力大於零的圖式。
圖4D顯示狀態S0期間的偏壓RF脈衝信號之電力與狀態S0期間的來源RF脈衝信號之電力皆大於零的圖式。
圖5A係一系統之實施方式的示意圖,其顯示使用多數來源RF產生器代替單一來源RF產生器與使用多數偏壓RF產生器代替單一偏壓RF產生器。
圖5B顯示將多數來源RF脈衝信號組合以產生一來源RF脈衝信號與將多數偏壓RF脈衝信號組合以產生一偏壓RF脈衝信號的圖式。
100:基板堆疊
106:離子
110:垂直方向
200:系統
202:靜電夾具
204A:TCP RF線圈
204B:TCP RF線圈
206:電漿腔室
212:TCP RF電源供應器
214:偏壓RF電源供應器
215:匹配電路
216:匹配電路
219:介電窗
220:RF纜線
224:RF纜線
226A:RF纜線
226B:RF纜線
230:偏壓RF脈衝信號
232:來源RF脈衝信號
236:橫向方向

Claims (20)

  1. 一種用於控制射頻(RF)產生器之控制器,包含: 處理器,用以: 控制第一RF產生器,以產生具有高狀態及低狀態之第一RF脈衝信號,其中該第一RF產生器係藉由提供數位脈衝信號至該第一RF產生器而加以控制,其中該第一RF脈衝信號之該高狀態具有比該第一RF脈衝信號之該低狀態更大的電力數量; 控制第二RF產生器,以產生相對於該第一RF脈衝信號而呈反向同步的第二RF脈衝信號,其中該第二RF產生器係藉由提供該數位脈衝信號至該第二RF產生器而加以控制,其中為了達到該反向同步,該第二RF脈衝信號係產生而具有與該數位脈衝信號同步之低狀態及高狀態、使該低狀態維持達第一時間週期以及使該高狀態維持達第二時間週期,該第一RF脈衝信號在該第一時間週期期間係維持該高狀態,該第一RF脈衝信號在該第二時間週期期間係維持該低狀態,其中該第二RF脈衝信號之該高狀態具有比該第二RF脈衝信號之該低狀態更大的電力數量,其中該第二RF脈衝信號係產生以: 於該第一RF脈衝信號從該高狀態轉變到該低狀態之時間,從該低狀態轉變到該高狀態;以及 於該第一RF脈衝信號從該低狀態轉變到該高狀態之時間,從該高狀態轉變到該低狀態;以及 記憶體裝置,耦接至該處理器。
  2. 如請求項1所述之用於控制RF產生器之控制器,其中該處理器係經由纜線而耦接至該第一RF產生器並且經由相同的該纜線而耦接至該第二RF產生器,其中該處理器係用以經由該纜線而提供該數位脈衝信號至該第一RF產生器及該第二RF產生器,以控制該第一RF產生器及該第二RF產生器。
  3. 如請求項1所述之用於控制RF產生器之控制器,其中該處理器係經由該第一RF產生器及第一匹配部而耦接至電漿腔室之線圈並且經由該第二RF產生器及第二匹配部而耦接至該電漿腔室之靜電夾具。
  4. 如請求項1所述之用於控制RF產生器之控制器,其中該處理器係用以控制該第一RF產生器以週期性地重複該第一RF脈衝信號之該高狀態及該低狀態,其中該第一RF脈衝信號之該高狀態及該低狀態每一者具有複數出現,其中該第一RF脈衝信號之該低狀態之該等出現之第一者係跟隨該第一RF脈衝信號之該高狀態之該等出現之第一者,該第一RF脈衝信號之該高狀態之該等出現之第二者係跟隨該第一RF脈衝信號之該低狀態之該等出現之該第一者,該第一RF脈衝信號之該低狀態之該等出現之第二者係跟隨該第一RF脈衝信號之該高狀態之該等出現之該第二者。
  5. 如請求項1所述之用於控制RF產生器之控制器,其中該處理器係用以控制該第二RF產生器以週期性地重複該第二RF脈衝信號之該低狀態及該高狀態,其中該第二RF脈衝信號之該低狀態及該高狀態每一者具有複數出現,其中該第二RF脈衝信號之該高狀態之該等出現之第一者係跟隨該第二RF脈衝信號之該低狀態之該等出現之第一者,該第二RF脈衝信號之該低狀態之該等出現之第二者係跟隨該第二RF脈衝信號之該高狀態之該等出現之該第一者,該第二RF脈衝信號之該高狀態之該等出現之第二者係跟隨該第二RF脈衝信號之該低狀態之該等出現之該第二者。
  6. 如請求項1所述之用於控制RF產生器之控制器,其中該數位脈衝信號係具有第一狀態及第二狀態之時脈信號,其中該第一RF脈衝信號在第一時間週期期間具有該高狀態並且在第二時間週期期間具有該低狀態,在該第一時間週期中該數位脈衝信號具有該第一狀態,在該第二時間週期中該數位脈衝信號具有該第二狀態,其中該第二RF脈衝信號在該第一時間週期期間具有該低狀態並且在該第二時間週期期間具有該高狀態,以達到與該第一RF脈衝信號之該反向同步。
  7. 如請求項1所述之用於控制RF產生器之控制器,其中該第一RF脈衝信號之該低狀態具有零電力,該第二RF脈衝信號之該低狀態具有零電力。
  8. 一種用於控制射頻(RF)產生器之方法,包含: 控制第一RF產生器,以產生具有高狀態及低狀態之第一RF脈衝信號,其中該控制該第一RF產生器係藉由提供數位脈衝信號至該第一RF產生器而發生,其中該第一RF脈衝信號之該高狀態具有比該第一RF脈衝信號之該低狀態更大的電力數量; 控制第二RF產生器,以產生相對於該第一RF脈衝信號而呈反向同步的第二RF脈衝信號,其中該控制該第二RF產生器係藉由提供該數位脈衝信號至該第二RF產生器而發生, 其中在該反向同步期間,該第二RF脈衝信號係:具有與該數位脈衝信號同步之低狀態及高狀態;使該低狀態維持達第一時間週期,該第一RF脈衝信號在該第一時間週期期間係維持該高狀態;使該高狀態維持達第二時間週期,該第一RF脈衝信號在該第二時間週期期間係維持該低狀態;於該第一RF脈衝信號從該高狀態轉變到該低狀態之時間,從該低狀態轉變到該高狀態;以及於該第一RF脈衝信號從該低狀態轉變到該高狀態之時間,從該高狀態轉變到該低狀態, 其中該第二RF脈衝信號之該高狀態具有比該第二RF脈衝信號之該低狀態更大的電力數量。
  9. 如請求項8所述之用於控制RF產生器之方法,其中該控制該第一RF產生器及該控制該第二RF產生器係藉由經由纜線而提供該數位脈衝信號至該第一RF產生器及該第二RF產生器而發生。
  10. 如請求項8所述之用於控制RF產生器之方法,其中該第一RF產生器係經由第一匹配部而耦接至電漿腔室之線圈,該第二RF產生器係經由第二匹配部而耦接至該電漿腔室之靜電夾具。
  11. 如請求項8所述之用於控制RF產生器之方法,其中該控制該第一RF產生器係發生以週期性地重複該第一RF脈衝信號之該高狀態及該低狀態,其中該第一RF脈衝信號之該高狀態及該低狀態每一者具有複數出現,其中該第一RF脈衝信號之該低狀態之該等出現之第一者係跟隨該第一RF脈衝信號之該高狀態之該等出現之第一者,該第一RF脈衝信號之該高狀態之該等出現之第二者係跟隨該第一RF脈衝信號之該低狀態之該等出現之該第一者,該第一RF脈衝信號之該低狀態之該等出現之第二者係跟隨該第一RF脈衝信號之該高狀態之該等出現之該第二者。
  12. 如請求項8所述之用於控制RF產生器之方法,其中該控制該第二RF產生器係發生以週期性地重複該第二RF脈衝信號之該低狀態及該高狀態,其中該第二RF脈衝信號之該低狀態及該高狀態每一者具有複數出現,其中該第二RF脈衝信號之該高狀態之該等出現之第一者係跟隨該第二RF脈衝信號之該低狀態之該等出現之第一者,該第二RF脈衝信號之該低狀態之該等出現之第二者係跟隨該第二RF脈衝信號之該高狀態之該等出現之該第一者,該第二RF脈衝信號之該高狀態之該等出現之第二者係跟隨該第二RF脈衝信號之該低狀態之該等出現之該第二者。
  13. 如請求項8所述之用於控制RF產生器之方法,其中該數位脈衝信號係具有第一狀態及第二狀態之時脈信號,其中該第一RF脈衝信號在第一時間週期期間具有該高狀態並且在第二時間週期期間具有該低狀態,在該第一時間週期中該數位脈衝信號具有該第一狀態,在該第二時間週期中該數位脈衝信號具有該第二狀態,其中該第二RF脈衝信號在該第一時間週期期間具有該低狀態並且在該第二時間週期期間具有該高狀態,以達到與該第一RF脈衝信號之該反向同步。
  14. 如請求項8所述之用於控制RF產生器之方法,其中該第一RF脈衝信號之該低狀態具有零電力,該第二RF脈衝信號之該低狀態具有零電力。
  15. 一種用於控制射頻(RF)產生器之系統,包含: 第一RF產生器; 第二RF產生器;以及 主機電腦系統,耦接至該第一RF產生器及該第二RF產生器, 其中該主機電腦系統用以: 控制該第一RF產生器,以產生具有高狀態及低狀態之第一RF脈衝信號,其中該第一RF產生器係藉由提供數位脈衝信號至該第一RF產生器而加以控制,其中該第一RF脈衝信號之該高狀態具有比該第一RF脈衝信號之該低狀態更大的電力數量; 控制該第二RF產生器,以產生相對於該第一RF脈衝信號而呈反向同步的第二RF脈衝信號,其中該第二RF產生器係藉由提供該數位脈衝信號至該第二RF產生器而加以控制,其中為了達到該反向同步,該第二RF脈衝信號係產生而具有與該數位脈衝信號同步之低狀態及高狀態、使該低狀態維持達第一時間週期以及使該高狀態維持達第二時間週期,該第一RF脈衝信號在該第一時間週期期間係維持該高狀態,該第一RF脈衝信號在該第二時間週期期間係維持該低狀態,其中該第二RF脈衝信號之該高狀態具有比該第二RF脈衝信號之該低狀態更大的電力數量, 其中該第二RF脈衝信號係產生以: 於該第一RF脈衝信號從該高狀態轉變到該低狀態之時間,從該低狀態轉變到該高狀態;以及 於該第一RF脈衝信號從該低狀態轉變到該高狀態之時間,從該高狀態轉變到該低狀態。
  16. 如請求項15所述之用於控制RF產生器之系統,其中該主機電腦系統係經由纜線而耦接至該第一RF產生器並且經由相同的該纜線而耦接至該第二RF產生器,其中該主機電腦系統係用以經由該纜線而提供該數位脈衝信號至該第一RF產生器及該第二RF產生器,以控制該第一RF產生器及該第二RF產生器。
  17. 如請求項15所述之用於控制RF產生器之系統,其中該主機電腦系統係經由該第一RF產生器及第一匹配部而耦接至電漿腔室之線圈並且經由該第二RF產生器及第二匹配部而耦接至該電漿腔室之靜電夾具。
  18. 如請求項15所述之用於控制RF產生器之系統,其中該主機電腦系統係用以控制該第一RF產生器以週期性地重複該第一RF脈衝信號之該高狀態及該低狀態,其中該第一RF脈衝信號之該高狀態及該低狀態每一者具有複數出現,其中該第一RF脈衝信號之該低狀態之該等出現之第一者係跟隨該第一RF脈衝信號之該高狀態之該等出現之第一者,該第一RF脈衝信號之該高狀態之該等出現之第二者係跟隨該第一RF脈衝信號之該低狀態之該等出現之該第一者,該第一RF脈衝信號之該低狀態之該等出現之第二者係跟隨該第一RF脈衝信號之該高狀態之該等出現之該第二者。
  19. 如請求項15所述之用於控制RF產生器之系統,其中該主機電腦系統係用以控制該第二RF產生器以週期性地重複該第二RF脈衝信號之該低狀態及該高狀態,其中該第二RF脈衝信號之該低狀態及該高狀態每一者具有複數出現,其中該第二RF脈衝信號之該高狀態之該等出現之第一者係跟隨該第二RF脈衝信號之該低狀態之該等出現之第一者,該第二RF脈衝信號之該低狀態之該等出現之第二者係跟隨該第二RF脈衝信號之該高狀態之該等出現之該第一者,該第二RF脈衝信號之該高狀態之該等出現之第二者係跟隨該第二RF脈衝信號之該低狀態之該等出現之該第二者。
  20. 如請求項15所述之用於控制RF產生器之系統,其中該數位脈衝信號係具有第一狀態及第二狀態之時脈信號,其中該第一RF脈衝信號在第一時間週期期間具有該高狀態並且在第二時間週期期間具有該低狀態,在該第一時間週期中該數位脈衝信號具有該第一狀態,在該第二時間週期中該數位脈衝信號具有該第二狀態,其中該第二RF脈衝信號在該第一時間週期期間具有該低狀態並且在該第二時間週期期間具有該高狀態,以達到與該第一RF脈衝信號之該反向同步。
TW112110574A 2015-08-05 2016-08-01 用於反向脈衝的系統及方法 TW202331902A (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201562201541P 2015-08-05 2015-08-05
US62/201,541 2015-08-05
US14/863,331 US9761459B2 (en) 2015-08-05 2015-09-23 Systems and methods for reverse pulsing
US14/863,331 2015-09-23
US15/139,045 US9583357B1 (en) 2015-08-05 2016-04-26 Systems and methods for reverse pulsing
US15/139,045 2016-04-26

Publications (1)

Publication Number Publication Date
TW202331902A true TW202331902A (zh) 2023-08-01

Family

ID=58052639

Family Applications (4)

Application Number Title Priority Date Filing Date
TW112110574A TW202331902A (zh) 2015-08-05 2016-08-01 用於反向脈衝的系統及方法
TW105124272A TWI716428B (zh) 2015-08-05 2016-08-01 用於反向脈衝的系統及方法
TW109144370A TWI819259B (zh) 2015-08-05 2016-08-01 用於反向脈衝的系統及方法
TW112134769A TW202401565A (zh) 2015-08-05 2016-08-01 用於反向脈衝的系統及方法

Family Applications After (3)

Application Number Title Priority Date Filing Date
TW105124272A TWI716428B (zh) 2015-08-05 2016-08-01 用於反向脈衝的系統及方法
TW109144370A TWI819259B (zh) 2015-08-05 2016-08-01 用於反向脈衝的系統及方法
TW112134769A TW202401565A (zh) 2015-08-05 2016-08-01 用於反向脈衝的系統及方法

Country Status (4)

Country Link
US (4) US9761459B2 (zh)
KR (1) KR20170017749A (zh)
CN (1) CN106449396B (zh)
TW (4) TW202331902A (zh)

Families Citing this family (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US9776361B2 (en) 2014-10-17 2017-10-03 Applied Materials, Inc. Polishing articles and integrated system and methods for manufacturing chemical mechanical polishing articles
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
SG10202002601QA (en) 2014-10-17 2020-05-28 Applied Materials Inc Cmp pad construction with composite material properties using additive manufacturing processes
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US10685862B2 (en) 2016-01-22 2020-06-16 Applied Materials, Inc. Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device
WO2017131927A1 (en) 2016-01-26 2017-08-03 Applied Materials, Inc. Wafer edge ring lifting solution
US10204795B2 (en) 2016-02-04 2019-02-12 Applied Materials, Inc. Flow distribution plate for surface fluorine reduction
US10009028B2 (en) * 2016-09-30 2018-06-26 Lam Research Corporation Frequency and match tuning in one state and frequency tuning in the other state
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10734195B2 (en) 2017-06-08 2020-08-04 Lam Research Corporation Systems and methods for transformer coupled plasma pulsing with transformer coupled capacitive tuning switching
US10763081B2 (en) 2017-07-10 2020-09-01 Applied Materials, Inc. Apparatus and methods for manipulating radio frequency power at an edge ring in plasma process device
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
US10395894B2 (en) * 2017-08-31 2019-08-27 Lam Research Corporation Systems and methods for achieving peak ion energy enhancement with a low angular spread
US10002746B1 (en) * 2017-09-13 2018-06-19 Lam Research Corporation Multi regime plasma wafer processing to increase directionality of ions
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US10784091B2 (en) 2017-09-29 2020-09-22 Taiwan Semiconductor Manufacturing Co., Ltd. Process and related device for removing by-product on semiconductor processing chamber sidewalls
KR102550393B1 (ko) 2017-10-25 2023-06-30 삼성전자주식회사 플라즈마 처리 장치 및 이를 이용한 반도체 장치의 제조 방법
US11664206B2 (en) * 2017-11-08 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Arcing protection method and processing tool
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US10811267B2 (en) * 2017-12-21 2020-10-20 Micron Technology, Inc. Methods of processing semiconductor device structures and related systems
US10727075B2 (en) 2017-12-22 2020-07-28 Applied Materials, Inc. Uniform EUV photoresist patterning utilizing pulsed plasma process
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US10790123B2 (en) 2018-05-28 2020-09-29 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US10347500B1 (en) 2018-06-04 2019-07-09 Applied Materials, Inc. Device fabrication via pulsed plasma
US10515781B1 (en) 2018-06-13 2019-12-24 Lam Research Corporation Direct drive RF circuit for substrate processing systems
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
CN110648888B (zh) * 2018-06-27 2020-10-13 北京北方华创微电子装备有限公司 射频脉冲匹配方法及其装置、脉冲等离子体产生系统
US11011351B2 (en) * 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
CN110739197B (zh) * 2018-07-18 2022-05-27 北京北方华创微电子装备有限公司 脉冲信号控制方法和装置、等离子体刻蚀方法和设备
US10504744B1 (en) * 2018-07-19 2019-12-10 Lam Research Corporation Three or more states for achieving high aspect ratio dielectric etch
US20200058469A1 (en) * 2018-08-14 2020-02-20 Tokyo Electron Limited Systems and methods of control for plasma processing
KR20210042171A (ko) 2018-09-04 2021-04-16 어플라이드 머티어리얼스, 인코포레이티드 진보한 폴리싱 패드들을 위한 제형들
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
WO2020117503A1 (en) * 2018-12-05 2020-06-11 Lam Research Corporation Etching isolation features and dense features within a substrate
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US10784089B2 (en) 2019-02-01 2020-09-22 Applied Materials, Inc. Temperature and bias control of edge ring
JP7061981B2 (ja) 2019-03-28 2022-05-02 東京エレクトロン株式会社 プラズマエッチング装置およびプラズマエッチング方法
US11101115B2 (en) 2019-04-19 2021-08-24 Applied Materials, Inc. Ring removal from processing chamber
US12009236B2 (en) 2019-04-22 2024-06-11 Applied Materials, Inc. Sensors and system for in-situ edge ring erosion monitor
KR102080442B1 (ko) 2019-06-28 2020-02-21 한국타이어앤테크놀로지 주식회사 전자장치가 일체화된 타이어 및 이의 제조방법
US11817295B2 (en) * 2019-08-14 2023-11-14 Tokyo Electron Limited Three-phase pulsing systems and methods for plasma processing
US20210210355A1 (en) * 2020-01-08 2021-07-08 Tokyo Electron Limited Methods of Plasma Processing Using a Pulsed Electron Beam
US20230154728A1 (en) * 2020-04-06 2023-05-18 Lam Research Corporation Methods and Systems for Controlling Radiofrequency Pulse-Initiation Power Spike for Plasma Sheath Stabilization
JP7450455B2 (ja) 2020-05-13 2024-03-15 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP2021182620A (ja) 2020-05-14 2021-11-25 東京エレクトロン株式会社 プラズマ処理装置
KR20210141360A (ko) 2020-05-14 2021-11-23 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법 및 플라즈마 처리 장치
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11328902B1 (en) 2021-06-09 2022-05-10 XP Power Limited Radio frequency generator providing complex RF pulse pattern
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11942307B2 (en) * 2021-10-15 2024-03-26 Tokyo Electron Limited Plasma processing with radio frequency (RF) source and bias signal waveforms
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4314879A (en) 1979-03-22 1982-02-09 The United States Of America As Represented By The United States Department Of Energy Production of field-reversed mirror plasma with a coaxial plasma gun
US4377961A (en) 1979-09-10 1983-03-29 Bode Harald E W Fundamental frequency extracting system
US4420790A (en) 1982-04-02 1983-12-13 Honeywell Inc. High sensitivity variable capacitance transducer
US5645796A (en) 1990-08-31 1997-07-08 Abtox, Inc. Process for plasma sterilizing with pulsed antimicrobial agent treatment
US5244629A (en) 1990-08-31 1993-09-14 Caputo Ross A Plasma sterilizing process with pulsed antimicrobial agent pretreatment
US5084239A (en) 1990-08-31 1992-01-28 Abtox, Inc. Plasma sterilizing process with pulsed antimicrobial agent treatment
DE9109503U1 (de) 1991-07-31 1991-10-17 Magtron Magneto Elektronische Geraete Gmbh, 7583 Ottersweier Schaltungsanordnung für ein Stromversorgungsgerät für Geräte und Anlagen der Plasma- und Oberflächentechnik
US5202623A (en) 1992-02-26 1993-04-13 Digital Equipment Corporation Laser-activated plasma chamber for non-contact testing
US5779925A (en) * 1994-10-14 1998-07-14 Fujitsu Limited Plasma processing with less damage
US5783102A (en) * 1996-02-05 1998-07-21 International Business Machines Corporation Negative ion deductive source for etching high aspect ratio structures
US5917286A (en) 1996-05-08 1999-06-29 Advanced Energy Industries, Inc. Pulsed direct current power supply configurations for generating plasmas
JPH1079372A (ja) * 1996-09-03 1998-03-24 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
US5654043A (en) 1996-10-10 1997-08-05 Eaton Corporation Pulsed plate plasma implantation system and method
JP3533105B2 (ja) 1999-04-07 2004-05-31 Necエレクトロニクス株式会社 半導体装置の製造方法と製造装置
JP2000306884A (ja) 1999-04-22 2000-11-02 Mitsubishi Electric Corp プラズマ処理装置およびプラズマ処理方法
US6472822B1 (en) 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
US6441620B1 (en) 2000-06-20 2002-08-27 John Scanlan Method for fault identification in a plasma process
US7465478B2 (en) 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US6875700B2 (en) * 2000-08-29 2005-04-05 Board Of Regents, The University Of Texas System Ion-Ion plasma processing with bias modulation synchronized to time-modulated discharges
US6808607B2 (en) 2002-09-25 2004-10-26 Advanced Energy Industries, Inc. High peak power plasma pulsed supply with arc handling
US7867457B2 (en) 2003-06-20 2011-01-11 Drexel University Plasma reactor for the production of hydrogen-rich gas
US6902646B2 (en) 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
US9607719B2 (en) 2005-03-07 2017-03-28 The Regents Of The University Of California Vacuum chamber for plasma electric generation system
US8192576B2 (en) * 2006-09-20 2012-06-05 Lam Research Corporation Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing
US7771606B2 (en) 2007-02-22 2010-08-10 Applied Materials, Inc. Pulsed-plasma system with pulsed reaction gas replenish for etching semiconductors structures
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
US7737042B2 (en) 2007-02-22 2010-06-15 Applied Materials, Inc. Pulsed-plasma system for etching semiconductor structures
US9123509B2 (en) * 2007-06-29 2015-09-01 Varian Semiconductor Equipment Associates, Inc. Techniques for plasma processing a substrate
CN101828432B (zh) 2007-08-06 2013-11-06 普拉斯马外科投资有限公司 用于生成脉冲等离子体的脉冲等离子体装置和方法
US7589473B2 (en) 2007-08-06 2009-09-15 Plasma Surgical Investments, Ltd. Pulsed plasma device and method for generating pulsed plasma
KR101555725B1 (ko) * 2007-11-29 2015-09-25 램 리써치 코포레이션 마이크로로딩을 제어하기 위한 펄스화된 바이어스 플라즈마 프로세스
US9018098B2 (en) * 2008-10-23 2015-04-28 Lam Research Corporation Silicon etch with passivation using chemical vapor deposition
US8901004B2 (en) 2009-07-27 2014-12-02 Lam Research Corporation Plasma etch method to reduce micro-loading
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
WO2011088156A2 (en) * 2010-01-12 2011-07-21 Applied Materials, Inc. Phase-modulated rf power for plasma chamber electrode
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
JP2012033409A (ja) 2010-07-30 2012-02-16 Origin Electric Co Ltd 直流プラズマ用逆極性パルス発生回路及び直流プラズマ電源装置
US8809199B2 (en) * 2011-02-12 2014-08-19 Tokyo Electron Limited Method of etching features in silicon nitride films
CA2742060C (en) 2011-05-31 2013-09-10 Vln Advanced Technologies Inc. Reverse-flow nozzle for generating cavitating or pulsed jets
US8692467B2 (en) 2011-07-06 2014-04-08 Lam Research Corporation Synchronized and shortened master-slave RF pulsing in a plasma processing chamber
US8872429B2 (en) 2011-07-28 2014-10-28 Kirk Rosener Pulsed plasma generator
US8974684B2 (en) 2011-10-28 2015-03-10 Applied Materials, Inc. Synchronous embedded radio frequency pulsing for plasma etching
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US20130119018A1 (en) 2011-11-15 2013-05-16 Keren Jacobs Kanarik Hybrid pulsing plasma processing systems
JP2013131587A (ja) * 2011-12-21 2013-07-04 Hitachi High-Technologies Corp プラズマ処理方法
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
US9462672B2 (en) * 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9297063B2 (en) * 2012-04-26 2016-03-29 Varian Semiconductor Equipment Associates, Inc. Plasma potential modulated ion implantation system
US9960776B2 (en) * 2013-03-14 2018-05-01 Applied Materials, Inc. Method and apparatus for generating a variable clock used to control a component of a substrate processing system

Also Published As

Publication number Publication date
US20240030000A1 (en) 2024-01-25
US20170040176A1 (en) 2017-02-09
TW202401565A (zh) 2024-01-01
CN106449396A (zh) 2017-02-22
TWI819259B (zh) 2023-10-21
US9583357B1 (en) 2017-02-28
US11798785B2 (en) 2023-10-24
US20170040174A1 (en) 2017-02-09
KR20170017749A (ko) 2017-02-15
TWI716428B (zh) 2021-01-21
US20170372912A1 (en) 2017-12-28
TW201715607A (zh) 2017-05-01
CN106449396B (zh) 2019-06-25
US9761459B2 (en) 2017-09-12
TW202113971A (zh) 2021-04-01

Similar Documents

Publication Publication Date Title
TWI819259B (zh) 用於反向脈衝的系統及方法
US10256077B2 (en) Sub-pulsing during a state
US10755895B2 (en) Ion energy control by RF pulse shape
KR20210021400A (ko) 제어된 에칭을 위한 단일 에너지 이온 생성
JP2024069652A (ja) 高アスペクト比の誘電体エッチングを達成するための3つ以上の状態
US11398387B2 (en) Etching isolation features and dense features within a substrate
CN109599318B (zh) 多态等离子体晶片处理以增强离子的方向性
JP2023505782A (ja) 反り制御とマスク選択比とのバランスを達成するための多状態パルス化
KR20240090369A (ko) 전극들에 제공된 rf 신호들 사이의 위상차를 결정하기 위한 시스템들 및 방법들
TW202401490A (zh) 減少狀態變遷後之反射功率的系統及方法
JP2023553066A (ja) 低周波rf発生器および関連する静電チャック