CN110337709A - 原子层蚀刻中方向性的控制 - Google Patents

原子层蚀刻中方向性的控制 Download PDF

Info

Publication number
CN110337709A
CN110337709A CN201880013855.4A CN201880013855A CN110337709A CN 110337709 A CN110337709 A CN 110337709A CN 201880013855 A CN201880013855 A CN 201880013855A CN 110337709 A CN110337709 A CN 110337709A
Authority
CN
China
Prior art keywords
substrate
plasma
modified
substrate surface
exposed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201880013855.4A
Other languages
English (en)
Other versions
CN110337709B (zh
Inventor
安德烈亚斯·费希尔
索斯藤·利尔
理查德·雅内克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Rum Research Corp
Original Assignee
Rum Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rum Research Corp filed Critical Rum Research Corp
Priority to CN202410551051.7A priority Critical patent/CN118571754A/zh
Publication of CN110337709A publication Critical patent/CN110337709A/zh
Application granted granted Critical
Publication of CN110337709B publication Critical patent/CN110337709B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32138Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only pre- or post-treatments, e.g. anti-corrosion processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/326Application of electric currents or fields, e.g. for electroforming
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

提供了一种在衬底上执行原子层蚀刻(ALE)的方法,其包括以下操作:在衬底表面上执行表面改性操作,所述表面改性操作被配置为将所述衬底表面的至少一个单层转化为改性层,其中在所述表面改性操作期间施加偏置电压,所述偏置电压被配置为控制通过所述表面改性操作转化的所述衬底表面的深度;在所述衬底表面上执行去除操作,所述去除操作被配置为从所述衬底表面至少去除所述改性层的部分,其中经由被配置为使所述改性层的所述部分挥发的配体交换反应来实现去除所述改性层的所述部分。在去除操作之后,可以执行等离子体处理以从衬底表面去除残留物。

Description

原子层蚀刻中方向性的控制
技术领域
本公开的实现涉及原子层蚀刻(ALE),并且更具体地涉及控制原子层蚀刻中的方向性。
背景技术
在对均匀性和蚀刻速率进行精密调节控制的情况下蚀刻在半导体衬底上的材料的传统技术是有限的。例如,反应性离子蚀刻通常用于在半导体处理期间蚀刻半导体衬底上的材料,并且通过调制射频等离子体功率和化学选择来控制使用反应离子蚀刻蚀刻的材料的蚀刻速率。通常,晶片等离子体鞘形成在衬底的顶部,因此来自等离子体的离子通常被加速到晶片表面上以蚀刻衬底。然而,随着技术节点发展到原子级器件,将需要以原子级保真度控制蚀刻工艺。
发明内容
根据一些实施方案,提供了一种在衬底上执行原子层蚀刻(ALE)的方法,其包括以下操作:在衬底表面上执行表面改性操作,所述表面改性操作被配置为将所述衬底表面的至少一个单层转化为改性层,其中在所述表面改性操作期间施加偏置电压,所述偏置电压被配置为控制通过所述表面改性操作转化的所述衬底表面的深度;在所述衬底表面上执行去除操作,所述去除操作被配置为从所述衬底表面去除所述改性层的至少一部分,其中经由被配置为使所述改性层的所述一部分挥发的配体交换反应来实现去除所述改性层的所述一部分。
在一些实施方案中,所述表面改性操作被配置为使离子扩散到所述衬底表面中到达由所述偏置电压控制的所述深度。
在一些实施方案中,所述偏置电压被配置为在所述表面改性操作期间具有用以实现通过所述表面改性操作转化的所述衬底表面的所述深度的幅值和持续时间。
在一些实施方案中,所述深度由所述衬底的一个或多个单层限定。
在一些实施方案中,所述偏置电压被配置为根据所述偏置电压的幅值将所述表面改性操作从主要各向同性转变为主要各向异性。
在一些实施方案中,在所述表面改性操作的部分期间施加所述偏置电压,施加所述偏置电压期间的该部分增加竖直方向上的所述深度的量,从而增加所述ALE的各向异性,而未施加所述偏置电压期间的部分增加非竖直方向的所述深度,从而增加所述ALE的各向同性。
在一些实施方案中,所述方法还包括:在所述去除操作之后,在所述衬底表面上执行等离子体处理,所述等离子体处理被配置为从所述衬底表面去除由所述去除操作和/或所述表面改性操作产生的残留物,其中所述残留物通过所述等离子体处理而挥发。
在一些实施方案中,所述去除操作被配置为从所述衬底表面去除不到所述改性层的整个部分;并且该方法还包括:重复所述去除操作和所述等离子体处理,直到从所述衬底表面去除所述改性层的所述整个部分。
在一些实施方案中,所述方法还包括:重复所述表面改性操作、所述去除操作和所述等离子体处理,直到已经从所述衬底表面蚀刻预定厚度。
在一些实施方案中,所述偏置电压在约20V到100V的范围内。
在一些实施方案中,执行所述表面改性操作包括将所述衬底表面暴露于含氟等离子体,其中所述暴露于所述含氟等离子体被配置为将所述衬底表面的所述至少一个单层转化为氟化物物质。
在一些实施方案中,所述衬底表面包括金属、金属氧化物、金属氮化物、金属磷化物、金属硫化物、金属砷化物或金属化合物;其中所述暴露于所述含氟等离子体形成金属氟化物。
在一些实施方案中,将所述衬底表面暴露于所述含氟等离子体包括将含氟气体引入内部设置有所述衬底的室中,并点燃等离子体。
在一些实施方案中,所述暴露于所述含氟等离子体是在约10毫托至500毫托的室压强下进行,持续时间小于约15秒。
在一些实施方案中,执行所述去除操作包括将所述衬底表面暴露于乙酰丙酮锡(II)(Sn(acac)2)蒸气,所述暴露于所述Sn(acac)2蒸气被配置为用乙酰丙酮酸盐(acac)配体交换所述改性层中的氟原子。
在一些实施方案中,将所述衬底表面暴露于Sn(acac)2包括将作为蒸气的所述Sn(acac)2引入到内部设置有所述衬底的室中。
在一些实施方案中,所述暴露于所述Sn(acac)2执行约1秒至30秒的持续时间。
在一些实施方案中,执行所述等离子体处理包括将所述衬底表面暴露于氢等离子体,所述暴露于所述氢等离子体被配置为使所述衬底表面上的锡、氟化锡或氧化锡残留物挥发。
在一些实施方案中,将所述衬底表面暴露于所述氢等离子体包括将氢气引入内部设置有所述衬底的室中,并点燃等离子体。
在一些实施方案中,所述暴露于所述氢等离子体执行约1秒至30秒的持续时间。
在一些实施方案中,所述表面改性操作在第一室中进行;其中,所述去除操作在第二室中进行。
在一些实施方案中,提供了一种在衬底上执行原子层蚀刻(ALE)的方法,其包括以下操作:在衬底表面上执行表面改性操作,所述表面改性操作包括将所述衬底表面暴露于第一等离子体,所述第一等离子体将所述衬底表面的至少一个单层转化为改性层,其中在所述表面改性操作期间施加偏置电压,所述偏置电压被配置为控制通过所述表面改性操作转化的所述衬底表面的深度,其中所述偏置电压被配置为将离子从所述第一等离子体朝向所述衬底表面加速而基本上不蚀刻所述衬底表面;在所述衬底表面上执行去除操作,所述去除操作包括从所述衬底表面去除所述改性层的至少一部分,其中经由被配置为使所述改性层的所述一部分挥发的配体交换反应来实现去除所述改性层的所述一部分;在所述衬底表面上执行清洁操作,所述清洁操作包括从所述衬底表面去除由所述去除操作产生的残留物,所述清洁操作还包括将所述衬底表面暴露于第二等离子体,其中所述残留物通过所述暴露于所述第二等离子体而挥发。
附图说明
图1根据本公开的实施方案示出了ALE的多种分类。
图2根据本公开的实施方案示出了用于蚀刻Al2O3的ALE工艺的化学反应。
图3根据本公开的实施方案示出了用于蚀刻Al2O3的ALE工艺。
图4A-4C根据本公开的实施方案示出了等离子体处理室和蒸气处理室中的ALE工艺操作的性能。
图5根据所公开的实施方案示出了执行的方法的工艺流程图。
图6根据本公开的实施方案示出了使用单氟化后的表面的ARXPS表征显示在各种条件下的Al氧化物厚度和Al氟氧化物厚度的图。
图7根据本公开的实施方案示出了使用零偏压等离子体的表面氟化深度。
图8A-E是根据本公开的实施方案的氟化膜的横截面的STEM图像。
图9A和9B根据本公开的实施方案分别示出了在30秒氟等离子体暴露之后的膜损失与300秒氟等离子体暴露之后的膜损失。
图10是根据本公开的实施方案的显示膜损失的SE表征的图,其证明了氟化和Sn(acac)2暴露的自限性质。
图11是根据本公开的实施方案示出计算的氟化深度与氟离子能量的函数关系的图。
图12根据本公开的实施方案示出了用于每单个表面修饰操作使用多个配体交换和等离子体清洁操作来执行ALE的方法。
图13A根据本公开的实施方案概念性地示出了衬底表面特征的横截面,以及在其上执行的各向异性ALE工艺的执行。
图13B根据本公开的实施方案概念性地示出了衬底表面特征的横截面,以及在其上执行的各向同性ALE工艺的执行。
图14A-D根据本公开的实施方案示出了用于通过沉积钝化层来提供增加的各向异性的工艺。
图15根据本公开的实施方案示出了群集工具1500。
图16根据本公开的实施方案示出了示例性蚀刻室或装置。
图17根据本公开的实施方案示出了用于控制上述系统的控制模块。
具体实施方式
在以下描述中,阐述了许多具体细节以提供对所呈现的实施方案的透彻理解。可以在没有这些具体细节中的一些或全部的情况下实践所公开的实施方案。在其他情况下,没有详细描述众所周知的工艺操作,以免不必要地模糊所公开的实施方案。虽然将结合具体实施方案描述所公开的实施方案,但应理解,其并不旨在限制所公开的实施方案。
本文提供了通过涉及含氟等离子体和含锡蚀刻剂的配体交换机制控制金属氧化物(例如氧化铝(Al2O3))的原子层蚀刻(ALE)的方向性的方法。本文所述的方法包括使用含氟等离子体使待蚀刻材料的表面改性,并将改性表面暴露于乙酰丙酮锡(II)(Sn(acac)2)蒸气中,以便以自限制方式去除材料。在没有等离子体的情况下,利用Sn(acac)2蒸气在气相沉积室中维持配体交换反应。
原子层蚀刻(ALE)是用于蚀刻行为的原子尺度控制的一种方法。ALE是一种循环过程。ALE是一种使用顺序自限制反应去除薄层材料的技术。通常,可以使用任何合适的技术来执行ALE。在2014年11月11日授权的美国专利No.8,883,028;和2014年8月19日授权的美国专利No.8,808,561中描述了原子层蚀刻技术的示例,这些专利为了描述示例性原子层蚀刻和蚀刻技术的目的通过引用并入本文。在多种实施方案中,ALE可以用等离子体执行,或者可以通过热方式执行。
根据本公开的实施方案,可以如下描述ALE工艺序列。最初,衬底表面的一部分处于未改性状态。衬底表面的最外层(或表面层)分子/原子被暴露以用于ALE工艺。执行表面转化/改性操作以将衬底的表面层转化为功能化状态。例如,表面层通过暴露于表面转化反应物来改性,所述表面转化反应物可以吸附或化学吸附在表面上。在多种实施方案中,表面转化反应物可以包括分子或低能自由基,其与表面层原子反应以实现表面转化操作。得到的表面层包括官能化的最外层分子,以实现随后的ALE步骤。在一些实施方案中,操作是自限制的,并且仅(或基本上仅)衬底表面的最外层将经历转化。在一些实施方案中,至少部分地通过施加也影响转化的方向性的偏置电压来控制转化的特定深度,如下面进一步详细描述的。在一些实施方案中,该表面转化需要将表面物质转化为卤化物。在一些实施方案中,在(自限制)表面转化之后,吹扫室以去除任何反应副产物或过量表面转化反应物。
在表面转化操作之后,然后进行配体交换反应/操作。将衬底的改性表面层暴露于含有配体的反应物,从而实现配体交换反应,其中含有配体的反应物吸附在衬底表面上并将其配体转移至在早期表面转化/改性操作过程中形成的转化表面物质上。配体与改性的表面分子/原子层结合,形成包括经配体取代的表面物质的反应产物,其可以被释放。
解吸附驱动从衬底表面去除最外层的表面物质(配体交换操作后的反应产物)。在一些实施方案中,可通过施加热能来实现释放,所述热能可与暴露于含有配体的反应物同时施加或在单独的步骤中施加(例如通过加热卡盘/室、灯加热等)。
“ALE循环”的概念与本文的多种实施方案的讨论相关。通常,ALE循环是用于执行一次蚀刻工艺的最小操作集合,例如蚀刻衬底的单层或预定厚度的外层。一个循环的结果是蚀刻掉衬底表面上的至少一些膜层。通常,ALE循环包括形成反应性层的改性操作,随后是去除操作以全部或部分地仅去除或蚀刻该反应性层。可以通过使用化学吸附机制、沉积机制、顶层转化机制或提取机制来进行改性。该循环可包括某些辅助操作,例如扫除反应物或副产物中的一种。通常,循环包含唯一操作序列的一个实例。
作为示例,用于ALE循环的方法可以包括以下操作:(i)输送反应气体,(ii)从室中任选地清除反应物气体,(iii)输送去除气体和任选的等离子体,和(iv)任选吹扫室。ALE的进一步描述和示例描述于2015年4月24日提交的题为“INTEGRATING ATOMIC SCALEPROCESSES:ALD(ATOMIC LAYER DEPOSITION)AND ALE(ATOMIC LAYER ETCH),”的美国专利申请No.14/696,254中,其通过引用并入本文以用于描述原子层蚀刻工艺。
所公开的实施方案导致高度受控的具有高度均匀性的蚀刻方法。所公开的实施方案可以用于执行各种材料的各向同性蚀刻,并且还可以通过施加介于约20Vb和约80Vb之间(例如约50Vb)的偏置电压的偏置来修改以执行各向异性蚀刻。
ALE可以通过表面改性操作(例如,通过衬底表面上的反应性化学物质的化学吸附)然后进行去除操作来完成。这样的操作可以重复一定数量的循环。在ALE期间,反应性化学物质和去除化学物质分别输送到衬底。
已经通过配体交换方法证明了Al2O3的各向同性原子层蚀刻(ALE),所述配体交换方法利用氟等离子体进行表面改性步骤,并且使用乙酰丙酮锡(II)(Sn(acac)2)蒸气进行非等离子体去除步骤。在其中步骤在没有任何例如通过离子偏压提供的定向能量到达晶片的情况下执行的实施方案中,整个蚀刻工艺是各向同性的。然而,根据本公开的实施方案,可以通过受控地施加偏置电压以受控方式将各向异性引入各向同性基线工艺。
在多种实施方案中,工艺在合适的工艺设备/室(例如用于氟化的Kiyo和用于蒸气处理的ICS,两者均由Lam Research Corporation制造)中进行。
已经证明了对Al2O3的使用顺序等离子体氟化和与乙酰丙酮锡(II)(Sn(acac)2)的自限性热反应的原子层蚀刻。对Al2O3进行ALE的一种方法是进行空间ALE工艺,其中晶片(具有Al2O3顶层)是在不破坏真空的情况下在等离子体处理室(用于进行氟化)和蒸气处理室(用于去除AlF3与Sn(acac)2蒸气)之间循环。执行ALE的另一种方法是在单个室中执行等离子体处理和蒸气处理,使得晶片不需要在不同的室之间移动。
图1根据本公开的实施方案示出了ALE的各种分类。一般而言,在通用ALE工艺中,执行改性操作,然后执行去除操作。改性操作的目的是削弱表面层而不实际蚀刻它。一种使表面改性的技术是通过化学吸附进行的,该化学吸附是通过Langmuir动力学而成为自限性的。使得用于ALE的表面改性的另一种方法是通过沉积进行。在这种情况下,除非是ALD,否则沉积不一定是自限性的。即便如此,去除步骤也会受到反应物可用性的限制。进行表面改性的第三种方法是通过转化反应进行。转化的一个示例是顶层的卤化。这是扩散受限的工艺,并且可以通过等离子体、浴或其他方法进行。
根据去除步骤中的具体情况,例如,离子辅助或通过配体交换,可以获得定向或各向同性ALE。
用于ALE的一个用途案例是解决被称为“四色挑战”的问题。从广义上讲,四色挑战带来的问题是从四种颜色中删除一种特定颜色而没有角圆化(corner rounding),其中每种颜色代表不同的材料。
举例来说,各向同性ALE可以通过涉及金属转移的配体交换来蚀刻单一“颜色”。
不稳定的反应副产物或不存在的配体交换机制将防止蚀刻其他三种“颜色”,从而对被蚀刻的颜色提供选择性。
下面的表I提供了配体交换前体的示例,其包括Sn(acac)2、Al(CH3)3、AlCl(CH3)2、SiCl4以及每个循环去除的材料量,如参考Y.Lee,C.Huffman,S.M.George,“Selectivityin Thermal Atomic Layer Etching Using Sequential,Self-Limiting Fluorinationand Ligand-Exchange Reactions”,Chem.Mater.,2016,28(21),pp 7657–7665所证实的。如图所示,根据所使用的特定前体,也可实现蚀刻选择性。
表I
图2根据本公开的实施方案示出了用于蚀刻Al2O3的ALE工艺的去除步骤的化学反应。如已经指出的,通过执行表面改性/转化步骤,首先将Al2O3的表面部分转化为AlF3。然后,如图所示,提供乙酰丙酮锡(II)(蒸气)以与氟化铝(III)(固体)反应,得到乙酰丙酮氟锡(II)和乙酰丙酮铝(III),两者都是在选定的工艺温度下易挥发。由于反应产物是挥发性的,因此它们可以从表面去除并从室中排出。
图3示出了根据本公开的实施方案的用于蚀刻Al2O3的ALE工艺。最初,具有Al2O3表面的衬底位于等离子体处理室中。然后,例如,利用0偏置ICP等离子体(即没有RF功率施加到晶片基座)对Al2O3表面进行氟化。完成后,在不破坏真空的情况下,将衬底移动到蒸气处理室中,在该蒸气处理室中发生与氟化表面的Sn(acac)2基配体交换反应。最后的室泵出步骤完成第一个ALE循环,之后晶片可以穿梭回到等离子体处理室以进行下一个ALE循环。替代地,所有循环都可以在单个室中进行。
图4A-4C根据本公开的实施方案示出了等离子体处理室400和蒸气处理室410中的ALE工艺操作的执行。参考图4A,衬底402显示在等离子体处理室400中的衬底保持器404的顶上。在工艺气体开始流过馈送气体喷头408并预热之后,通过向ICP线圈406提供功率来产生电感耦合等离子体(ICP)。在多种实施方案中,1类或2类或其他类型的氟等离子体可用于氟化。应当理解,氟等离子体可以由各种含氟树脂的前体产生,例如由CF4、NF3、SF6、CHF3、C2H2F4、F2、SiF4等产生。在一些实施方案中,衬底保持器404被加热到温度为约100℃。在一些实施方案中,氟化操作在约20毫托的压强下进行。在等离子体暴露之后,执行泵出以从室去除工艺气体。
参考图4B,在等离子体处理室400中完成氟化操作之后,衬底402在不破坏真空的情况下移动到蒸气处理室410以执行配体交换操作。在预热之后,当衬底布置在衬底保持器412的顶上时,通过使蒸气在衬底上流动来进行蒸气处理。例如,可以通过蒸发器414产生Sn(acac)2蒸气,其流过加热的蒸气管线416并且通过蒸气喷嘴板418分布在衬底上。蒸气处理不需要产生等离子体。在一些实施方案中,施加用于配体交换的Sn(acac)2蒸气。在一些实施方案中,将衬底保持器412加热至约200℃的温度。在一些实施方案中,室压强维持在约20mTorr至120mTorr。在一些实施方案中,蒸气处理进行约1秒至约15秒。在进行蒸气处理之后,执行泵出以从蒸气处理室410去除工艺气体。
参考图4C,在配体交换操作完成之后,衬底402在不破坏真空的情况下移动到等离子体处理室,该等离子体处理室可以是与用于氟化操作的等离子体处理室400相同的等离子体处理室400,或不同的等离子体处理室。进行氢等离子体处理以从衬底表面除去残留的锡。H2气体流过馈送气体喷头408,并且将功率施加到ICP线圈406以产生H2等离子体。在一些实施方案中,施加500W ICP功率。在一些实施方案中,衬底保持器404被加热至约100℃的温度。在一些实施方案中,氢等离子体处理在约20mTorr的室压强下进行。在一些实施方案中,氢等离子体处理进行约5至45秒的持续时间。在等离子体暴露之后,执行泵出以从等离子体处理室去除工艺气体。
尽管在所示的实施方案中,已经示出了用于等离子体处理和蒸气处理的单独的室,但是本领域技术人员应理解,在其他实施方案中,单个室可以用于等离子体和蒸气处理。这种系统可以具有适当的阀门,以便能够在不同的工艺气体之间切换(例如,控制每种工艺气体进入室的各个阀)。可以在氟化、蒸气处理和氢等离子体处理中的每一者之后进行吹扫或泵出操作。
图5中提供了根据所公开的实施方案执行的方法的工艺流程图。在操作501-507期间,诸如氩气之类的惰性气体可以作为载气在背景中连续流动。
在操作501中,将包括待蚀刻材料的衬底暴露于含氟等离子体以使衬底的表面改性。
可以通过引入含氟气体并点燃等离子体来产生含氟等离子体。例如,在一些实施方案中,含氟气体可以是四氟化碳(CF4)、三氟化氮(NF3)、六氟化硫(SF6)、氟(F2)或任何含氟气体。在多种实施方案中,可与O2一起引入CF4以在等离子体中产生丰富的氟离子以蚀刻衬底。在一些实施方案中,流到室以产生含氟等离子体的气体总流量的约35%是O2气体。在一些实施方案中,包含碳的其他含氟气体当与另一种气体一起引入时可以用于抑制碳化物的形成。例如,其他含氟气体可具有式CxHyFz,其中x可以是大于或等于1的任何整数,y可以是大于或等于0的任何整数,并且z可以是大于或等于0的任何整数。示例包括氟仿(CHF3)和二氟甲烷(CH2F2)。在一些实施方案中,含氟气体可通过蒸发含氟液体而产生。
在一些实施方案中,衬底未经图案化。在其他实施方案中,衬底可被图案化。衬底可以包括晶体管结构,该晶体管结构可以包括附加栅极层,例如阻挡氧化物层或蚀刻停止层。例如,衬底可以包括FinFET晶体管的鳍片上方的氧化铝层。在一些实施方案中,衬底可包含3D NAND结构,其中在结构中形成的沟槽的底部处具有金属氧化物蚀刻停止层,使得该金属氧化物蚀刻停止层是待蚀刻的材料。在多种实施方案中,衬底上的特征可具有介于约1.5:1与约5:1之间的深宽比。在一些实施方案中,特征可具有高达约10:1的深宽比。
操作501中的等离子体可以原位产生或者可以是远程等离子体。在许多实施方案中,等离子体原位产生以产生电感耦合等离子体。
然而,在其他实施方案中,可以采用电容耦合等离子体(CCP)。在这样的实现中,CCP反应器可以被配置为启用低偏置模式,以提供各向同性ALE。例如,这种CCP反应器可以使用在反应器顶部的RF电极、被配置成具有浮动地的衬底保持器,并且以相对高的RF频率设置(例如,60MHz)运行。
在多种实施方案中,衬底包括待蚀刻的金属氧化物、金属氮化物、金属磷化物、金属硫化物、金属砷化物、纯金属或任何其他金属化合物层。示例包括氧化铝(Al2O3)和氧化铪。注意,在许多实施方案中,使用所公开的实施方案不会蚀刻含硅材料(例如,氧化硅、氮化硅、碳化硅、硅等),这有助于实现蚀刻选择性,特别是在蚀刻诸如FinFET晶体管结构上的鳍片上方的牺牲栅极氧化物层之类的材料时。虽然应该理解,所公开的实施方案可以用于蚀刻各种材料,但是将参考蚀刻氧化铝来描述图5。
在一些实施方案中,可在不施加RF偏置的情况下执行操作501以允许衬底表面的各向同性修改。注意,尽管可以使用一些公开的实施方案来执行各向同性蚀刻,但是在其他实施方案中,也可以通过在操作501期间施加偏置来执行各向异性蚀刻工艺。因此,可以针对各向同性地或各向异性地蚀刻氧化铝来配置本文参照图5描述的方法。
不受特定理论的束缚,在操作501期间,诸如氧化铝表面之类的金属氧化物表面可以通过含氟等离子体各向同性或各向异性地氟化,从而使氧化铝的表面改性以形成氟化铝(例如AlF3)。可以使氧化铝表面的一个或几个单层改性以形成氟化铝。改性操作可能受到氟离子的扩散/渗透深度的限制。在偏置的影响下,氟离子的穿透/扩散深度变得更深(沿着偏置轴)并且还更具各向异性。衬底可以在约10毫托至约100毫托之间的室压强下(例如在约20毫托下)暴露于含氟等离子体,持续时间小于约15秒但大于0秒。
在另一种实施方案中,与上述等离子体驱动的工艺相反,执行热氟化操作。也就是说,衬底在足够的温度下暴露于含氟气体(例如NF3等)以引发表面氟化,而不需要产生等离子体。
注意,在一些实施方案中,在执行操作501之后,可以不清扫容纳衬底的室。在一些实施方案中,可清扫衬底。
在操作503中,将衬底暴露于乙酰丙酮锡(II)(Sn(acac)2)蒸气。在多种实施方案中,在将蒸气输送到衬底之前,可以在外部蒸发器中蒸发Sn(acac)2
不受特定理论的束缚,相信当改性的AlF3表面暴露于Sn(acac)2蒸气时,发生配体交换反应,使得Sn(acac)2上的一个acac配体取代在AlF3分子上的一个氟原子,从而形成AlF2(acac)。然后,另外的Sn(acac)2和/或Sn(acac)可以再次与AlF2(acac)反应两次以用(acac)取代第二和第三氟原子,得到Al(acac)3。相信,当acac配体取代氟原子时,Al(acac)x物质变得越来越易挥发,使得其能够从衬底上蚀刻掉。该反应是自限性的,并且相信一些锡、氟化锡、氧化锡和Sn(acac)2会开始积聚在待蚀刻材料的表面上,从而阻止进一步蚀刻任何改性的底层AlF3
在一些实施方案中,操作501和503可在同一室中执行。在这样的实施方案中,实现配体交换步骤和H2等离子体闪光之间的快速温度变化,因为配体交换反应需要高于约190℃,而H2等离子体闪光必须低于约150℃,否则将发生H2等离子体对Al2O3的蚀刻。在操作503中,关闭等离子体并且可以在打开蒸气流之前关闭含氟气体流。在一些实施方案中,在操作503之前不清扫室。
在一些实施方案中,操作501和503可以在相同装置的分开的室中执行。根据本公开的实施方案,可以提供具有用于执行ALE操作的多个室的装置。在多种实施方案中,衬底可以在用于在操作501中暴露于含氟等离子体的第一室与用于在操作503中暴露于Sn(acac)2蒸气的第二室之间穿梭或移动。在一些实施方案中,第二室是气相沉积室。在一些实施方案中,第二室是不包括等离子体源的经修改的室。注意,可以在不破坏真空的情况下执行衬底在室之间的移动或穿梭。
在替代实施方案中,衬底可暴露于另一种气相化学物质,其对金属氟化物具有选择性但不与金属氧化物反应。该化学品可包括一种或多种配体,该配体当与金属氟化物反应时产生包括与配体键合的金属的挥发性化合物,(例如Sn(acac)2)。
在一些实施方案中,操作503可执行约1秒的持续时间,其中将晶片保持的晶片保持器或基座的温度设置为约200℃的温度。在多种实施方案中,暴露于Sn(acac)2蒸气结束时的室压强可为约20mTorr。
在操作505中,可以将衬底暴露于等离子体处理(例如,氢等离子体)。不受特定理论的束缚,相信进行操作505以挥发在衬底表面上的锡、氟化锡或氧化锡积聚物,其可以从执行操作503中累积。将衬底暴露于氢气可形成锡水合物,它们在所选择的衬底温度下是挥发性的,然后可以从处理室泵出。可以将衬底暴露于等离子体处理持续时间大于0秒且小于5秒。等离子体暴露的持续时间可取决于表面上锡的量。例如,在一些实施方案中,锡的量可以通过评估发射光谱中的锡线来确定。在一些实施方案中,当发射光谱中的锡线消失时,可关闭等离子体。在一些实施方案中,将衬底暴露于等离子体约5秒。在一些实施方案中,将衬底暴露于等离子体持续大于约5秒的持续时间。在多种实施方案中,等离子体处理可以包括引入氢气和点燃等离子体。操作505可以与操作501和/或503在相同的室中执行。注意,尽管可以通过将衬底暴露于氢等离子体来执行操作505,但是在一些实施方案中,可以使用不同的化学物质来去除累积在待蚀刻材料的表面上的锡或氧化锡。例如,在一些实施方案中,可以使用氨(NH3)等离子体。
在一些实施方案中,操作505可在单独的室中执行。例如,在一些实施方案中,衬底可以移动或穿梭到执行操作501的第一站/室,或者可以移动或穿梭到第三站/室以执行操作505。注意衬底在室之间的移动或穿梭可以在不破坏真空的情况下执行。
在操作507中,确定蚀刻量是否足以实现期望的蚀刻量。如果尚未达到所需的剩余厚度,则可任选地重复操作501-505。注意,在一些实施方案中,可以仅每执行n个循环的操作501和503才执行操作505,其中n是大于或等于1的整数。在n是1的情况下,在每个循环中执行操作505。在多种实施方案中,在每个循环中执行操作505。在另一示例中,可以每执行2个循环的操作501和503(其中n是2)执行操作505,使得可以执行以下操作以蚀刻衬底:(1)暴露于含氟等离子体,(2)暴露于Sn(acac)2蒸气,(3)暴露于含氟等离子体,(4)暴露于Sn(acac)2蒸气,(5)暴露于氢等离子体,以及(6)重复(1)-(5)。
根据本公开的一些实施方案,各向同性原子层蚀刻在改性步骤期间利用低偏置等离子体。当蚀刻诸如Al2O3之类的金属氧化物时,这涉及零偏置氟等离子体以在氧化物膜的表面处形成氟化铝。如下所述的数据表明,该步骤对几个单层是自限性的。
在随后的蒸气去除步骤期间,Sn(acac)2通过配体交换机制与膜的氟化顶表面反应并蚀刻掉氟化层。由于蒸气处理没有带来从等离子体鞘到晶片的诸如离子能量之类的定向能量,所以蒸气步骤各向同性地蚀刻金属氟化物。反应的总体顺序可以用以下方式总结:(1)在低偏置氟等离子体中产生约1.5nm的氟化浅表面层。例如,等离子体可以基于CF4或NF3。(2)在没有等离子体的情况下,在将衬底加热至高温(例如,200℃)的同时施加Sn(acac)2蒸气,以在氟和acac配体之间进行配体交换反应。(3)泵出挥发性反应副产物。(4)在衬底表面进行短暂的氢等离子体闪光,以从衬底表面除去不挥发的锡副产物。(5)返回步骤(1)并重复。
可以通过在等离子体氟化步骤期间以受控方式开启等离子体偏置,以受控方式将各向异性引入蚀刻工艺。已经获得的数据表明可以通过偏置能量控制氟化深度。如果在等离子体鞘中加速期间获得的氟离子的初始离子能量较大,则氟离子在它们可以停止之前将更深地前进到金属氧化物膜中。
为了更好地理解氟化,将Al2O3膜暴露于各种氟化条件,之后采用成组的表征技术来理解膜的变化。角分辨X射线光电子能谱(ARXPS)用于测量氟化深度和总材料损失。
图6根据本公开的实施方案示出了显示在各种条件下的氧化铝厚度和氧氟化铝厚度的图,使用单氟化后的表面的ARXPS表征。如所示的,显示氟化深度取决于等离子体密度和离子能量。然而,阴极偏置(影响离子能量)对氟化深度的影响最大,其程度明显大于等离子体密度。
图7根据本公开的实施方案示出了使用零偏置等离子体的表面氟化深度。通过深度分辨的XPS探测等离子体处理后的氟化深度。与图6所示的结果一致,发现氟化深度限制在约1.5nm。更具体地,分析在硅衬底上的二氧化硅(热氧化物)层(1000埃厚度)上的具有100埃表面厚度的Al2O3的样品。在所示的图中,示出了作为溅射时间(秒)的函数的元素铝、氧和氟的测得的原子的百分比。在零偏置条件下施加氟化等离子体之前和之后都显示测量结果。
在施加氟化等离子体之前,氧的原子百分比由曲线700示出;铝的原子百分比由曲线702表示。如图所示,铝的原子百分比在约200秒时下降,这对应于100埃(10nm)厚度的氧化铝层的完全溅射。因此,每20秒溅射约1nm的厚度。当溅射到达二氧化硅层时,曲线700所示的氧的原子百分比在约200秒后增加。由曲线704示出的氟的原子百分比始终为零,因为尚未施加氟化等离子体。
在施加氟化等离子体之后,氧的原子百分比由曲线706示出;铝的原子百分比由曲线708表示;而氟的原子百分比由曲线710示出。可以看出,氟的原子百分比在溅射时间的约30秒内下降到接近零,这对应于约15埃(1.5纳米)的深度。因此,在零偏置下,氟化等离子体实现了约15埃的氟扩散深度。
图8A-E是根据本公开的实施方案的氟化膜的横截面的STEM图像。图8A显示了在热二氧化硅层上的预氟化横截面,其包括厚度为约11纳米(nm)的氧化铝表面层。图8B显示无偏置的氟化结果。图8D示出了该结果的一部分的特写视图。
图8C显示了具有100V偏压的氟化结果。图8E示出了该结果的一部分的特写视图。
如这些图像所示,修改的深度(由较暗的灰色表示)在没有偏置的情况下为5.7nm,在具有100V偏压的情况下为6.7nm。氟化深度(由浅灰色顶部表示)在没有偏压的情况下为1.4nm,在100V偏压下为2.5nm。Al2O3的'c'晶格常数为约1.3nm。从图像中可以看出,由于施加了100V偏压,氟化深度增加。
图9A和9B根据本公开的实施方案分别示出了,在30秒氟等离子体暴露与300秒氟等离子体暴露之后的膜损失。如图所示,300秒氟等离子体暴露没有产生超过30秒氟等离子体暴露的膜损失的额外显著的膜损失。
图10是根据本公开的实施方案显示膜损失的光谱椭偏仪(SE)表征的图,其证明了氟化和Sn(acac)2暴露的自限性质。
如图所示,零偏置氟化工艺是自限性的。约5.5埃的损失可能是由于氟化工艺期间的折射率变化导致的。
另外,结果显示在一个ALE循环中去除的材料可能很弱地取决于Sn(acac)2的施加时间。然而,在表面上留下一些材料限制了蚀刻到氟化深度(约15A)的全部范围的能力。
如上所述,氟化深度随着偏置的增加而增加。因此,在Sn(acac)2蒸气步骤期间,在具有在氟化步骤(例如100V)期间施加偏置的情况下相比于在零偏置的情况下,从膜除去更多的金属氟化物。由于氟化深度仅在平行于等离子体鞘边缘的表面上增加而在垂直于等离子体鞘边缘的表面上不增加,因此可以实现随后的去除步骤中的各向异性。也就是说,从水平表面去除的材料比从竖直表面去除的材料更多。
图11是示出根据本公开的实施方案的计算的氟化深度与氟离子能量的函数关系的图表。如图所示,增加的离子能量导致增加的氟化深度。例如,要实现大于1nm的氟化深度,将需要大于100eV的离子能量。因此,ALE工艺的各向异性的量随着氟化步骤期间的离子能量的增加而增加。
如上所述,配体交换操作可能不会在单次操作中完全消耗衬底的氟化部分,因为它可能由于残留物积聚而自我限制,并且可以施加氢等离子体以去除残留物。因此,在一些实施方案中,每次氟化操作可以重复配体交换操作和氢等离子体操作多次。
图12根据本公开的实施方案示出了用于每单个表面修饰操作使用多个配体交换操作和等离子体清洁操作来执行ALE的方法。参考用于表面改性的含氟等离子体、用于配体交换的Sn(acac)2和用于残留物去除的氢等离子体描述所示方法。然而,在多种实施方案中,该方法可以应用于用于表面修饰、配体交换和残留物去除的任何其他系列的特定化学物质。
在方法操作1201中,使用氟等离子体,产生氟化表面层,其具有由在氟等离子体暴露期间的偏置电压的幅值控制的深度。在一些实施方案中,可使用CF4或NF3产生等离子体。应当理解,施加偏置电压不仅会增加氟化深度,而且会增加整个蚀刻过程的各向异性,因为通过以定向方式(垂直于衬底/晶片平面)施加偏置增加了氟化深度。
在方法操作1203,在没有等离子体的情况下,施加Sn(acac)2蒸气,同时将衬底加热至升高的温度(例如,200℃)以在氟和acac配体之间进行配体交换反应。应注意,施加的单一Sn(acac)2蒸气可仅与氟化膜的顶层进行配体交换,因此可能不会完全消耗整个氟化膜。在通过施加偏置电压(与零偏置电压氟化等离子体相比)增加氟化深度的情况下尤其如此。在施加Sn(acac)2蒸气之后,将挥发性反应副产物泵出。然而,如上所述,可能存在残留在表面上的积聚的(非挥发性)含锡残留物,并且阻止在施加Sn(acac)2蒸气期间发生进一步的配体交换反应(和随后的材料去除)。
因此,在方法操作1205,将短暂的氢等离子体闪光施加到衬底的表面,以从衬底表面去除非挥发性锡副产物。
如所指出的,在方法操作1203处发生的蒸气施加可能没有消耗整个氟化表面层。因此,在方法操作1207,确定氟化层是否已通过配体交换消耗。如果不是,则该方法返回到操作1203,以重复Sn(acac)2和氢等离子体暴露,直到氟化层已被消耗。应当理解,完全消耗氟化层所需的方法操作1203和1205的循环次数可以通过实验预先确定。因此,确定在方法操作1207氟化层是否已被消耗可以通过确定是否已经执行了预定数量的循环来定义。
当已经消耗了整个氟化层时,或者如果已经执行了完全消耗氟化层所需的预定数量的循环,则在操作1209,确定膜是否已被蚀刻到期望的厚度。如果不是,则该方法返回到操作1201以执行表面氟化。
重复该工艺(包括方法操作1201、1203、1205和1207),直到已经将膜蚀刻到所需的厚度,或者直到已经完成预定数量的循环以获得所需的厚度。
应当理解,由于对于Sn(acac)2暴露和氢等离子体暴露的多个循环执行单次氟化操作,这与每次Sn(acac)2和氢等离子体暴露都执行氟化操作相反,因此前述工艺比传统的ALE工艺更快。这可以增加ALE工艺的产量。此外,通过减少氟化操作的次数,可以保持对可能存在于衬底表面上并且可能通过多次氟化操作易于降解的掩模(例如氧化硅掩模)的选择性。相反,配体交换是选择性的并且不影响掩模。
图13A根据本公开的实施方案概念性地示出了衬底表面特征1300的横截面,以及在其上执行的各向异性ALE工艺的执行。衬底的顶表面可以包括掩模1302,以防止衬底的其他部分被蚀刻。在所示的实施方案中,通过使用利用零偏置的表面改性机制执行ALE来执行各向同性ALE工艺。通过以零偏置进行表面改性,表面改性的效果将是各向同性的,从而以全方向的方式产生可用表面物质到大致均匀深度的转化。如上所述,表面改性可以是扩散受限的,以产生表面改性的深度。
因为已经各向同性地进行表面改性,所以已经改性的那部分可通过随后的去除操作(例如经由配体交换机制)去除。结果是ALE工艺是各向同性的,因为在表面改性操作期间没有施加偏压。如上所述,在一些实施方案中,单个表面改性可以穿透到比可以通过单个去除操作去除的深度更大的深度;因此,在一些实施方案中,连续执行多次去除和等离子体清洁操作,以便完全去除已经通过单一表面改性操作改性的整个部分。
示出了特征1300的初始表面1304,并且零偏置蚀刻工艺的连续循环各向同性地加深了特征1300的表面1304。在连续蚀刻循环之后的特征1300的所得表面分别由表面1306、1308、1310和1312示出。例如,在一个蚀刻循环之后,对特征1300进行各向同性蚀刻,以便具有表面1306;在第二蚀刻层之后,对特征1300进行各向同性蚀刻,以便具有表面1308;等等。
图13B根据本公开的实施方案概念性地示出了衬底表面特征的横截面,以及在其上执行的各向同性ALE工艺的执行。图13B的实施方案类似于图13A的实施方案,不同的是,在表面改性操作期间施加偏置,这为表面改性操作引入了一定程度的方向性。随着偏置功率的增加,离子将在向下的竖直方向(垂直于衬底表面的平面)上比水平方向更深地被驱动。结果是,与零偏置表面改性相比,表面改性将在向下的竖直方向上出现更大的深度,并且在水平方向上出现减小的深度。然后,当通过表面改性操作转化的材料量确定可通过去除操作去除的材料量时,结果将是与零偏置情况相比,各向异性ALE沿着向下的竖直方向表现出更大蚀刻速率并且沿着水平方向表现出降低的蚀刻速率。
继续参考图13B,再次示出了特征1300的初始表面1304。然而,与零偏置蚀刻相比,偏置蚀刻工艺的连续循环各向异性地加深了特征1300的表面1304。在连续的各向异性蚀刻循环之后的特征1300的所得表面分别由表面1314、1316、1318以及1320示出。例如,在一个蚀刻循环之后,对特征1300进行各向异性蚀刻,以便具有表面1314;在第二蚀刻循环之后,对特征1300进行各向异性蚀刻,以便具有表面1316;等等。另外,在一些实施方案中,竖直表面可涂覆有保护性聚合物,使得它们一起被排除在ALE循环之外。以这种方式,可以完全避免蚀刻竖直表面。
总之,在ALE工艺中的改性步骤期间,可以通过在该步骤期间施加的偏置电压来控制改性层(沿着偏置通量的方向,或者通常与衬底平面正交的方向)的深度。深度修改通常受限于平行于等离子体鞘边缘的那些表面。因此,通过控制等离子体氟化期间施加的偏置量,可以以受控的方式引入各向异性。也就是说,可以调整(垂直于衬底平面的)竖直方向相对于(平行于衬底平面的)水平方向的相对蚀刻速率,竖直蚀刻量与水平蚀刻量的比率随着偏置功率的增大而增大。
应当理解,可以针对特定应用和ALE化学过程调整偏置功率,并且可以存在与偏置功率相关的折衷。例如,随着偏置功率(例如通过控制偏置电压)增加,离子注入可以发生到更大的深度,产生更大的表面改性深度,并且增加各向异性。然而,随着偏置功率的增大,更多的能量被赋予离子,这也可能由于反应离子蚀刻和/或溅射而产生膜损失。因此,在一些实施方案中,针对给定ALE应用,调整偏置功率以提供所需的表面改性深度,同时还基本上避免膜损失或容许可接受水平的膜损失。可以将偏置控制到低于被蚀刻材料的溅射阈值的水平。这样,可以最小化过早的膜损失。
在一些实施方案中,可在氟化之前经由聚合等离子体步骤增加各向异性程度,以在待蚀刻的结构内沉积聚合物衬里。该衬里可以在氟化步骤的第一部分之前或期间(例如通过光致抗蚀剂、光刻和离子蚀刻)仅在底表面上打开,但是对于侧壁将保持完整,从而保护它们。
图14A-D根据本公开的实施方案示出了用于通过沉积钝化层来提供增加的各向异性的工艺。图14A概念性地示出了衬底表面特征1400的横截面。钝化层1402沉积在该特征中,如图14B所示。钝化层是保护衬里,其保护下面的表面在随后的ALE工艺期间不被蚀刻。在多种实施方案中,钝化层可以由聚合物材料、无机材料或能够保护特征表面在随后的ALE工艺期间不被蚀刻的任何其他材料构成。此外,钝化层可以通过任何合适的技术沉积,所述技术包括但不限于CVD、ALD等。
在图14C中,钝化层1402的底部被打开,暴露下面的衬底材料以进行蚀刻。在图14D中,执行各向异性ALE工艺(在表面改性步骤期间使用偏置电压)。如图所示,蚀刻特征的底部,而特征的侧壁1401由钝化层保护。在一些实施方案中,钝化层1402未经ALE工艺蚀刻。而在其他实施方案中,钝化层1402被配置为通过ALE工艺部分或完全蚀刻。在此类实施方案中,钝化层1402用于防止或延迟蚀刻特征的侧壁1401的开始,从而提供整个工艺的增加的各向异性。
本文描述的多种实施方案可以在等离子体蚀刻室中执行,所述等离子体蚀刻室例如Kiyo,其可从加利福尼亚州弗里蒙特的Lam Research Corporation获得。在多种实施方案中,衬底可以在蚀刻室和蒸气室之间穿梭而不破坏真空。
所公开的实施方案可以在任何合适的室或装置中进行,例如在或Flex中进行,两者均可从加利福尼亚州弗里蒙特的Lam Research Corporation获得。在一些实施方案中,所公开的实施方案可以在群集工具中执行,该群集工具可以包含一个或多个站。图15根据本公开的实施方案示出了群集工具1500。在多种实施方案中,一个站1501可以包括用于蚀刻的模块,而另一个站1503包括用于暴露于蒸气的模块(例如,蒸气室)。在一些实施方案中,第三站1505包括用于暴露于等离子体的模块。
在一些实施方案中,可使用电感耦合等离子体(ICP)反应器。这种ICP反应器也已在2013年10月12日提交的名称为“IMAGE REVERSAL WITH AHM GAP FILL FOR MULTIPLEPATTERNING,”的美国专利申请公开No.2014/0170853中描述,通过引用将其并入本文以用于描述合适的用于实施本文所述的技术的ICP反应器的目的。尽管本文描述了ICP反应器,但在一些实施方案中,应理解也可使用电容耦合等离子体反应器。参考图16,示例性蚀刻室或装置可包括室1601,室1601具有用于分配含氟气体(1605)、氢气(1607)或Sn(acac)2蒸气(1609)或其他化学物质到室1601的喷头或喷嘴1603、室壁1611、用于保持待处理的衬底或晶片1615的卡盘1613,卡盘1613可包括用于夹持和去除晶片的静电电极。卡盘1613被加热以进行热控制,从而能够加热衬底1615。卡盘1613可以根据本公开的实施方案使用RF电源1617充电以提供偏置电压(例如,在约20到200V的电压范围内的电压,13.56Mhz)。RF电源1619被配置为向线圈1621供应功率(例如,在约100W至3kW的范围内,在13.56Mhz下)以产生等离子体,以及气体流入口被配置用于输入如本文所述的气体。尽管示出了ICP室,但是在其他实施方案中,可以使用CCP室。在多种实施方案中,室壁1611可以是耐氟的。例如,室壁1611可以涂覆有含硅材料(例如硅或氧化硅)或含碳材料(例如金刚石)或其组合,使得含氟气体和/或等离子体不会蚀刻室壁1611。用于化学吸附的改性化学气体(例如用于产生含氟等离子体的含氟气体)和/或用于蒸气暴露的改性化学气体(例如Sn(acac)2)可以流到室1601中。在一些实施方案中,氢气1607可以流到室以产生用于去除锡、氟化锡或氧化锡残留物的氢等离子体。在一些实施方案中,室壁被加热以用氢等离子体支持壁清洁效率。在一些实施方案中,装置可包括超过一个的室,每个室可用于蚀刻、沉积或处理衬底。室或装置可以包括系统控制器1623,其用于控制室或装置的操作中的一些或全部,例如调节室压强、惰性气体流量、等离子体功率、等离子体频率、反应气体流量(例如,含氟气体、Sn(acac)2蒸气等);偏置功率、温度、真空设置;和其他工艺条件。
图17根据本公开的实施方案示出了用于控制上述系统的控制模块1700。例如,控制模块1700可以包括处理器、存储器以及一个或多个接口。控制模块1700可以用于部分地基于感测值来控制系统中的装置。仅作为示例,控制模块1700可以基于感测值和其他控制参数来控制阀1702、过滤器加热器1704、泵1706、和其他装置1708中的一个或多个。控制模块1700例如仅从压力计1710、流量计1712、温度传感器1714和/或其他传感器1716接收感测值。控制模块1700还可以用于控制在反应物输送和等离子体处理期间的工艺条件。控制模块1700通常将包括一个或多个存储器设备以及一个或多个处理器。
控制模块1700可以控制反应物输送系统和等离子体处理设备的活动。控制模块1700执行计算机程序,所述计算机程序包括用于控制处理时间、输送系统温度、跨越过滤器的压差、阀位置、气体混合物、室压强、室温度、晶片温度、RF功率电平、晶片ESC或基座位置以及特定工艺的其他参数的指令集。控制模块1700还可以监测压差并自动地将蒸气反应物输送从一个或多个路径切换到一个或多个其他路径。在一些实施方式中可以采用存储在与控制模块1700相关联的存储器装置上的其他计算机程序。
通常会存在与控制模块1700相关联的用户界面。用户界面可以包括显示器1718(例如设备和/或工艺条件的显示屏和/或图形软件显示器)以及用户输入设备1720(如定点设备、键盘、触摸屏、麦克风等)。
可以用任何常规的计算机可读编程语言(例如,汇编语言、C、C++、Pascal、Fortran或其它)来编写用于控制处理序列中的反应物输送、等离子体处理和其他处理的计算机程序。编译对象代码或脚本由处理器执行以执行程序中标识的任务。
控制模块参数涉及工艺条件,例如过滤器压差、工艺气体成分和流速、温度、压力、诸如RF功率电平和低频RF频率之类的等离子体条件、冷却气体压力、和室壁温度。
系统软件可以以许多不同的方式来设计或配置。例如,可以写入各种室部件子程序或控制对象以控制执行本发明的沉积工艺所必需的室部件的操作。用于此目的的程序或程序段的示例包括衬底定位代码、工艺气体控制代码、压力控制代码、加热器控制代码和等离子体控制代码。
尽管出于清楚理解的目的已经在一些细节上描述了前述实施方案,但是显而易见的是,可以在所公开的实施方案的范围内实施某些改变和修改方案。应该注意,存在许多实现本发明的实施方案的工艺、系统和装置的替代方式。因此,本发明的实施方案被认为是说明性的而非限制性的,并且实施方案不受限于本文给出的细节。

Claims (22)

1.一种在衬底上执行原子层蚀刻(ALE)的方法,其包括:
在衬底表面上执行表面改性操作,所述表面改性操作被配置为将所述衬底表面的至少一个单层转化为改性层,其中在所述表面改性操作期间施加偏置电压,所述偏置电压被配置为控制通过所述表面改性操作转化的所述衬底表面的深度;
在所述衬底表面上执行去除操作,所述去除操作被配置为从所述衬底表面去除所述改性层的至少一部分,其中经由被配置为使所述改性层的所述一部分挥发的配体交换反应来实现去除所述改性层的所述一部分。
2.根据权利要求1所述的方法,其中所述表面改性操作被配置为使离子扩散到所述衬底表面中到达由所述偏置电压控制的所述深度。
3.根据权利要求1所述的方法,其中,所述偏置电压被配置为在所述表面改性操作期间具有用以实现通过所述表面改性操作转化的所述衬底表面的所述深度的幅值和持续时间。
4.根据权利要求1所述的方法,其中所述深度由所述衬底的一个或多个单层限定。
5.根据权利要求1所述的方法,其中,所述偏置电压被配置为根据所述偏置电压的幅值将所述表面改性操作从主要各向同性转变为主要各向异性。
6.根据权利要求1所述的方法,其中在所述表面改性操作的部分期间施加所述偏置电压,施加所述偏置电压期间的该部分增加竖直方向上的所述深度的量,从而增加所述ALE的各向异性,而未施加所述偏置电压期间的部分增加非竖直方向的所述深度,从而增加所述ALE的各向同性。
7.根据权利要求1所述的方法,其还包括:
在所述去除操作之后,在所述衬底表面上执行等离子体处理,所述等离子体处理被配置为从所述衬底表面去除由所述去除操作和/或所述表面改性操作产生的残留物,其中所述残留物通过所述等离子体处理而挥发。
8.根据权利要求7所述的方法,
其中,所述去除操作被配置为从所述衬底表面去除不到所述改性层的整个部分;并且
该方法还包括:重复所述去除操作和所述等离子体处理,直到从所述衬底表面去除所述改性层的所述整个部分。
9.根据权利要求8所述的方法,其还包括:重复所述表面改性操作、所述去除操作和所述等离子体处理,直到已经从所述衬底表面蚀刻预定厚度。
10.根据权利要求1所述的方法,其中所述偏置电压在约20V到100V的范围内。
11.根据权利要求1所述的方法,其中执行所述表面改性操作包括将所述衬底表面暴露于含氟等离子体,其中所述暴露于所述含氟等离子体被配置为将所述衬底表面的所述至少一个单层转化为氟化物物质。
12.根据权利要求11所述的方法,
其中所述衬底表面包括金属、金属氧化物、金属氮化物、金属磷化物、金属硫化物、金属砷化物或金属化合物;
其中所述暴露于所述含氟等离子体形成金属氟化物。
13.根据权利要求11所述的方法,其中将所述衬底表面暴露于所述含氟等离子体包括将含氟气体引入内部设置有所述衬底的室中,并点燃等离子体。
14.根据权利要求13所述的方法,其中所述暴露于所述含氟等离子体是在约10毫托至500毫托的室压强下进行,持续时间小于约15秒。
15.根据权利要求11所述的方法,其中,执行所述去除操作包括将所述衬底表面暴露于乙酰丙酮锡(II)(Sn(acac)2)蒸气,所述暴露于所述Sn(acac)2蒸气被配置为用乙酰丙酮酸盐(acac)配体交换所述改性层中的氟原子。
16.根据权利要求15所述的方法,其中将所述衬底表面暴露于Sn(acac)2包括将作为蒸气的所述Sn(acac)2引入到内部设置有所述衬底的室中。
17.根据权利要求16所述的方法,其中所述暴露于所述Sn(acac)2执行约1秒至30秒的持续时间。
18.根据权利要求7所述的方法,其中,执行所述等离子体处理包括将所述衬底表面暴露于氢等离子体,所述暴露于所述氢等离子体被配置为使所述衬底表面上的锡、氟化锡或氧化锡残留物挥发。
19.根据权利要求18所述的方法,其中将所述衬底表面暴露于所述氢等离子体包括将氢气引入内部设置有所述衬底的室中,并点燃等离子体。
20.根据权利要求19所述的方法,其中所述暴露于所述氢等离子体执行约1秒至30秒的持续时间。
21.根据权利要求1所述的方法,
其中,所述表面改性操作在第一室中进行;
其中,所述去除操作在第二室中进行。
22.一种在衬底上执行原子层蚀刻(ALE)的方法,其包括:
在衬底表面上执行表面改性操作,所述表面改性操作包括将所述衬底表面暴露于第一等离子体,所述第一等离子体将所述衬底表面的至少一个单层转化为改性层,其中在所述表面改性操作期间施加偏置电压,所述偏置电压被配置为控制通过所述表面改性操作转化的所述衬底表面的深度,其中所述偏置电压被配置为将离子从所述第一等离子体朝向所述衬底表面加速而基本上不蚀刻所述衬底表面;
在所述衬底表面上执行去除操作,所述去除操作包括从所述衬底表面去除所述改性层的至少一部分,其中经由被配置为使所述改性层的所述一部分挥发的配体交换反应来实现去除所述改性层的所述一部分;
在所述衬底表面上执行清洁操作,所述清洁操作包括从所述衬底表面去除由所述去除操作产生的残留物,所述清洁操作还包括将所述衬底表面暴露于第二等离子体,其中所述残留物通过所述暴露于所述第二等离子体而挥发。
CN201880013855.4A 2017-02-27 2018-02-26 原子层蚀刻中方向性的控制 Active CN110337709B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202410551051.7A CN118571754A (zh) 2017-02-27 2018-02-26 原子层蚀刻中方向性的控制

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762464360P 2017-02-27 2017-02-27
US62/464,360 2017-02-27
US15/615,691 US10229837B2 (en) 2016-02-04 2017-06-06 Control of directionality in atomic layer etching
US15/615,691 2017-06-06
PCT/US2018/019784 WO2018157090A1 (en) 2017-02-27 2018-02-26 Control of directionality in atomic layer etching

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202410551051.7A Division CN118571754A (zh) 2017-02-27 2018-02-26 原子层蚀刻中方向性的控制

Publications (2)

Publication Number Publication Date
CN110337709A true CN110337709A (zh) 2019-10-15
CN110337709B CN110337709B (zh) 2024-05-28

Family

ID=63246986

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202410551051.7A Pending CN118571754A (zh) 2017-02-27 2018-02-26 原子层蚀刻中方向性的控制
CN201880013855.4A Active CN110337709B (zh) 2017-02-27 2018-02-26 原子层蚀刻中方向性的控制

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN202410551051.7A Pending CN118571754A (zh) 2017-02-27 2018-02-26 原子层蚀刻中方向性的控制

Country Status (8)

Country Link
US (2) US10229837B2 (zh)
EP (1) EP3586357A4 (zh)
JP (2) JP7159180B2 (zh)
KR (1) KR20190115099A (zh)
CN (2) CN118571754A (zh)
SG (1) SG11201907625UA (zh)
TW (2) TWI760446B (zh)
WO (1) WO2018157090A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113013031A (zh) * 2019-12-20 2021-06-22 圆益Ips股份有限公司 原子层蚀刻方法

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10229837B2 (en) * 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
KR102440504B1 (ko) * 2017-10-27 2022-09-06 현대자동차주식회사 이종 재질 접합을 위한 알루미늄 표면 처리 방법
US20190385828A1 (en) * 2018-06-19 2019-12-19 Lam Research Corporation Temperature control systems and methods for removing metal oxide films
US10847375B2 (en) * 2018-06-26 2020-11-24 Lam Research Corporation Selective atomic layer etching
KR20210044303A (ko) * 2018-09-10 2021-04-22 램 리써치 코포레이션 준안정 (metastable) 활성화된 라디칼 종을 사용한 원자 층 처리 프로세스
JP2022509621A (ja) 2018-11-19 2022-01-21 ラム リサーチ コーポレーション タングステン用モリブデンテンプレート
CN113316839A (zh) 2019-01-15 2021-08-27 朗姆研究公司 利用无金属配体的金属原子层蚀刻及沉积设备和处理
SG11202108217UA (en) 2019-01-28 2021-08-30 Lam Res Corp Deposition of metal films
KR20210141762A (ko) 2019-04-11 2021-11-23 램 리써치 코포레이션 고 단차 커버리지 (step coverage) 텅스텐 증착
WO2020263757A1 (en) 2019-06-27 2020-12-30 Lam Research Corporation Alternating etch and passivation process
KR20220047333A (ko) 2019-08-12 2022-04-15 램 리써치 코포레이션 텅스텐 증착
TWI712122B (zh) * 2019-12-10 2020-12-01 樂盟科技有限公司 晶圓表面處理裝置及晶圓表面處理方法
KR102428642B1 (ko) * 2020-06-01 2022-08-02 인하대학교 산학협력단 구리 박막의 건식 식각방법
US11282711B2 (en) * 2020-07-31 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma-assisted etching of metal oxides
US11915941B2 (en) * 2021-02-11 2024-02-27 Tokyo Electron Limited Dynamically adjusted purge timing in wet atomic layer etching
WO2023282191A1 (ja) * 2021-07-05 2023-01-12 東京エレクトロン株式会社 基板処理方法及び基板処理装置
TW202313212A (zh) * 2021-07-05 2023-04-01 日商東京威力科創股份有限公司 腔室或零件之清潔方法及基板處理裝置
US20230015080A1 (en) * 2021-07-15 2023-01-19 Applied Materials, Inc. Metal oxide directional removal
KR20240115323A (ko) * 2021-12-08 2024-07-25 램 리써치 코포레이션 열적 원자 층 에칭을 통한 고 종횡비 홀들에서 에칭 프로파일들의 제어
WO2023196437A1 (en) * 2022-04-06 2023-10-12 Lam Research Corporation Deposition of metal-containing films and chamber clean
KR20240006268A (ko) * 2022-07-06 2024-01-15 에스케이스페셜티 주식회사 금속 산화막의 원자층 식각 방법
WO2024211411A1 (en) * 2023-04-06 2024-10-10 Lam Research Corporation Performing atomic layer etching using a silane-based chemistry

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003517192A (ja) * 1999-02-17 2003-05-20 アプライド マテリアルズ インコーポレイテッド 誘電体の腐食防止方法
CN101688300A (zh) * 2007-07-03 2010-03-31 Beneq有限公司 沉积金属氧化物材料的方法
US20150004806A1 (en) * 2006-11-01 2015-01-01 Lam Research Corporation Low-k oxide deposition by hydrolysis and condensation
CN104953027A (zh) * 2014-03-27 2015-09-30 朗姆研究公司 蚀刻非挥发性金属材料的方法
US20160196969A1 (en) * 2015-01-06 2016-07-07 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
CN105762073A (zh) * 2015-01-05 2016-07-13 朗姆研究公司 用于各向异性钨蚀刻的方法和装置
CN105762060A (zh) * 2015-01-05 2016-07-13 朗姆研究公司 氧化硅和氧化锗的各向同性原子层蚀刻
CN105789027A (zh) * 2015-01-12 2016-07-20 朗姆研究公司 集成原子级工艺:ald(原子层沉积)和ale(原子层蚀刻)
CN106252222A (zh) * 2015-06-05 2016-12-21 朗姆研究公司 GaN和其他III-V族材料的原子层蚀刻
US20170053810A1 (en) * 2015-08-19 2017-02-23 Lam Research Corporation Atomic layer etching of tungsten and other metals

Family Cites Families (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH061769B2 (ja) 1983-08-10 1994-01-05 株式会社日立製作所 アルミナ膜のパターニング方法
US4756794A (en) 1987-08-31 1988-07-12 The United States Of America As Represented By The Secretary Of The Navy Atomic layer etching
JPH06151382A (ja) 1992-11-11 1994-05-31 Toshiba Corp ドライエッチング方法
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
US6022806A (en) 1994-03-15 2000-02-08 Kabushiki Kaisha Toshiba Method of forming a film in recess by vapor phase growth
US6083413A (en) 1995-10-19 2000-07-04 Massachusetts Institute Of Technology Metals removal process
KR20010034127A (ko) 1998-01-13 2001-04-25 조셉 제이. 스위니 이방성 플라티늄 프로화일을 위한 에칭 방법
US6177353B1 (en) 1998-09-15 2001-01-23 Infineon Technologies North America Corp. Metallization etching techniques for reducing post-etch corrosion of metal lines
US8696875B2 (en) 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US6458694B2 (en) 2000-01-24 2002-10-01 Ebara Corporation High energy sputtering method for forming interconnects
JP3662472B2 (ja) 2000-05-09 2005-06-22 エム・エフエスアイ株式会社 基板表面の処理方法
US6527855B2 (en) 2000-10-10 2003-03-04 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
US20020058409A1 (en) 2000-11-16 2002-05-16 Ching-Te Lin Elimination of overhang in liner/barrier/seed layers using post-deposition sputter etch
US6448192B1 (en) 2001-04-16 2002-09-10 Motorola, Inc. Method for forming a high dielectric constant material
KR100878103B1 (ko) 2001-05-04 2009-01-14 도쿄엘렉트론가부시키가이샤 순차적 증착 및 에칭에 의한 이온화된 pvd
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US7049226B2 (en) 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
AU2003223472A1 (en) 2002-05-14 2003-12-02 Tokyo Electron Limited PLASMA ETCHING OF Cu-CONTAINING LAYERS
US6884730B2 (en) 2002-07-02 2005-04-26 Headway Technologies, Inc. Method of etching a film of magnetic material and method of manufacturing a thin-film magnetic head
US6933239B2 (en) 2003-01-13 2005-08-23 Applied Materials, Inc. Method for removing conductive residue
US6841484B2 (en) 2003-04-17 2005-01-11 Chentsau Ying Method of fabricating a magneto-resistive random access memory (MRAM) device
JP2004332045A (ja) 2003-05-07 2004-11-25 Renesas Technology Corp 多層膜材料のドライエッチング方法
US7371688B2 (en) 2003-09-30 2008-05-13 Air Products And Chemicals, Inc. Removal of transition metal ternary and/or quaternary barrier materials from a substrate
US7341946B2 (en) 2003-11-10 2008-03-11 Novellus Systems, Inc. Methods for the electrochemical deposition of copper onto a barrier layer of a work piece
US7115522B2 (en) 2004-07-09 2006-10-03 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device
US7196955B2 (en) 2005-01-12 2007-03-27 Hewlett-Packard Development Company, L.P. Hardmasks for providing thermally assisted switching of magnetic memory elements
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
JP4860219B2 (ja) 2005-02-14 2012-01-25 東京エレクトロン株式会社 基板の処理方法、電子デバイスの製造方法及びプログラム
US7214626B2 (en) 2005-08-24 2007-05-08 United Microelectronics Corp. Etching process for decreasing mask defect
US20070238301A1 (en) 2006-03-28 2007-10-11 Cabral Stephen H Batch processing system and method for performing chemical oxide removal
US7795148B2 (en) 2006-03-28 2010-09-14 Tokyo Electron Limited Method for removing damaged dielectric material
US7368393B2 (en) 2006-04-20 2008-05-06 International Business Machines Corporation Chemical oxide removal of plasma damaged SiCOH low k dielectrics
US7416989B1 (en) * 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
KR101330707B1 (ko) 2007-07-19 2013-11-19 삼성전자주식회사 반도체 장치의 형성 방법
US8481423B2 (en) 2007-09-19 2013-07-09 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics
US8247030B2 (en) 2008-03-07 2012-08-21 Tokyo Electron Limited Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer
US7948044B2 (en) 2008-04-09 2011-05-24 Magic Technologies, Inc. Low switching current MTJ element for ultra-high STT-RAM and a method for making the same
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US7943527B2 (en) 2008-05-30 2011-05-17 The Board Of Trustees Of The University Of Illinois Surface preparation for thin film growth by enhanced nucleation
US8058179B1 (en) * 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US8227344B2 (en) 2010-02-26 2012-07-24 Tokyo Electron Limited Hybrid in-situ dry cleaning of oxidized surface layers
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
JP5416280B2 (ja) 2010-08-19 2014-02-12 株式会社アルバック ドライエッチング方法及び半導体装置の製造方法
US8546263B2 (en) 2011-04-27 2013-10-01 Applied Materials, Inc. Method of patterning of magnetic tunnel junctions
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US20130129922A1 (en) 2011-11-21 2013-05-23 Qualcomm Mems Technologies, Inc. Batch processing for electromechanical systems and equipment for same
US8633115B2 (en) 2011-11-30 2014-01-21 Applied Materials, Inc. Methods for atomic layer etching
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
JP2014049466A (ja) 2012-08-29 2014-03-17 Tokyo Electron Ltd エッチング処理方法及び基板処理装置
US9177780B2 (en) 2012-10-02 2015-11-03 Applied Materials, Inc. Directional SiO2 etch using plasma pre-treatment and high-temperature etchant deposition
JP6035117B2 (ja) 2012-11-09 2016-11-30 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
JP5918108B2 (ja) 2012-11-16 2016-05-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
FR3000600B1 (fr) * 2012-12-28 2018-04-20 Commissariat Energie Atomique Procede microelectronique de gravure d'une couche
TWI591211B (zh) * 2013-03-13 2017-07-11 應用材料股份有限公司 蝕刻包含過渡金屬的膜之方法
US20140349469A1 (en) 2013-05-22 2014-11-27 Qualcomm Mems Technologies, Inc. Processing for electromechanical systems and equipment for same
US9362163B2 (en) * 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
US20150111374A1 (en) 2013-10-18 2015-04-23 International Business Machines Corporation Surface treatment in a dep-etch-dep process
FR3017241B1 (fr) * 2014-01-31 2017-08-25 Commissariat Energie Atomique Procede de gravure plasma
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9773683B2 (en) * 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
FR3023971B1 (fr) 2014-07-18 2016-08-05 Commissariat Energie Atomique Procede de formation des espaceurs d'une grille d'un transistor
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9520294B2 (en) 2014-08-29 2016-12-13 Applied Materials, Inc. Atomic layer etch process using an electron beam
US9627608B2 (en) 2014-09-11 2017-04-18 Lam Research Corporation Dielectric repair for emerging memory devices
US9240315B1 (en) 2014-10-10 2016-01-19 Applied Materials, Inc. CVD oxide surface pre-conditioning by inductively coupled O2 plasma
US10381227B2 (en) 2014-12-18 2019-08-13 The Regents Of The University Of Colorado, A Body Corporate Methods of atomic layer etching (ALE) using sequential, self-limiting thermal reactions
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9892935B2 (en) 2015-05-28 2018-02-13 International Business Machines Corporation Limiting electronic package warpage with semiconductor chip lid and lid-ring
US9449843B1 (en) 2015-06-09 2016-09-20 Applied Materials, Inc. Selectively etching metals and metal nitrides conformally
US9922839B2 (en) 2015-06-23 2018-03-20 Lam Research Corporation Low roughness EUV lithography
US9972504B2 (en) * 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
KR20170050056A (ko) 2015-10-29 2017-05-11 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US9735024B2 (en) * 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US10229837B2 (en) * 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US10727073B2 (en) * 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US10256108B2 (en) * 2016-03-01 2019-04-09 Lam Research Corporation Atomic layer etching of AL2O3 using a combination of plasma and vapor treatments
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9837312B1 (en) 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
US10692724B2 (en) * 2016-12-23 2020-06-23 Lam Research Corporation Atomic layer etching methods and apparatus
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003517192A (ja) * 1999-02-17 2003-05-20 アプライド マテリアルズ インコーポレイテッド 誘電体の腐食防止方法
US20150004806A1 (en) * 2006-11-01 2015-01-01 Lam Research Corporation Low-k oxide deposition by hydrolysis and condensation
CN101688300A (zh) * 2007-07-03 2010-03-31 Beneq有限公司 沉积金属氧化物材料的方法
CN104953027A (zh) * 2014-03-27 2015-09-30 朗姆研究公司 蚀刻非挥发性金属材料的方法
CN105762073A (zh) * 2015-01-05 2016-07-13 朗姆研究公司 用于各向异性钨蚀刻的方法和装置
CN105762060A (zh) * 2015-01-05 2016-07-13 朗姆研究公司 氧化硅和氧化锗的各向同性原子层蚀刻
US20160196969A1 (en) * 2015-01-06 2016-07-07 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
CN105789027A (zh) * 2015-01-12 2016-07-20 朗姆研究公司 集成原子级工艺:ald(原子层沉积)和ale(原子层蚀刻)
CN106252222A (zh) * 2015-06-05 2016-12-21 朗姆研究公司 GaN和其他III-V族材料的原子层蚀刻
US20170053810A1 (en) * 2015-08-19 2017-02-23 Lam Research Corporation Atomic layer etching of tungsten and other metals

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113013031A (zh) * 2019-12-20 2021-06-22 圆益Ips股份有限公司 原子层蚀刻方法

Also Published As

Publication number Publication date
US20190157105A1 (en) 2019-05-23
JP7423723B2 (ja) 2024-01-29
JP2020508579A (ja) 2020-03-19
US20180366343A9 (en) 2018-12-20
JP7159180B2 (ja) 2022-10-24
TW201842575A (zh) 2018-12-01
CN118571754A (zh) 2024-08-30
SG11201907625UA (en) 2019-09-27
TWI800279B (zh) 2023-04-21
US10559475B2 (en) 2020-02-11
US10229837B2 (en) 2019-03-12
EP3586357A1 (en) 2020-01-01
TWI760446B (zh) 2022-04-11
JP2022185118A (ja) 2022-12-13
WO2018157090A1 (en) 2018-08-30
KR20190115099A (ko) 2019-10-10
CN110337709B (zh) 2024-05-28
TW202226369A (zh) 2022-07-01
US20180247832A1 (en) 2018-08-30
EP3586357A4 (en) 2021-01-06

Similar Documents

Publication Publication Date Title
CN110337709A (zh) 原子层蚀刻中方向性的控制
TWI750151B (zh) 使用電漿與蒸氣處理組合之al2o3的原子層蝕刻
US11011388B2 (en) Plasma apparatus for high aspect ratio selective lateral etch using cyclic passivation and etching
US10096487B2 (en) Atomic layer etching of tungsten and other metals
KR101468213B1 (ko) 플라즈마 에칭 성능 강화 방법
CN108987271A (zh) 使用氢等离子体进行原子层蚀刻的方法
CN111373512A (zh) 使用ale蚀刻金属氧化物衬底以及选择性沉积
CN105762073A (zh) 用于各向异性钨蚀刻的方法和装置
CN113764270A (zh) 用于等离子体辅助蚀刻金属氧化物的方法
CN116097407A (zh) 各向同性氮化硅移除
US10790157B1 (en) Achieving etching selectivity for atomic layer etching processes by utilizing material-selective deposition phenomena
TW202331839A (zh) 透過熱原子層蝕刻的高深寬比孔洞中之蝕刻輪廓的控制
TW202302900A (zh) 用於形成包括氮化矽之圖案化結構的方法及系統及利用方法形成的裝置結構
TW202238716A (zh) 利用含有氟、氧及稀有氣體之電漿的選擇性蝕刻

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant