CN105762060A - 氧化硅和氧化锗的各向同性原子层蚀刻 - Google Patents

氧化硅和氧化锗的各向同性原子层蚀刻 Download PDF

Info

Publication number
CN105762060A
CN105762060A CN201511027151.7A CN201511027151A CN105762060A CN 105762060 A CN105762060 A CN 105762060A CN 201511027151 A CN201511027151 A CN 201511027151A CN 105762060 A CN105762060 A CN 105762060A
Authority
CN
China
Prior art keywords
substrate
oxide
water
process chamber
hydrogen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201511027151.7A
Other languages
English (en)
Other versions
CN105762060B (zh
Inventor
索斯藤·利尔
伊凡·L·贝瑞三世
沈美华
艾伦·M·舍普
大卫·J·赫姆科尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN105762060A publication Critical patent/CN105762060A/zh
Application granted granted Critical
Publication of CN105762060B publication Critical patent/CN105762060B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02343Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Silicon Compounds (AREA)
  • Weting (AREA)

Abstract

本发明氧化硅和氧化锗的各向同性原子层蚀刻,提供了用于以原子级精度可控地各向同性蚀刻氧化硅和氧化锗层的方法。该方法利用无水HF与氧化物的活化表面的反应,重点是去除反应中生成的水。在某些实施方式中,所述氧化表面首先通过吸附含OH物质(例如,醇)或通过使用含氢等离子体形成OH键进行改性。活化的氧化物然后通过单独引入的无水HF蚀刻,同时随着反应的进行或者在反应过程中或之后的任何时间将反应中生成的水从衬底表面去除。这些方法可用在互连预清洁应用、栅极电介质处理、存储器装置的制造或希望精确去除一个或更多个材料原子层的任何其他应用中。

Description

氧化硅和氧化锗的各向同性原子层蚀刻
技术领域
本发明涉及去除衬底上的材料层的方法。该方法可特别用于以原子级精度准确地各向同性地去除半导体沉底上的二氧化硅和二氧化锗。
背景技术
以现代化的微型化水平制造集成电路(IC)设备要求可在原子级操作的技术。现在IC设备的某些组件的尺寸为几十埃,相当于仅几个材料原子层。例如,现代化IC晶体管的栅极电介质的厚度可以仅12埃,相当于仅四个二氧化硅原子层。通常希望通过改变这些组件的尺寸来微调它们的电子特性,这将涉及沉积或去除仅几个材料原子层。虽然原子层沉积(ALD)和原子级外延生长技术已经得到了发展,但是用于控制去除一个或几个原子层的方法仍然受到限制。
氧化硅,二氧化硅和其碳掺杂、硼掺杂和磷掺杂的变体,是IC设备中使用的重要的介电材料。氧化硅用作块体介电层中的绝缘体、晶体管的栅极介质以及存储器设备例如动态随机存取存储器(DRAM)中的电容器电介质。当部分制造的晶片暴露于空气中时,在硅层上无意地形成氧化硅。这种类型的氧化硅,称为天然氧化物,在硅层上形成薄膜。天然氧化物与在蚀刻和/或灰化过程中产生的氧化物残余物对于进一步的处理步骤提出了问题。当在硅着落的通孔或接触孔的底部形成时,天然氧化物和其它氧化物是非常不希望有的,因为它们在其填充有导电材料之后提高了总电阻。
大量的文献描述了氧化硅的气相蚀刻。这些工艺中的大多数基本上是等离子体工艺,其中反应气体被引入到设在处理室中的等离子体源中以产生与氧化硅表面反应并形成挥发性蚀刻副产物的离子和亚稳态物质。在这些方法中的大多数中,氧化硅的蚀刻速度是通过反应气体的流速和组成、等离子体功率、衬底温度或腔室压强来控制的。氧化硅的蚀刻速度随时间的推移几乎是恒定的,因此,这些类型的工艺并不非常适于准确控制待被均匀地且准确地蚀刻的在衬底上所有暴露的表面上的层的量。这些工艺不能用于去除原子级的限定量的材料。
因此,需要用于去除原子级的限定量的材料且具有原子级均匀性和低缺陷率的可靠方法。
发明内容
本文所提供的方法可用在一些工艺中,这些工艺用于例如互连件与触头预清洁应用中制造集成电路、栅极电介质加工、存储器和逻辑设备的制备或其中希望准确去除一个或几个材料原子层的任何其他应用。提供了各向同性地去除控制量的氧化硅和氧化锗以及氧化硅和氧化锗的混合物的工艺。
在一个方面,提供了用于在衬底上可控地蚀刻氧化物层的方法,其中所述氧化物选自氧化硅、氧化锗以及氧化硅和氧化锗的组合所组成的组,其中术语“氧化物”包括未掺杂的和掺杂的氧化物,例如掺杂有硼、碳或磷的氧化硅和氧化锗。在一些实施方式中,所述蚀刻方法在暴露的硅的存在下以原子级精度可控地和选择性地去除这些氧化物。该方法包括:(a)使容纳在处理室中的衬底与含活性氢的物质接触以使衬底上的氧化物表面改性,其中所述含活性氢的物质是含有一个或更多个OH基团的化合物,或者是在氢等离子体中产生的含氢物质;(b)在氧化物的表面被改性后从处理室去除非表面结合的活性氢物质;(c)在(b)之后使无水HF流入处理室,其中所述无水HF与改性的氧化物表面反应并且其中所述反应生成水;以及(d)从衬底表面去除(c)中生成的水。在一些实施方式中,(a)中氧化物表面的改性是将含活性氢的物质吸附在氧化物的表面上。在一些实施方式中,操作(a)-(d)的单个循环去除约0.5-10个氧化物原子层(是指所去除的平均厚度)。在一些实施方式中,在蚀刻过程中重复所述循环并进行操作(a)-(d)至少两次。
在一些实施方式中,HF反应和去除衬底表面的水是在不允许水保持吸附在衬底表面上的温度和压强下同时进行的,因为水是在所述反应中产生的。在一些实施方式中,操作(a)-(d)是在至少约80℃,例如至少约100℃的单个温度下进行的。
在一些实施方式中,所述含活性氢的物质是在当水从氧化物表面上解吸附时的条件下能吸附或保持吸附在氧化物表面上的醇。这样的醇的示例包括具有比水的蒸气压低的蒸气压的醇,或者具有不显著高于水的蒸气压的蒸气压的醇,例如异丙醇、正丙醇、正丁醇、叔丁醇、乙二醇、丙二醇等。在一些实施方式中,操作(a)-(d)是在不允许这些醇从衬底表面上解吸附的温度和压强下进行。
在其他实施方式中,含活性氢的物质是由含氢等离子体形成的,并且所述羟基(OH)键是在当水从氧化物表面解吸附时的条件下通过使衬底与含氢的等离子体接触在氧化物表面上形成的。含氢等离子体是由包含含氢气体的气体形成的,含氢气体如H2、氨、肼、水、氟化氢、氯化氢、硅烷、乙硅烷、甲烷、乙烷、丁烷及其组合。任选地,可将第二气体加入到包含含氢气体的气体中。这样的第二气体的示例包括氧气、一氧化二氮、一氧化氮、二氧化碳、一氧化碳及其组合。任选地,惰性气体(例如氦气、氖气、氩气或其组合)可被加入到包含所述含氢气体的气体中。
在一些实施方式中,含活性氢的物质选自由丙醇、丁醇、丁氧基乙醇、丁二醇、乙二醇、亚甲基二醇、丙二醇、戊醇、羧酸及其组合所组成的组中。在一个具体的实现方式中,使用丁醇。所述醇(例如丁醇)吸附在衬底上,并且然后在除水的同时进行HF处理。
在一些实施方式中,所述含活性氢的物质选自甲醇、乙醇、丙醇、丁醇、丁氧基乙醇、乙二醇、亚甲基二醇、丙二醇、戊醇及其组合组成的组,并且所述操作(a)-(d)是在相同的温度下进行的。所述工艺在该实施方式中优选地被配置成使得水随着其在反应中产生而从氧化物表面去除。
在一些实施方式中,所述含活性氢的物质的特征在于,蒸气压低或不明显高于所选条件下的水的蒸气压,其中所述操作(a)-(d)是在相同的温度下进行的,并且其中水随着其在反应中产生而从氧化物表面去除。对温度进行选择使得所述含活性氢的物质在反应完成前未解吸附,而水从表面解吸附。在该实施方式中,去除的氧化物的量由步骤(a)中表面改性的量来决定,并且HF可以过量引入。
在其他实施方式中,所述含活性氢的物质是甲醇、乙醇、水、水-醇共沸物或具有比水的蒸气压显著更高的蒸气压的含OH物质。甲醇(或上面所列的物质之一)吸附在(a)中的氧化物表面上,并且该工艺被配置成使得所述无水HF以可控的、有限的量提供在(c)中。在该实施方式中,蚀刻的氧化物的量是通过引入的HF的量来控制的。
在一些实施方式中,所述含活性氢的物质是甲醇或乙醇,其在第一温度下吸附在(a)中的氧化物表面,在(d)中通过将衬底的温度升高到比第一温度更高的第二温度而去除水。在该方法的一些实现方式中,在除水之前停止无水HF流入处理室。
在一些实施方式中,水是通过选自以下项组成的组的方法去除的:(i)升高衬底的温度,(ii)降低处理室内的压强,(iii)利用等离子体处理衬底,(iv)利用电子束处理衬底,(iv)利用电磁辐射照射衬底,以及这些方法的组合。
在本发明的可替代的方面,一种用于可控地在衬底上蚀刻氧化层的方法通过将无水HF吸附在衬底上开始。类似于上述的方法,该方法可用于蚀刻氧化硅、氧化锗和这些氧化物的组合。在一些实施方式中,所述蚀刻方法在暴露的硅的存在下可控地且选择性地去除这些氧化物。该方法包括:(a)使容纳在处理室中的衬底与无水HF接触并允许HF吸附在衬底上;(b)从处理室去除非表面结合的HF;(c)利用含活性氢的物质处理衬底以使其与吸附在衬底上的HF反应,其中所述含活性氢的物质是含有一个或更多个OH基团的化合物(例如醇),或者在氢等离子体中产生的含氢物质;以及(d)从衬底表面去除(c)中生成的水。除水的方法可以与上面所述的相同。
在一些实施方式中,本文所述的方法与光刻设备处理一起使用。例如,所述方法可进一步包括向衬底施加光致抗蚀剂;将所述光致抗蚀剂暴露于光;使所述光致抗蚀剂图案化并将图案转印到衬底上;以及选择性地去除衬底的光致抗蚀剂。
在另一个方面,提供了一种用于在衬底上可控地蚀刻氧化物的蚀刻装置。所述装置包括:处理室,其具有用于引入工艺气体的入口;处理室中的衬底支撑件,其被配置为用于在衬底上蚀刻氧化物的过程中保持衬底在适当位置;以及控制器,其包括程序指令,该程序指令用于执行本文所述的任何方法的步骤。例如,所述控制器可包括用于以下操的程序指令:(a)使容纳在处理室中的衬底与含活性氢的物质接触以使衬底上的氧化物表面改性,其中所述含活性氢的物质是含有一个或更多个OH基团的化合物,或者在含氢等离子体中产生的含氢物质,并且其中所述氧化物选自由氧化硅、氧化锗(包括掺杂和未掺杂的氧化物)及其组合所组成的组;(b)在氧化物表面被改性后从处理室去除非表面结合的活性氢物质;(c)在(b)之后使无水HF流入处理室中,其中所述无水HF与改性的氧化物表面反应并且其中所述反应产生水;以及(d)从衬底表面去除(c)中生成的水。所述装置,在一些实施方式中,被配有温度控制器,其允许在衬底快速并可控地改变温度。
在一些实施方式中,提供了一种系统,其中所述系统包括上面所述的装置和步进器(stepper)。
在又一个方面,提供非暂时性计算机机器可读介质以控制本文所提供的设备。所述机器可读介质包括代码,以执行本文所述的任何方法中的任何部分,例如,所述方法包括:(a)使容纳在处理室中的衬底与含活性氢的物质接触以使衬底上的氧化物表面改性,其中所述含活性氢的物质是含有一个或更多个OH基团的化合物,或者在含氢等离子体中产生的含氢物质,并且其中所述氧化物选自由氧化硅、氧化锗及其组合所组成的组;(b)在氧化物表面被改性后从处理室去除非表面结合的活性氢物质;(c)在(b)之后使无水HF流入处理室,其中所述无水HF与改性的氧化物表面反应并且其中所述反应产生水;以及(d)从衬底表面去除(c)中生成的水。
参照附图在下文中将更详细地描述本发明的这些和其他特征和优点。
附图说明
图1是根据本文所提供的实施方式用于蚀刻方法的工艺流程图。
图2A-2D呈现了根据本文提供的实施方式进行蚀刻的衬底的横剖面描述。
图3是根据本文所提供的实施方式用于蚀刻方法的工艺流程图。
图4是举例说明根据本文所呈现的实施方式在蚀刻过程中投配试剂的时序图。
图5是根据一个实施方式适于执行本文所提供的蚀刻反应的装置的示意图。
具体实施方式
本发明的方面涉及从衬底(例如部分制造的集成电路)可控地蚀刻氧化硅和氧化锗(包括掺杂和未掺杂的氧化硅和氧化锗)。虽然发现本发明的方法在半导体衬底的加工中特别有用,但是它们也可以用在其他的应用中。所提供的方法实现准确并各向同性地去除小尺度,例如约150埃或更小尺度的材料。事实上,当希望去除仅几埃或几十埃的层时可以使用它们。本文所提供的原子层蚀刻方法允许通过重复蚀刻循环来控制蚀刻深度,其中每个循环可去除少至仅一个材料原子单层或亚单层。这些方法可以在CVD型或等离子体型装置(例如,在抗蚀剂剥离室中)中进行,并且可以容易地与现有的晶片制造工艺整合。
所述方法可以用于蚀刻氧化硅、氧化锗和这些氧化物的混合物。所述氧化物可以是掺杂的(例如,掺杂有氮、碳、硼、磷等)或不掺杂的。如本文所用的术语“氧化硅”包括各种类型的氧化硅、硅酸盐和基于硅酸盐的玻璃,例如原硅酸四乙酯(TEOS)、硅酸硼玻璃(BSG)、硼磷硅玻璃(BPSG)、高密度等离子体(HDP)CVD氧化物和低于大气压(SA)的CVD氧化物。
原子层去除方法的应用包括但不限于预清洁操作、栅极电介质制造、鳍式氧化物凹部的处理和存储装置(例如动态随机存取存储器(DRAM)和闪存设备)的电容器电介质的处理。所述互连件的原子层蚀刻预清洁可能涉及从硅、活性硅、多晶硅和硅化物接触件去除氧化硅。硅化物接触件包括金属硅化物例如镍和钴的硅化物,三元硅化物例如铂镍硅化物和其他硅化物材料,通常使用在互连件中。活性硅接触件是指通常利用电活性掺杂硅材料形成的电活性接触件。所述方法特别适于在10nm以下水平的设备的制造,并且可用在双栅极晶体管例如FinFET和环绕栅极(GAA)FET的制造中。
所提供的方法允许在暴露的硅、锗或硅锗的存在下选择性蚀刻氧化硅、氧化锗或其组合。选择性蚀刻是指至少5:1的选择率,其中所述比率是指蚀刻速度比。
虽然所提供的方法可用于蚀刻氧化硅和氧化锗,但是将使用氧化硅作为示例举例说明所述方法。应当理解的是,所有的所述原理和实施方式举例说明也适用于氧化锗的蚀刻。
蚀刻可以在具有或不具有凹陷特征(例如通孔、沟槽和接触孔)的衬底上进行,并且可以从衬底上的任何位置(包括场区、凹入特征的侧壁和凹入特征的底部)去除氧化硅。由于蚀刻反应的基于自限吸附的机理,氧化硅可以各向同性地去除,也就是说,从场区、凹入特征的侧壁和底部去除基本上相同量的氧化物,并且去除的氧化物的量基本上独立于凹入特征的深宽比。此外,氧化物可以以高均匀性去除,也就是说,基本上相同量的氧化物可以从半导体晶片衬底的中央和边缘去除。重要的是,应当注意本文提供的方法,不同于依赖NH4F蚀刻剂的那些,不产生固体反应产物,因此导致高深宽比的凹入特征内的低缺陷率和优良的可重复性各向同性蚀刻。
利用HF蚀刻氧化硅的机制
在不存在含活性氢的物质例如水或醇的情形下,无水HF不蚀刻二氧化硅。当无水HF接触氧化硅的表面时,它被吸附在表面上而不蚀刻表面,如公式(1)所示:
当含活性氢的物质例如甲醇被吸附在表面上时,它与所吸附的HF反应以形成HF2 -离子,其是活性蚀刻物质,如公式(2)-(4)所示。所述蚀刻反应产生水和四氟化硅,如反应(4)所示。
SiO2(s)+2HF2 -(ads)+2CH3OH2 +(ads)→SiF4(ads)+2H2O
(ads)+2CH3OH(4)
虽然四氟化硅是容易从衬底表面解吸附的挥发性化合物,但所吸附的水产生许多问题,使可控地蚀刻二氧化硅成为困难的任务。首先,衬底表面上水的存在导致HF2 -形成和蚀刻反应的进展,即使起初提供有限量的含活性氢的物质(例如甲醇)也如此。因此,在所吸附的水的存在下,所述反应将不是自限性的,并且只要HF可用将继续进行。此外,衬底上水的存在导致SiF4形成氧化硅颗粒,如公式5所示。这些颗粒可能导致蚀刻过程中的缺陷和不均匀性以及降低在反应速度方面的重复性。
3SiF4+2H2O→SiO2+2H2SiF6(5)
还重要的是,应当注意的是,如果含活性氢的物质与水一起例如通过加热从衬底表面解吸附时,那么蚀刻反应将停止。因此,在蚀刻反应中生成的水的解吸附和将含活性氢的物质维持在衬底表面上之间存在良好的平衡,至少直到蚀刻所需量的氧化物。
蚀刻方法
图1是举例说明根据本文提供的实施方式蚀刻氧化硅和/或氧化锗的方法的工艺流程图。图2A-2D举例说明进行可控蚀刻的含有氧化硅的衬底的横剖视图的示意图。参照图1,所述工艺于101开始,其中使衬底与含有一个或更多个OH基团的含活性氢的物质接触。所述含活性氢的物质可以是醇(其中术语“醇”包括乙二醇)、羧酸、由含氢等离子体产生的含氢物质,并且在一些实施方式中,可以是水。应当注意的是,氨被排除在合适化合物的列表之外。合适的醇的示例包括甲醇、乙醇、正丙醇、异丙醇、正丁醇、叔丁醇、甲基丁醇、戊醇、亚甲基二醇、乙二醇、丙二醇等等。羧酸的示例包括甲酸、乙酸、丙酸等等。各种含氢物质的混合物也可以使用。由含氢等离子体产生的含氢物质的示例包括含氢离子和自由基。所述含活性氢的物质以气体形式通常被引入到容纳衬底的处理室中,并且可伴随有载气,例如N2、Ar、Ne、He及其组合。由含氢等离子体所产生的物质可以直接通过在容纳衬底的处理室中形成等离子体来产生,或者它们可以在不容纳衬底的处理室中远程产生,并且可供给到容纳衬底的处理室中。
所述含活性氢的物质对衬底上的氧化硅表面进行改性,例如通过吸附在氧化硅上和/或通过对衬底表面上的硅-氧键进行改性。例如,醇或羧酸可化学吸附在氧化硅的表面上。该步骤也称为羟基化,因为它导致在衬底表面上形成OH键。对用于此步骤的工艺条件例如温度和压强进行选择使得发生化学吸附而无需冷凝大量的含氢物质。在一些实施方式中,处理室可被加热以避免较高级的醇在室壁上冷凝。
在含氢物质已吸附氧化硅表面或换言之已对氧化硅表面进行改性之后,在操作103中,从处理室去除含有非表面结合的活性氢的物质。这可以通过吹扫和/或抽空处理室以去除活性物质(例如,醇或酸)来完成,无需去除吸附层。氢等离子体中产生的物质可以通过简单地停止等离子体并允许剩余的物质衰变(任选地与吹扫腔室和/或对其抽真空相结合)而去除。吹扫可使用任何惰性气体(例如N2、Ar、Ne、He及其组合)来完成。
参照图2A,示出了含有氧化硅层的衬底201。羟基化并从处理室去除大量的含活性氢的物质之后,仅表面层203保留在衬底201上,如图2B所示。表面层203可以是例如醇或其他含氢物质的化学吸附层。
接着,参照图1的步骤105,使衬底与无水HF接触。无水HF流入室而含活性氢的物质(例如,醇,或在氢等离子体中产生的物质)并未同时流入,并允许其与改性的氧化硅层发生反应,如图2C所示。在一些实施方式中,对引入到处理室的HF的量没有限制,因为反应将由所吸附的含氢物质限制。在其他实施方式中,HF可以可控的、有限的量来提供,以蚀刻仅目标量的材料。至少在HF投配的第一部分,应维持所述工艺条件,使得含活性氢的物质保持结合到衬底表面上,因为所述含活性氢的物质过早解吸附可导致反应停止。在已经达到所需量的蚀刻之后可以启动所述含活性氢的物质的解吸附。
如操作107所示,将在蚀刻反应生成的水从衬底表面去除。多种方法可用于去除水。例如,水从衬底表面解吸附可以通过利用衬底的足够高的温度来实现。对温度进行选择以引起水解吸附,或不允许水随着其在反应过程中产生而被吸附。在另一个示例中,去除水是通过使用足够低的压强实现的,与适当温度相组合的足够低的压强不允许水保持吸附在衬底表面上或者去除水而不使水随着其产生被吸附。
在一些实施方式中,去除水是通过使衬底温度升高至足以去除水的温度来实现的。在一些实施方式中,HF投配是在足以去除水的温度下进行的。在一些实施方式中,包括利用含活性氢的物质进行处理和HF投配在内的整个蚀刻工艺是在随着水的产生足以将水从衬底表面去除的温度下进行的。在一些实施方式中,去除水是通过使处理室的压强降低至足以去除水来实现的。在一些实施方式中,HF投配是在足以去除水的压强下进行的。在一些实施方式中,去除水包括既升高温度又降低压强以去除水。
在一些实施方式中,利用电磁辐射(例如,UV辐射或微波辐射)、等离子体处理(即电子、离子、自由基暴露)以及电子束处理可将水从表面去除。对所述辐射、等离子体和电子束处理能量进行选择,以不造成对衬底表面的任何损伤,并且不干扰蚀刻的各向同性的性质。因此,能量相对低的电子,例如能量为约5-10eV的电子,被用在一些实施方式中用于电子(等离子体或电子束)处理。
去除水的时序可以变化。去除水可以与HF处理同时进行,可与HF处理重叠一部分时间,或者可以在HF处理之后进行。重要的是,应当注意,如果在开始HF处理时进行水的去除,那么所选择的去除水的方法应当不完全使氧化硅表面失活以进行HF蚀刻。因此,在一些实施方式中,在不导致醇或羧酸从氧化硅表面解吸附这样的条件下进行水的去除。在蚀刻反应过程中产生的四氟化硅是挥发性化合物,其通常与水一起从衬底表面去除或在去除水之前去除,如图2D所示。类似地去除在氧化锗的蚀刻过程中形成的四氟化锗。
由图2D所示的处理过的衬底可以看出,已去除可控量的氧化硅。通过第一个羟化步骤中的表面改性的量(例如,所吸附的醇的量)和/或通过投配到衬底上的HF的量测定所去除的氧化硅的量。重要的是,通过不允许过量的含活性氢的物质和HF同时存在于处理室中实现控制。因此,当醇或羧酸被投配到处理室时,HF不供给到处理室。类似地,当HF被供给到处理室时,醇或羧酸仅存在于衬底表面上并且不供给到处理室中。去除水进一步有助于反应的原子级控制。通常,1个蚀刻循环去除约0.5-10个氧化物原子层,例如约1-5个原子层。在一个实现方式中,在1个蚀刻循环中去除约10埃氧化硅。
接着,在操作109,确定是否需要进一步蚀刻以蚀刻氧化硅的目标厚度。如果在蚀刻的第一个循环中去除的量是足够的,那么蚀刻完成。如果任何反应产物或HF余留在处理室中,那么对处理室吹扫和/或抽真空。任选地,在111通过使衬底与醇接触以去除任何表面结合的氟化物而完成蚀刻序列。
如果,在操作109中确定需要进一步的蚀刻以蚀刻氧化硅的目标厚度,那么重复操作序列。通常,对处理室吹扫或抽真空以去除反应副产物和过量的HF(如果存在的话),并且使衬底表面再次与含活性氢的物质接触,然后去除含非表面结合的活性氢的物质,并且接着进行HF处理和水的去除。可根据需要进行多个循环的处理。在一些实施方式中,进行至少两个循环,例如约2-10个循环。应当注意的是,重复循环中所用的含活性氢的物质在不同的循环中可以相同或不同。例如,在一些实施方式中,在第一个循环中,水可被用作含活性氢的物质,而在随后的循环中也可使用醇。
在一些实施方式中,优选在蚀刻反应过程中随着水在反应中产生而将其去除。通过图3所示的工艺图举例说明该实施方式。在操作301,使衬底与含活性氢的物质(例如,醇、羧酸、在等离子体中产生的含氢物质)接触以对氧化硅表面进行改性。改性(例如,醇的化学吸附)后,在操作303将非表面结合的含活性氢的物质从处理室去除,例如通过吹扫或抽真空去除,并且然后在操作305提供HF以与衬底接触,并且维持条件以随着水在蚀刻反应中产生而将其去除。例如,在HF处理过程中衬底的温度可以足够高,使得水吸附在氧化硅表面上是不可能的,或导致水快速解吸附。在其他实施方式中,维持所述压强和温度使得水解吸附速度足够高,从而将水从表面去除。在还有的其他实施方式中,例如利用UV辐射、微波辐射对衬底进行辐射,或利用足以使水解吸附或防止水吸附的等离子体或电子束处理衬底,同时利用HF处理衬底。随着水在蚀刻反应中产生而原位去除水的优点是水不会进一步催化蚀刻反应。因此,在该实施方式中,对所加入的HF的量的控制较少。在一些实施方式中,甚至可以加入大大过量的HF,并且仍然实现对蚀刻过的氧化硅的量的原子级控制,如果在反应过程中有效并及时去除水的话。接着,在反应完成后,通常对处理室吹扫或抽真空以去除过量的HF(如果存在的话)和反应产物。如果在操作307确定需要进一步的蚀刻,那么重复循环。当不需要进一步的蚀刻时,可以任选地使用醇来处理衬底以去除表面结合的氟化物。
图1和图3所示的工艺可以利用多个预处理和后处理进行改性,以调节衬底表面。在一些实施方式中,在操作101之前,利用干燥的HF处理衬底以去除氧化硅表面上的硅烷醇键。然后对处理室吹扫或抽真空以去除HF。其它的预处理可包括等离子体工艺或湿法清洁工艺以去除表面的污染物,例如碳氢化合物或氢氟碳化合物。
图4示出了显示图1和图3所示工艺的试剂投配的时序图。在第一个时段P1中,在不存在HF的情形下将所述含活性氢的物质供给到处理室中。在一些实施方式中,醇或羧酸在P1过程中流入反应室,并且允许化学吸附在衬底表面上。在其他实施方式中,由含氢等离子体形成的含活性氢的物质在时段P1期间接触衬底。接着,在时段P2期间,含活性氢的物质和HF均不允许进入腔室。在此时段,将未结合在衬底表面上的含活性氢的物质从处理室去除。这可以通过例如利用惰性气体(例如,N2、Ar、He、Ne及其组合)对处理室吹扫和/或抽真空来完成。接着,在时段P3期间,在不存在含活性氢的物质(例如醇)的情形下,使无水HF流入处理室中并使其与衬底的改性表面反应。接着,在时段P4期间,HF和含活性氢的物质均不流入处理室,并且可对处理室吹扫或抽真空以去除处理室中的非表面结合的HF和/或反应产物。在多个实施方式中,去除水可以与HF投配(在HF投配的整个步骤过程中)同时进行,在HF投配的第二部分过程中进行,完成HF投配之后进行,以及使用这些的组合。所述的序列完成一个蚀刻循环,其可控地与各向同性地在衬底表面上蚀刻约0.5-10个氧化硅原子层。接着,如果需要进一步的蚀刻,那么通过在时段P5期间投配含活性氢的物质重复所述工艺,等等。在一些实施方式中,在第一个部分或利用下一个循环的活性氢物质处理的整个时段中,至少部分地去除之前循环中生成的水。例如,在一些实施方式中,在第一个蚀刻循环中产生的表面结合的水在时段P4期间或时段P5的第一个部分期间去除。
蚀刻循环的每个步骤的工艺条件(例如温度和压强)将取决于含活性氢的物质的性质、水去除方法的类型以及水去除时序,并且可以在本文所提供的指导内变化。在大多数示例中,温度范围为约20至约300℃,并且压强范围为约100mTorr至约760Torr。下面所示的示例举例说明所提供的蚀刻方法的几个不同的实施方式。
示例1.在该实现方式中,整个蚀刻循环在不允许水随着其在蚀刻反应中产生而保持吸附的足够高的温度下进行。在一些实施方式中,蚀刻工艺在至少约80℃,例如约80℃-300℃,例如约100℃-300℃的温度下进行。该方法的优点是,温度可以在整个蚀刻循环过程中保持基本恒定。此外,因为水随着其在蚀刻反应过程中产生而被去除,所以HF可过量加入该实施方式中,因为第一个羟基化步骤之后反应将由改性的氧化物表面上含活性氢的物质的量限制。然而,该实施方式并不限于使用过量的HF,并且在一些实现方式中,投配可控的、有限量的HF。
在该示例的一个具体实现方式中,所述工艺利用蒸气压低于或不显著高于(即不超过200%)水的蒸气压的醇或羧酸。这些化合物能够吸附或保持吸附在衬底上,同时水解吸附。这些化合物的示例包括正丁醇、叔丁醇、乙二醇、丙二醇和正丙醇。在第一时段P1,这样的化合物或这样的化合物的混合物流入到处理室中并且被允许吸附在衬底表面上,同时衬底的温度高于水的解吸点。然后,停止流入该化合物,并且在时段P2,对处理室吹扫或抽真空,而基本上不改变温度。接着,在P3,无水HF流入处理室,也不改变温度,使其与改性的氧化物表面发生反应,同时因为温度足够高,所以在该反应中生成的水随着其产生而从表面被去除。接着,在反应完成后,在时段P4,对处理室吹扫和/或抽真空。如果需要的话,可以重复所述循环。在一个示例中,正丁醇或叔丁醇与N2一起在约100℃的温度和约100Torr的压强下被引入到处理室中,并允许化学吸附在衬底上。接着,停止流入丁醇,并且利用N2对处理室吹扫。接着,无水HF被引入到处理室中而不改变温度,并使其能与改性的氧化硅表面层反应。然后利用N2吹扫处理室并将工艺任选地重复1-3次。
在示例1的另一个具体实现方式中,氧化物的表面是通过其与由含氢等离子体形成的含氢物质接触而改性的。在时段P1期间,衬底与直接等离子体(在容纳衬底的相同处理室中产生的等离子体)或含有含活性氢的物质的远程等离子体(在不同室中产生的等离子体)接触以在衬底上的氧化硅表面上形成OH键。多种等离子体都可以使用。通常,等离子体由含有含氢气体(例如,H2、氨、肼、水、氟化氢、氯化氢、硅烷、乙硅烷、甲烷、乙烷、丁烷及其组合)的气体与任选存在的第二气体(例如,氧气、一氧化二氮、一氧化氮、二氧化碳、一氧化碳、水蒸汽及其组合)产生。除了含氢气体之外,所述气体还可以包括惰性气体,例如He、Ar、Ne及其组合。在等离子体处理之后,在时段P2,等离子体的产生停止或等离子体产生的物质停止流入室内,并且等离子体产生的物质在腔室中迅速衰减。接着,无水HF在足以将水随着其在与氧化物的活化表面反应的过程中产生而从衬底表面去除的温度和压强下流入处理室内。
示例2.在该实现方式中,通过电磁辐射(例如,UV辐射)、通过等离子体处理(例如,通过等离子体中形成的电子、自由基和离子)或通过电子束处理将水从衬底表面去除。将水随着其在反应中产生而从衬底表面去除。该实现方式的优点是,不需要不依赖于辐射加热衬底。此外,在该实现方式中,对可以改性氧化物表面的含活性氢的物质的选择比示例1的更广泛。类似于示例1,HF可以过量流入,因为水在反应过程中被去除,并且通过第一步骤中改性过的氧化物的量测定所去除的氧化物的量。在该实现方式中,在时段P1,使含活性氢的物质(水、醇、羧酸或在氢等离子体中产生的物质)与衬底接触并改性氧化物表面。对该步骤中的温度和压强进行选择,使得可发生吸附或其他改性。因为该实施方式不依赖于加热来去除水,所以低级醇,例如甲醇和乙醇,均可使用并且可在相对低的温度例如在约10℃-80℃下吸附。接着,在时段P2,对处理室吹扫和/或抽真空,或者,允许等离子体中产生的含氢物质衰减。在时段P3,引入无水HF,同时通过辐射、等离子体处理或电子束处理将水从晶片去除。
示例3.在该实施方式中,通过将温度升高至足以使水解吸附的温度和/或通过降低压强以实现使水从衬底表面解吸附的条件而将水从衬底表面去除。
例如,可以利用含活性氢的物质在第一温度下处理衬底。在利用含活性氢的物质处理之后的某一时刻,将温度升高至足以使水从衬底表面解吸附的第二温度。例如,如果活性物质是由含氢的等离子体产生的物质,或者如果活性物质是蒸气压低于或者不显著高于水的蒸气压的醇或羧酸,并且第二温度不导致衬底表面脱羟基,则在利用含活性氢的物质处理之后、在去除非表面结合的活性氢物质的过程中或者引入HF的同时可以尽可能早地立即升高温度。如果使用蒸气压显著高于水的醇,例如甲醇,并且因此在第二温度下甲醇将解吸附,延迟升高温度直至HF已被引入并且反应已经开始是更有利的。例如,可以在HF引入期间的后一半的过程中升高温度。在一些实施方式中,在HF流入已停止之后升高温度。在一些实施方式中,升高温度,或者在时段P5的第一部分的过程中(例如,在第二个蚀刻循环中引入甲醇的过程中)维持高温。接着,在时段P5的其余部分降低温度。
在一个示例中,甲醇在时段P1流入处理室中并且允许在第一温度例如在约30℃下化学吸附。接着,在时段P2吹扫处理室以去除非表面结合的甲醇,并且HF在时段P3流入处理室。优选地,在该实施方式中,引入可控的有限量的HF,其中HF的量被限定为蚀刻所需的薄的氧化硅可控层(例如约0.5-10个原子层)所必需的量。可以允许反应进行一段时间,并且然后将温度升高至导致水解吸附的温度,例如约100℃。在一些实施方式中,在时段P2过程中升高温度,同时HF流入处理室。在其他实施方式中,在HF停止流入之后(例如,在时段P5的第一个部分过程中)升高温度。
示例4.在该实现方式中,甲醇和/或酮(例如,丙酮)被用于促进将水从衬底表面去除。在该示例中,任何含活性氢的物质均可用在所述工艺中,但是将蚀刻序列结构化以同时去除水和甲醇和/或酮(例如丙酮),因为除水有利于其存在。甲醇和/或酮可以在去除水之前或去除水的过程中的任何合适的阶段引入。例如,在一个具体的示例中,通过含活性氢的物质使衬底表面羟基化;接着,对处理室吹扫或抽真空,然后引入可控量的HF。在引入HF的过程中或反应完成后,使甲醇和/或酮流入处理室中并调节条件以用于去除水(例如,升高温度和/或降低压强)。在一些实施方式中,温度可以在整个工艺中保持不变,并且对其进行选择使得不导致水解吸附但是导致水/甲醇混合物和/或水/酮混合物解吸附。
示例5(可替代的实施方式).虽然在之前描述的实施方式中,该方法从在衬底上的氧化硅表面的羟基化开始,在可替代的实施方式中,该方法可从无水HF吸附在衬底表面上开始。接着,在HF已被吸附之后,将非表面结合的HF从处理腔室(例如,通过吹扫和/或抽真空)去除,并且使衬底与含活性氢的物质接触。可以使用本文所述的任何含活性氢的物质。所吸附的HF在含活性氢的物质的存在下将与氧化硅表面反应,并且被蚀刻的材料的量将受限于吸附在该表面上的HF的量。将水从处理室去除,如之前的实施方式所述。可将水随着其在蚀刻反应(引入含活性氢的物质的过程中)过程中产生而去除或者在反应完成之后而去除。
装置
本文所述的方法可在多个装置中实施,所述装置配备有被配置为顺序输送气态试剂的输送线和控制机制。合适的处理室的示例包括等离子体蚀刻处理室、各向同性蚀刻处理室和化学气相沉积处理室以及抗蚀剂剥除室。为了避免因使用腐蚀性HF造成损伤,所述装置(至少与HF直接接触的部件)可包括抗HF材料。例如,在一些实施方式中,处理室涂敷有抗HF聚合物,例如乙烯和氯三氟乙烯的共聚物,其被称为在一些实施方式中,所述室被阳极化或镀镍。在一些实施方式中,输送HF的输送线由镍制成。
合适的装置包括处理室,处理室具有在蚀刻过程中用于保持衬底在其位置的衬底支撑、用于引入试剂的入口、通常与用于对处理室抽真空的泵连接的出口以及控制器,控制器具有执行所提供的方法的任何步骤的程序指令。在一些实施方式中,必要时,所述装置配备有被配置为加热或冷却衬底的加热器和/或冷却器。加热器和/或冷却器可被集成到衬底支撑件中。在一些实施方式中,衬底的加热可以使用位于衬底上方的灯来实现,其中所述灯向衬底上辐射热量。所述灯可以用作加热的主要方法,或者除了衬底支架加热之外还可以使用所述灯。在一些实施方式中,衬底支架包括马达并且被配置为在处理过程中旋转衬底。在直接等离子体被用在处理室中(或者用于由含氢等离子体产生含活性氢的物质或者在去除水的过程中用于处理衬底)的那些实施方式中,所述装置配备有RF或微波等离子体发生器。在其中使用远程等离子体(或者用于由含氢等离子体产生含活性氢的物质或在去除水过程中用于处理衬底)的那些实施方式中,所述装置包括其中使用RF或微波等离子体发生器产生远程等离子体的单独腔室。远程等离子体室通过供给管道与容纳衬底的处理室连接并被配置为将远程等离子体中产生的物质输送到衬底表面。在其中电磁辐射例如UV辐射和/或微波辐射用于将水从衬底表面去除的那些实施方式中,所述装置也将包括电磁辐射源,例如UV灯或微波发生器。这些源通常在使用中通过可以传输电磁辐射的特定类型的窗与衬底分开。例如,抗HF、UV透射窗,例如蓝宝石涂覆的石英或整体式石英窗可以替代处理室的顶板,从而允许来自位于窗口上的UV灯的UV辐射传输。
适于实现本文所提供的蚀刻方法的处理室的示例的示意图示于图5中。处理室500包括用于引入气态试剂的入口502,其中所述入口与截流阀或流量控制阀耦合。输送管线504将试剂源506连接至入口502。在一些实施方式中,输送管线504可以包括多个单独的管线,例如无水HF管线和醇输送管线。在一些实施方式中,输送管线与热源连接并且在输送试剂的过程中被加热,以防止试剂在管线内冷凝。试剂源包括无水HF源,并且如果使用醇或羧酸时,则包括醇或羧酸的源。试剂源还可以包括用于吹扫处理室的惰性气体源和用于形成含氢等离子体的含氢气体源。含有输送管线的输送系统将也通常包括用于精确测量允许进入处理室的试剂剂量的一个或更多个流量计。处理室还具有与出口阀和泵510连接的出口508。当出口阀被打开时,过量试剂、反应产物和吹扫气体通过出口离开室。处理室中的压强可以通过控制试剂的流速并且通过从处理室泵出过量的气体而被精确地控制。
衬底512被固定在衬底支架基座516上,其进一步包括被配置为加热衬底的热电卡盘514。在图示的实施方式中,处理室的顶板是任选的透明或半透明窗518,其将处理室与UV灯520分开,所述UV灯520用于利用UV光辐射衬底并将水从衬底表面去除。在其他实施方式中,可以发射辐射热的IR灯被定位在处理室的顶板上,并且用于加热衬底表面。在一些实施方式中,所述装置可以包括UV灯和IR灯或衬底上方的其他热源。控制器522电连接在所述装置上,并且用于控制蚀刻过程的所有阶段。根据本文所述的任何实施方式,控制器522包括程序指令或内置逻辑来执行蚀刻方法。例如,所述控制器可包括指定输送试剂的时序,蚀刻循环的每个阶段过程中的温度和压强以及与衬底辐射相关的参数的代码。
在一些实现方式中,控制器是系统的一部分,该系统可以是上述实例的一部分。这种系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种元件或子部件。根据处理要求和/或系统的类型,控制器可以被编程以控制本文公开的任何工艺,包括控制工艺气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的装载锁。
宽泛地讲,控制器可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)通信到控制器的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定过程的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的用于在制备晶片的一个或多个(种)层、和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实现方式中,控制器可以是与系统集成、耦接或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦接。例如,控制器可以在“云端”或者是fab主机系统的全部或一部分,它们可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机通信到系统。在一些实例中,控制器接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实例可以是与结合以控制室内工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的在室上的一个或多个集成电路。
在非限制性的条件下,示例的系统可以包括等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其他的半导体处理系统。各种系统可以与上述ALE室或模块一起用于一个制造设施中。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器可以与一个或多个其他的工具电路或模块、其他工具组件、组合工具、其他工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
上述设备/过程可以与光刻图案化工具或过程结合使用,例如,用于制备或制造半导体器件、显示器、LED、光伏电池板等。通常,虽然不是必要地,这些工具/过程将在共同的制造设施中一起使用或操作。膜的光刻图案化通常包括以下步骤中的一些或所有,每个步骤启用多个可行的工具:(1)使用旋涂或喷涂工具在工件,即,衬底上涂覆光致抗蚀剂;(2)使用热板或加热炉或紫外线固化工具固化光致抗蚀剂;(3)使用例如晶片步进曝光机之类的工具使光致抗蚀剂暴露于可见光或紫外线或X射线;(4)使抗蚀剂显影以便选择性地去除抗蚀剂并且从而使用例如湿式工作台之类的工具将其图案化;(5)通过使用干式或等离子体辅助蚀刻工具将抗蚀剂图案转移到下方的膜或工件上;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。
应当理解的是,本文所述的示例和实施方式仅用于举例说明性的目的,并且向本领域技术人员提议其各种修改或变化。虽然为了清楚起见省略了各种细节,但是多种设计替代方案均可实现。因此,本发明的示例应被视为是举例说明性的而非限制性的,并且本发明并不受限于本文给出的细节,而是可以在所附权利要求的范围内进行修改。

Claims (21)

1.一种用于可控地在衬底上蚀刻氧化物层的方法,所述方法包括:
(a)使容纳在处理室中的所述衬底与含活性氢的物质接触以使衬底上的氧化物表面改性,其中所述含活性氢的物质是含有一个或更多个OH基团的化合物,或者是氢等离子体中产生的含氢物质,并且其中所述氧化物选自由氧化硅、氧化锗及其组合所组成的组;
(b)在所述氧化物表面被改性后从所述处理室去除非表面结合的活性氢物质;
(c)在(b)之后使无水HF流入所述处理室,其中所述无水HF与改性的所述氧化物表面反应,并且其中所述反应生成水;以及
(d)从所述衬底的表面去除(c)中生成的水。
2.根据权利要求1所述的方法,其中(c)和(d)是同时的,并且是在不允许水随着其在反应中生成而保持吸附在衬底表面上的温度和压强下进行的。
3.根据权利要求1所述的方法,其中所述含活性氢的物质是在当水从氧化物表面上解吸附时的条件下能吸附或保持吸附在所述氧化物表面上的醇。
4.根据权利要求1所述的方法,其中所述含活性氢的物质是由含氢等离子体形成的,并且其中所述羟基(OH)键是在当水从所述氧化物表面解吸附时的条件下通过应用含氢等离子体在所述氧化物表面上形成的。
5.根据权利要求4所述的方法,其中所述含氢等离子体是由包含选自由以下物质所组成的组中的含氢气体的气体形成的:H2、氨、肼、水、氟化氢、氯化氢、硅烷、乙硅烷、甲烷、乙烷、丁烷及其组合。
6.根据权利要求5所述的方法,其中所述含氢等离子体是由包含含氢气体的气体和第二气体形成,所述第二气体选自由水蒸气、氧气、一氧化二氮、一氧化氮、二氧化碳、一氧化碳及其组合所组成的组。
7.根据权利要求1所述的方法,其中所述含活性氢的物质选自由丙醇、丁醇、丁氧基乙醇、丁二醇、乙二醇、亚甲基二醇、丙二醇、戊醇、羧酸及其组合所组成的组。
8.根据权利要求1所述的方法,其中所述含活性氢的物质是丁醇。
9.根据权利要求8所述的方法,其中(c)和(d)是同时进行的,并且水是随着其在反应中生成而被去除的。
10.根据权利要求1所述的方法,其中所述含活性氢的物质选自由甲醇、乙醇、丙醇、丁醇、丁氧基乙醇、乙二醇、亚甲基二醇、丙二醇、戊醇及其组合所组成的组,其中所述操作(a)-(d)是在相同的温度下进行的,并且其中水随着其在反应中产生而被从所述氧化物表面去除。
11.根据权利要求1所述的方法,其中所述含活性氢的物质的特征在于饱和蒸气压低于所选条件的水的饱和蒸气压或与其基本上相同,其中所述操作(a)-(d)是在相同的温度下进行的,并且其中水随着其在反应中产生而被从所述氧化物表面去除。
12.根据权利要求1所述的方法,其中所述含活性氢的物质选自吸附在(a)中的所述氧化物表面的甲醇、乙醇、水和水-醇共沸物所组成的组,并且其中所述无水HF以可控的、有限的量提供在(c)中。
13.根据权利要求1所述的方法,其中所述含活性氢的物质是在第一温度下吸附在(a)中的所述氧化物表面上的甲醇或乙醇,并且其中在(d)中通过升高衬底温度至比所述第一温度高的第二温度而去除水。
14.根据权利要求11所述的方法,其中在(d)之前停止所述无水HF流入所述处理室。
15.根据权利要求1所述的方法,其中(d)包括通过选自以下项所组成的组中的方法去除水:(i)升高所述衬底的温度,(ii)降低所述处理室中的压强,(iii)利用等离子体处理所述衬底,(iv)利用电子束处理所述衬底,(v)利用电磁辐射辐射所述衬底,及其组合。
16.根据权利要求1所述的方法,其中操作(a)-(d)从所述衬底去除0.5-10个氧化物原子层。
17.根据权利要求1所述的方法,其包括执行:包括操作(a)-(d)的至少2个循环。
18.根据权利要求1所述的方法,其中操作(a)-(d)是在至少约80℃的单个温度下进行的。
19.根据权利要求1所述的方法,其进一步包括:
向衬底施加光致抗蚀剂;
将所述光致抗蚀剂暴露于光;
使所述光致抗蚀剂图案化并将图案转印到所述衬底上;
以及选择性地从所述衬底去除所述光致抗蚀剂。
20.一种用于在衬底上可控地蚀刻氧化物的蚀刻装置,该装置包括:
(a)具有用于引入工艺气体的入口的处理室;
(b)被配置为在所述衬底上蚀刻所述氧化物的过程中用于保持所述衬底在适当位置的所述处理室中的衬底支撑件;以及
(d)包含用于以下操作的程序指令的控制器:
(i)使容纳在所述处理室中的所述衬底与含活性氢的物质接触以使衬底上的氧化物表面改性,其中所述含活性氢的物质是含有一个或更多个OH基团的化合物,或者在含氢等离子体中产生的含氢物质,并且其中所述氧化物选自由氧化硅、氧化锗及其组合所组成的组;
(ii)在所述氧化物表面被改性后从所述处理室去除非表面结合的活性氢物质;
(iii)在(ii)之后使无水HF流入所述处理室,其中所述无水HF与改性的所述氧化物表面反应并且其中所述反应产生水;以及
(iv)从所述衬底表面去除在(iii)中生成的水。
21.一种在衬底上可控地蚀刻氧化物层的方法,所述方法包括:
(a)使容纳在处理室中的所述衬底与无水HF接触以使HF层吸附在所述衬底上的所述氧化物层上,其中所述氧化物选自由氧化硅、氧化锗及其组合所组成的组中;
(b)在HF被吸附后从所述处理室去除非表面结合的HF;
(c)使所述衬底与含活性氢的物质接触以与衬底上的HF反应,其中所述含活性氢的物质是含有一个或更多个OH基团的化合物,或者在氢等离子体中产生的含氢物质,并且,其中所述反应生成水;
(d)从衬底表面去除在(c)中生成的水。
CN201511027151.7A 2015-01-05 2015-12-31 氧化硅和氧化锗的各向同性原子层蚀刻 Active CN105762060B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/589,610 US9431268B2 (en) 2015-01-05 2015-01-05 Isotropic atomic layer etch for silicon and germanium oxides
US14/589,610 2015-01-05

Publications (2)

Publication Number Publication Date
CN105762060A true CN105762060A (zh) 2016-07-13
CN105762060B CN105762060B (zh) 2020-05-01

Family

ID=55452978

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201511027151.7A Active CN105762060B (zh) 2015-01-05 2015-12-31 氧化硅和氧化锗的各向同性原子层蚀刻

Country Status (7)

Country Link
US (1) US9431268B2 (zh)
EP (1) EP3041034A1 (zh)
JP (1) JP6742720B2 (zh)
KR (1) KR102598662B1 (zh)
CN (1) CN105762060B (zh)
SG (1) SG10201600021UA (zh)
TW (1) TWI683925B (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109791888A (zh) * 2017-09-15 2019-05-21 关东电化工业株式会社 使用酰基卤的原子层蚀刻
CN110088882A (zh) * 2016-12-14 2019-08-02 马特森技术有限公司 与快速热活化工艺相结合的使用等离子体的原子层刻蚀工艺
CN110114863A (zh) * 2016-12-20 2019-08-09 朗姆研究公司 使用双气室喷头的亚稳态激活的自由基选择性剥离和蚀刻的系统和方法
CN110235227A (zh) * 2017-01-27 2019-09-13 朗姆研究公司 利用原子层控制各向同性蚀刻膜
CN110337709A (zh) * 2017-02-27 2019-10-15 朗姆研究公司 原子层蚀刻中方向性的控制
CN110581067A (zh) * 2018-06-08 2019-12-17 东京毅力科创株式会社 蚀刻方法及蚀刻装置
CN110739204A (zh) * 2018-07-20 2020-01-31 Asm Ip 控股有限公司 用于介电材料的蚀刻的预清洁
CN111994868A (zh) * 2020-08-12 2020-11-27 天津大学 极紫外光与等离子体复合原子尺度加工方法

Families Citing this family (112)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9831097B2 (en) 2015-12-18 2017-11-28 Applied Materials, Inc. Methods for selective etching of a silicon material using HF gas without nitrogen etchants
JP6601257B2 (ja) 2016-02-19 2019-11-06 東京エレクトロン株式会社 基板処理方法
KR20170122910A (ko) * 2016-04-27 2017-11-07 성균관대학교산학협력단 원자층 식각방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6742165B2 (ja) * 2016-06-14 2020-08-19 東京エレクトロン株式会社 窒化珪素膜の処理方法および窒化珪素膜の形成方法
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
CN113506731A (zh) * 2016-10-08 2021-10-15 北京北方华创微电子装备有限公司 一种集成电路的制造工艺
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
WO2018081144A1 (en) 2016-10-24 2018-05-03 Kla-Tencor Corporation Process module(s) integrated into a metrology and/or inspection tool
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) * 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) * 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US9779956B1 (en) * 2017-02-06 2017-10-03 Lam Research Corporation Hydrogen activated atomic layer etching
US10134600B2 (en) 2017-02-06 2018-11-20 Lam Research Corporation Dielectric contact etch
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10079154B1 (en) * 2017-03-20 2018-09-18 Lam Research Corporation Atomic layer etching of silicon nitride
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
JP6796559B2 (ja) * 2017-07-06 2020-12-09 東京エレクトロン株式会社 エッチング方法および残渣除去方法
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP6772117B2 (ja) * 2017-08-23 2020-10-21 株式会社日立ハイテク エッチング方法およびエッチング装置
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US11056358B2 (en) 2017-11-14 2021-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer cleaning apparatus and method
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
KR102655866B1 (ko) 2018-01-31 2024-04-05 램 리써치 코포레이션 정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
WO2019226341A1 (en) 2018-05-25 2019-11-28 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
EP3821457A4 (en) * 2018-07-09 2022-04-13 Lam Research Corporation ETCHING ATOMIC LAYER ETCHING USING ELECTRON EXCITATION
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP6963097B2 (ja) 2019-04-22 2021-11-05 株式会社日立ハイテク プラズマ処理方法
GB2598263B (en) * 2019-11-14 2022-09-21 Memsstar Ltd Method of manufacturing a microstructure
US11024512B1 (en) 2020-03-06 2021-06-01 International Business Machines Corporation Selective etch formulation for silicon oxide
CN113785382B (zh) * 2020-04-10 2023-10-27 株式会社日立高新技术 蚀刻方法
CN114080662A (zh) 2020-06-16 2022-02-22 株式会社日立高新技术 等离子处理装置以及等离子处理方法
JP7174016B2 (ja) 2020-07-16 2022-11-17 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
US20240030037A1 (en) * 2020-09-01 2024-01-25 Adeka Corporation Etching method
US11295960B1 (en) 2021-03-09 2022-04-05 Hitachi High-Tech Corporation Etching method
US20230030436A1 (en) * 2021-07-30 2023-02-02 Applied Materials, Inc. Plasma treatment process to densify oxide layers
KR20230087076A (ko) * 2021-12-09 2023-06-16 서울과학기술대학교 산학협력단 원자층을 증착하는 증착 장치 및 증착 방법
CN116918042A (zh) 2022-02-14 2023-10-20 株式会社日立高新技术 蚀刻处理方法
JP2023184336A (ja) * 2022-06-17 2023-12-28 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5620559A (en) * 1994-03-18 1997-04-15 Fujitsu Limited Hydrogen radical processing
US5880032A (en) * 1995-07-31 1999-03-09 Kabushiki Kaisha Toshiba Method and apparatus for manufacturing a semiconductor device
US7416989B1 (en) * 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
CN103117216A (zh) * 2011-11-17 2013-05-22 中芯国际集成电路制造(上海)有限公司 避免浅沟槽隔离结构产生缺角的半导体器件的制作方法

Family Cites Families (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI119941B (fi) 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
FR207196A (zh) 1969-12-22
US4313782A (en) 1979-11-14 1982-02-02 Rca Corporation Method of manufacturing submicron channel transistors
US4414069A (en) 1982-06-30 1983-11-08 International Business Machines Corporation Negative ion beam selective etching process
US4695327A (en) 1985-06-13 1987-09-22 Purusar Corporation Surface treatment to remove impurities in microrecesses
FR2617333B1 (fr) 1987-06-25 1990-01-05 Straboni Alain Procede d'elimination de zones de nitrure ou d'oxynitrure de silicium
US4756794A (en) 1987-08-31 1988-07-12 The United States Of America As Represented By The Secretary Of The Navy Atomic layer etching
DE68928402T2 (de) 1988-12-27 1998-03-12 Toshiba Kawasaki Kk Verfahren zur Entfernung einer Oxidschicht auf einem Substrat
JPH069195B2 (ja) * 1989-05-06 1994-02-02 大日本スクリーン製造株式会社 基板の表面処理方法
US5268069A (en) 1991-10-28 1993-12-07 International Business Machines Corporation Safe method for etching silicon dioxide
US5234540A (en) 1992-04-30 1993-08-10 Submicron Systems, Inc. Process for etching oxide films in a sealed photochemical reactor
JP3005373B2 (ja) 1992-10-23 2000-01-31 東京エレクトロン株式会社 処理装置
US5282925A (en) 1992-11-09 1994-02-01 International Business Machines Corporation Device and method for accurate etching and removal of thin film
US5922624A (en) * 1993-05-13 1999-07-13 Imec Vzw Method for semiconductor processing using mixtures of HF and carboxylic acid
US5505816A (en) 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
US5439553A (en) 1994-03-30 1995-08-08 Penn State Research Foundation Controlled etching of oxides via gas phase reactions
JPH07335602A (ja) * 1994-06-06 1995-12-22 Dainippon Screen Mfg Co Ltd 基板の表面処理方法及び表面処理装置
US5635102A (en) * 1994-09-28 1997-06-03 Fsi International Highly selective silicon oxide etching method
US5636320A (en) 1995-05-26 1997-06-03 International Business Machines Corporation Sealed chamber with heating lamps provided within transparent tubes
US5792275A (en) 1995-06-06 1998-08-11 International Business Machines Corporation Film removal by chemical transformation and aerosol clean
JPH09102490A (ja) * 1995-07-31 1997-04-15 Toshiba Corp 半導体装置の製造方法及び半導体製造装置
US5685951A (en) 1996-02-15 1997-11-11 Micron Technology, Inc. Methods and etchants for etching oxides of silicon with low selectivity in a vapor phase system
US5963833A (en) 1996-07-03 1999-10-05 Micron Technology, Inc. Method for cleaning semiconductor wafers and
US7052941B2 (en) 2003-06-24 2006-05-30 Sang-Yun Lee Method for making a three-dimensional integrated circuit structure
JPH10154712A (ja) 1996-11-25 1998-06-09 Fujitsu Ltd 半導体装置の製造方法
US5766971A (en) 1996-12-13 1998-06-16 International Business Machines Corporation Oxide strip that improves planarity
US5913140A (en) 1996-12-23 1999-06-15 Lam Research Corporation Method for reduction of plasma charging damage during chemical vapor deposition
US5838055A (en) 1997-05-29 1998-11-17 International Business Machines Corporation Trench sidewall patterned by vapor phase etching
US6074951A (en) 1997-05-29 2000-06-13 International Business Machines Corporation Vapor phase etching of oxide masked by resist or masking material
US5876879A (en) 1997-05-29 1999-03-02 International Business Machines Corporation Oxide layer patterned by vapor phase etching
US6706334B1 (en) 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US5858830A (en) 1997-06-12 1999-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making dual isolation regions for logic and embedded memory devices
US5968279A (en) 1997-06-13 1999-10-19 Mattson Technology, Inc. Method of cleaning wafer substrates
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
JP3283477B2 (ja) 1997-10-27 2002-05-20 松下電器産業株式会社 ドライエッチング方法および半導体装置の製造方法
US6107192A (en) 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6030881A (en) 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6146970A (en) 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6204198B1 (en) 1998-11-24 2001-03-20 Texas Instruments Incorporated Rapid thermal annealing of doped polycrystalline silicon structures formed in a single-wafer cluster tool
US6740247B1 (en) * 1999-02-05 2004-05-25 Massachusetts Institute Of Technology HF vapor phase wafer cleaning and oxide etching
US6265302B1 (en) 1999-07-12 2001-07-24 Chartered Semiconductor Manufacturing Ltd. Partially recessed shallow trench isolation method for fabricating borderless contacts
US6346489B1 (en) 1999-09-02 2002-02-12 Applied Materials, Inc. Precleaning process for metal plug that minimizes damage to low-κ dielectric
US20010016226A1 (en) 1999-12-15 2001-08-23 International Business Machines Corporation Method for preparing the surface of a dielectric
US6335261B1 (en) 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
US6483154B1 (en) 2000-10-05 2002-11-19 Advanced Micro Devices, Inc. Nitrogen oxide plasma treatment for reduced nickel silicide bridging
US6573181B1 (en) 2000-10-26 2003-06-03 Applied Materials, Inc. Method of forming contact structures using nitrogen trifluoride preclean etch process and a titanium chemical vapor deposition step
US6926843B2 (en) 2000-11-30 2005-08-09 International Business Machines Corporation Etching of hard masks
US6652713B2 (en) 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
US7513971B2 (en) 2002-03-18 2009-04-07 Applied Materials, Inc. Flat style coil for improved precision etch uniformity
TWI291721B (en) * 2002-06-23 2007-12-21 Asml Us Inc Method and system for atomic layer removal and atomic layer exchange
TWI278532B (en) 2002-06-23 2007-04-11 Asml Us Inc Method for energy-assisted atomic layer deposition and removal
US6803309B2 (en) 2002-07-03 2004-10-12 Taiwan Semiconductor Manufacturing Co., Ltd Method for depositing an adhesion/barrier layer to improve adhesion and contact resistance
US6817776B2 (en) 2002-11-19 2004-11-16 International Business Machines Corporation Method of bonding optical fibers and optical fiber assembly
US6774000B2 (en) 2002-11-20 2004-08-10 International Business Machines Corporation Method of manufacture of MOSFET device with in-situ doped, raised source and drain structures
US6858532B2 (en) 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
US6992011B2 (en) 2003-01-15 2006-01-31 Tokyo Electron Limited Method and apparatus for removing material from chamber and wafer surfaces by high temperature hydrogen-containing plasma
WO2004073850A1 (en) 2003-02-14 2004-09-02 Tokyo Electron Limited Gas feeding apparatus
US7079760B2 (en) 2003-03-17 2006-07-18 Tokyo Electron Limited Processing system and method for thermally treating a substrate
US7214274B2 (en) 2003-03-17 2007-05-08 Tokyo Electron Limited Method and apparatus for thermally insulating adjacent temperature controlled processing chambers
US7029536B2 (en) 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US6951821B2 (en) 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US6790733B1 (en) 2003-03-28 2004-09-14 International Business Machines Corporation Preserving TEOS hard mask using COR for raised source-drain including removable/disposable spacer
KR100498494B1 (ko) 2003-04-08 2005-07-01 삼성전자주식회사 회전 이동 방식의 원격 플라즈마 강화 세정 장치
US6882025B2 (en) 2003-04-25 2005-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Strained-channel transistor and methods of manufacture
US6693050B1 (en) 2003-05-06 2004-02-17 Applied Materials Inc. Gapfill process using a combination of spin-on-glass deposition and chemical vapor deposition techniques
US6716691B1 (en) 2003-06-25 2004-04-06 Sharp Laboratories Of America, Inc. Self-aligned shallow trench isolation process having improved polysilicon gate thickness control
KR100512939B1 (ko) 2003-07-10 2005-09-07 삼성전자주식회사 트렌치 소자분리 방법
KR100583637B1 (ko) 2003-08-19 2006-05-26 삼성전자주식회사 반도체 소자의 텅스텐 콘택 형성 방법 및 텅스텐 콘택형성 장비
US20050070120A1 (en) 2003-08-28 2005-03-31 International Sematech Methods and devices for an insulated dielectric interface between high-k material and silicon
US6967167B2 (en) 2003-09-30 2005-11-22 International Business Machines Corporation Silicon dioxide removing method
US7205233B2 (en) 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
US20050218113A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for adjusting a chemical oxide removal process using partial pressure
JP2005166700A (ja) 2003-11-28 2005-06-23 Toshiba Corp 半導体装置及びその製造方法
US6949481B1 (en) 2003-12-09 2005-09-27 Fasl, Llc Process for fabrication of spacer layer with reduced hydrogen content in semiconductor device
US7098116B2 (en) 2004-01-08 2006-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Shallow trench isolation method for reducing oxide thickness variations at different pattern densities
US6852584B1 (en) 2004-01-14 2005-02-08 Tokyo Electron Limited Method of trimming a gate electrode structure
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20060051966A1 (en) 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
KR20050110751A (ko) 2004-05-19 2005-11-23 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 형성 방법
KR100599437B1 (ko) 2004-06-30 2006-07-12 주식회사 하이닉스반도체 반도체소자의 소자분리 방법
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
WO2008088300A2 (en) * 2005-03-08 2008-07-24 Primaxx, Inc. Selective etching of oxides from substrates
JP2006261451A (ja) * 2005-03-17 2006-09-28 Sony Corp エッチング方法
WO2006118271A1 (ja) 2005-04-28 2006-11-09 Phyzchemix Corporation エッチング方法、低誘電率誘電体膜の製造方法、多孔性部材の製造方法並びにエッチング装置及び薄膜作製装置
KR100746223B1 (ko) 2005-09-09 2007-08-03 삼성전자주식회사 반도체소자의 트렌치 소자분리 방법
US20070063277A1 (en) 2005-09-22 2007-03-22 International Business Machines Corporation Multiple low and high k gate oxides on single gate for lower miller capacitance and improved drive current
US7435661B2 (en) 2006-01-27 2008-10-14 Atmel Corporation Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation
JP2007258266A (ja) 2006-03-20 2007-10-04 Fujitsu Ltd 半導体装置の製造方法
JP2008210909A (ja) 2007-02-26 2008-09-11 Toshiba Corp 半導体装置の製造方法
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
US20080233709A1 (en) 2007-03-22 2008-09-25 Infineon Technologies North America Corp. Method for removing material from a semiconductor
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5620559A (en) * 1994-03-18 1997-04-15 Fujitsu Limited Hydrogen radical processing
US5880032A (en) * 1995-07-31 1999-03-09 Kabushiki Kaisha Toshiba Method and apparatus for manufacturing a semiconductor device
US7416989B1 (en) * 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
CN103117216A (zh) * 2011-11-17 2013-05-22 中芯国际集成电路制造(上海)有限公司 避免浅沟槽隔离结构产生缺角的半导体器件的制作方法

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110088882A (zh) * 2016-12-14 2019-08-02 马特森技术有限公司 与快速热活化工艺相结合的使用等离子体的原子层刻蚀工艺
CN110114863A (zh) * 2016-12-20 2019-08-09 朗姆研究公司 使用双气室喷头的亚稳态激活的自由基选择性剥离和蚀刻的系统和方法
CN110114863B (zh) * 2016-12-20 2024-04-16 朗姆研究公司 使用双气室喷头的亚稳态激活的自由基选择性剥离和蚀刻的系统和方法
CN110235227A (zh) * 2017-01-27 2019-09-13 朗姆研究公司 利用原子层控制各向同性蚀刻膜
CN110337709A (zh) * 2017-02-27 2019-10-15 朗姆研究公司 原子层蚀刻中方向性的控制
CN110337709B (zh) * 2017-02-27 2024-05-28 朗姆研究公司 原子层蚀刻中方向性的控制
CN109791888A (zh) * 2017-09-15 2019-05-21 关东电化工业株式会社 使用酰基卤的原子层蚀刻
CN110581067B (zh) * 2018-06-08 2023-11-21 东京毅力科创株式会社 蚀刻方法及蚀刻装置
CN110581067A (zh) * 2018-06-08 2019-12-17 东京毅力科创株式会社 蚀刻方法及蚀刻装置
CN110739204A (zh) * 2018-07-20 2020-01-31 Asm Ip 控股有限公司 用于介电材料的蚀刻的预清洁
CN110739204B (zh) * 2018-07-20 2023-08-29 Asm Ip 控股有限公司 用于介电材料的蚀刻的预清洁
US11600465B2 (en) 2020-08-12 2023-03-07 Tianjin University Atomic-scale processing method by combining extreme ultraviolet light and plasma
CN111994868A (zh) * 2020-08-12 2020-11-27 天津大学 极紫外光与等离子体复合原子尺度加工方法

Also Published As

Publication number Publication date
SG10201600021UA (en) 2016-08-30
JP2016129227A (ja) 2016-07-14
TW201700778A (zh) 2017-01-01
KR20160084313A (ko) 2016-07-13
TWI683925B (zh) 2020-02-01
KR102598662B1 (ko) 2023-11-03
JP6742720B2 (ja) 2020-08-19
US20160196984A1 (en) 2016-07-07
EP3041034A1 (en) 2016-07-06
CN105762060B (zh) 2020-05-01
US9431268B2 (en) 2016-08-30

Similar Documents

Publication Publication Date Title
CN105762060A (zh) 氧化硅和氧化锗的各向同性原子层蚀刻
CN105762072B (zh) 使用no活化的用于硅氧化物的各向同性原子层蚀刻
CN105762073B (zh) 用于各向异性钨蚀刻的方法和装置
KR102430939B1 (ko) 반도체 디바이스 제조시 고품질 실리콘 옥사이드 막들의 저온 형성
CN100595891C (zh) 用于低k刻蚀后的无损灰化工艺和系统
CN105390389A (zh) 高深宽比结构中的触点清洁
CN105719949A (zh) 选择性氮化物蚀刻
CN102955381B (zh) 用于改善的器件集成的光刻胶剥离方法
KR101046506B1 (ko) 이머전 리소그라피에서 패턴 붕괴를 방지하기 위한 플라즈마 표면처리
CN105556643A (zh) 用于利用循环蚀刻工艺对蚀刻停止层进行蚀刻的方法
US11315787B2 (en) Multiple spacer patterning schemes
TW201535513A (zh) 介電常數減少且機械性質強化的低k介電層
CN108630578A (zh) 超高选择性的氮化物蚀刻以形成FinFET器件
US9514935B2 (en) Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus, and program
CN105374676A (zh) 低k电介质膜的形成
CN108701599A (zh) 基板处理方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant