KR102598662B1 - 실리콘 옥사이드 및 게르마늄 옥사이드에 대한 등방성 원자층 에칭 - Google Patents

실리콘 옥사이드 및 게르마늄 옥사이드에 대한 등방성 원자층 에칭 Download PDF

Info

Publication number
KR102598662B1
KR102598662B1 KR1020160000420A KR20160000420A KR102598662B1 KR 102598662 B1 KR102598662 B1 KR 102598662B1 KR 1020160000420 A KR1020160000420 A KR 1020160000420A KR 20160000420 A KR20160000420 A KR 20160000420A KR 102598662 B1 KR102598662 B1 KR 102598662B1
Authority
KR
South Korea
Prior art keywords
substrate
oxide
active hydrogen
water
containing species
Prior art date
Application number
KR1020160000420A
Other languages
English (en)
Other versions
KR20160084313A (ko
Inventor
토르스텐 릴
3세 이반 엘. 베리
메이화 쉔
앨런 엠. 쉡
앨런 엠. šv
데이비드 제이. 햄커
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20160084313A publication Critical patent/KR20160084313A/ko
Application granted granted Critical
Publication of KR102598662B1 publication Critical patent/KR102598662B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02343Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Weting (AREA)
  • Silicon Compounds (AREA)

Abstract

원자 스케일 정확도로 실리콘 옥사이드층 및 게르마늄 옥사이드층의 제어된 등방성 에칭을 위한 방법들이 제공된다. 방법들은, 반응시 생성된 물의 제거에 중점을 두면서, 옥사이드의 활성화된 표면과 무수 HF의 반응을 사용한다. 특정한 실시예들에서, 옥사이드 표면은 OH-함유종 (예를 들어, 알코올) 을 흡착함으로써 또는 수소-함유 플라즈마를 사용하여 OH 결합들을 형성함으로써 먼저 개질된다. 이어서 반응이 진행됨에 따라, 또는 반응 동안 또는 반응 후 임의의 시간에 반응시 생성된 물이 기판의 표면으로부터 제거되는 동안, 활성화된 옥사이드는 별도로 도입된 무수 HF에 의해 에칭된다. 이들 방법들은 상호접속 사전-세정 애플리케이션들, 게이트 유전체 프로세싱, 메모리 디바이스들의 제조, 또는 재료의 하나 또는 복수의 원자층들의 정확한 제거가 목표되는 임의의 다른 애플리케이션들에서 사용될 수도 있다.

Description

실리콘 산화물 및 게르마늄 산화물에 대한 등방성 원자층 에칭{ISOTROPIC ATOMIC LAYER ETCH FOR SILICON AND GERMANIUM OXIDES}
본 발명은 기판 상의 재료의 층들을 제거하는 방법에 관한 것이다. 방법들은 원자 스케일 정확도로 반도체 기판 상의 실리콘 이산화물 및 게르마늄 이산화물의 정확한 등방성 에칭에 특히 유용하다.
최신 소형화 레벨의 집적 회로 (IC) 디바이스들의 제조는 원자 스케일로 동작할 수 있는 기법들을 필요로 한다. 현재 IC 디바이스들의 특정한 컴포넌트들은, 단지 몇개의 재료의 원자층들에 대응하는 수십 옹스트롬의 치수들을 갖는다. 예를 들어, 최신 IC 트랜지스터들 내의 게이트 유전체는 단지 4개의 이산화실리콘의 원자층들에 대응하는 겨우 12 Å의 두께를 가질 수 있다. 종종 단지 몇 개의 재료의 원자층들의 증착 또는 제거를 수반할 수 있는, 이들의 치수들을 변경함으로써 이들 컴포넌트들의 전자 특성들을 미세-튜닝하는 것이 바람직하다. ALD (atomic layer deposition) 및 원자-스케일 에피택셜 성장 기법들이 개발되었지만, 하나 또는 몇개의 원자층들의 제어된 제거를 위한 방법들은 여전히 제한된다.
실리콘 산화물들, 이산화실리콘 및 이의 탄소-도핑된, 붕소-도핑된, 그리고 인-도핑된 변형들은 IC 디바이스들에서 사용된 중요한 유전체 재료들이다. 실리콘 산화물들은 벌크 유전체층들 내의 절연체로서, 트랜지스터들 내의 게이트 유전체로서, 그리고 DRAM (dynamic random-access memory) 과 같은 메모리 디바이스들 내의 캐패시터 유전체로서 기능한다. 실리콘 산화물은 또한 부분적으로 제조된 웨이퍼가 공기에 노출될 때 실리콘층들 상에 의도치 않게 형성된다. 천연 (native) 산화물로 공지된, 이 타입의 실리콘 산화물은 실리콘층 상에 박막을 형성한다. 에칭 및/또는 애싱 동안 생성된 산화물 잔여물을 갖는 천연 산화물막은 다른 프로세싱 단계들 동안 문제를 빈번하게 나타낸다. 천연 산화물 및 다른 산화물들은 도전성 재료들로 충진된 후 비아의 전체 전기 저항을 상승시키기 때문에, 실리콘으로 덮인 (landed) 비아 또는 콘택트 홀의 하단부에 형성될 때 매우 바람직하지 않다.
실리콘 산화물들의 가스 상 에칭을 기술하는 많은 문헌들이 있다. 이들 프로세스들 대부분은 본질적으로, 실리콘 산화물 표면과 반응하는 이온 종 및 준안정 종을 생성하고 휘발성 에칭 부산물들을 형성하도록 반응물질 가스들이 프로세스 챔버 내에 위치된 플라즈마 소스 내로 도입되는, 플라즈마 프로세스이다. 이들 프로세스들 대부분에서, 실리콘 산화물의 에칭 레이트는 반응물질 가스들의 플로우 레이트 및 조성, 플라즈마 전력, 기판 온도, 또는 챔버 압력에 의해 제어된다. 실리콘 산화물의 에칭 레이트는 시간에 따라 거의 일정하고, 이와 같이, 이들 타입들의 프로세스들은 기판 상의 모든 노출된 표면에 걸쳐 균일하고 정밀하게 에칭될 층의 양을 정확하게 제어하기에 상당히 적합하지 않다. 이들 프로세스들은 원자 스케일의 재료의 규정된 양의 제거에 적용될 수 없다.
따라서 원자-스케일 균일도 및 저 결함성을 갖는 원자 스케일의 재료의 규정된 양의 제거를 위한 신뢰할 수 있는 방법이 필요하다.
본 명세서에 제공된 방법들은 상호접속부 및 콘택트 사전-세정 애플리케이션들, 게이트 유전체 프로세싱, 메모리 및 로직 디바이스들의 제작, 또는 하나 또는 여러 층의 재료의 원자층의 정밀한 제거가 목표되는 임의의 다른 애플리케이션들에서와 같은 집적 회로를 제작하기 위한 프로세스들에서 사용될 수도 있다. 제어된 양의 실리콘 산화물 및 게르마늄 산화물, 뿐만 아니라 실리콘 산화물 및 게르마늄 산화물의 혼합물들의 등방성 제거를 위한 프로세스들이 제공된다.
일 양태에서, 기판 상의 산화물층을 제어가능하게 에칭하는 방법이 제공되고, 산화물은 실리콘 산화물, 게르마늄 산화물, 및 실리콘 산화물과 게르마늄 산화물의 조합으로 구성된 그룹으로부터 선택되고, 용어 "산화물"은 도핑되지 않은 산화물과 붕소, 탄소 또는 인으로 도핑된 실리콘 산화물 및 게르마늄 산화물과 같은 도핑된 산화물들을 포함한다. 일부 실시예들에서, 에칭 방법은 원자 스케일의 정확도로 노출된 실리콘의 존재시 이들 산화물들을 제어가능하고 선택적으로 제거한다. 방법은, (a) 기판 상의 산화물의 표면을 개질하기 위해 활성 수소-함유 종과 프로세스 챔버 내에 하우징된 기판을 콘택트하는 단계로서, 활성 수소-함유 종은 하나 이상의 OH기들을 함유하는 화합물, 또는 수소 플라즈마 내에서 생성된 수소-함유 종인, 활성 수소-함유 종과 기판을 콘택트하는 단계; (b) 산화물의 표면이 개질된 후 프로세스 챔버로부터 표면 결합되지 않은 활성 수소 종을 제거하는 단계; 및 (c) 단계 (b) 후에 무수 HF를 프로세스 챔버 내로 흘리는 단계로서, 무수 HF는 산화물의 개질된 표면과 반응하고 반응은 물을 생성하는, 무수 HF를 프로세스 챔버 내로 흘리는 단계; 및 (d) 단계 (c) 에서 생성된 물을 기판의 표면으로부터 제거하는 단계를 포함한다. 일부 실시예들에서, 단계 (a) 에서의 산화물의 표면의 개질은 산화물의 표면 상의 활성 수소-함유 종의 흡착이다. 일부 실시예들에서, 단계들 (a) 내지 (d) 의 단일 사이클은 약 0.5 내지 10개의 산화물의 원자층들 (제거된 평균 두께를 참조) 을 제거한다. 일부 실시예들에서, 사이클은 반복되고 단계들 (a) 내지 (d) 는 에칭 동안 적어도 2회 수행된다.
일부 실시예들에서, HF 반응 및 기판의 표면으로부터 물의 제거는, 물이 반응에서 생성될 때, 물이 기판 표면에 흡착된 채로 남아 있지 못하게 하는 온도 및 압력 하에서 동시에 수행된다. 일부 실시예들에서, 단계들 (a) 내지 (d) 는 적어도 약 80 ℃, 예를 들어, 적어도 약 100 ℃의 단일 온도에서 수행된다.
일부 실시예들에서, 활성 수소-함유 종은 물이 산화물의 표면으로부터 탈착되는 조건들 하에서 산화물의 표면에 흡착하거나 흡착된 채로 남아 있을 수 있는 알코올이다. 이러한 알코올들의 예들은 물의 증기압보다 보다 낮은 증기압을 갖는 알코올들 또는 이소프로판올, n-프로판올, n-부탄올, tert-부탄올, 에틸렌 글리콜, 프로필렌 글리콜, 등과 같은 물의 증기압보다 상당히 높지 않은 증기압을 갖는 알코올들 을 포함한다. 일부 실시예들에서, 단계들 (a) 내지 (d) 는 이들 알코올들이 기판의 표면으로부터 탈착되지 않게 하는, 온도 및 압력에서 수행된다.
다른 실시예들에서, 활성 수소-함유 종은 수소-함유 플라즈마로부터 형성되고, 물이 산화물의 표면으로부터 탈착되는 조건들 하에서 수소 함유 플라즈마와 기판을 콘택트시킴으로써 산화물의 표면 상에 히드록실 (OH) 결합들이 형성된다. 수소-함유 플라즈마는 H2, 암모니아, 하이드라진, 물, 불화 수소, 염화 수소, 실란, 디실란, 메탄, 에탄, 부탄, 및 이들의 조합들과 같은 수소 함유 가스를 포함하는 가스로부터 형성된다. 선택가능하게, 제 2 가스는 수소-함유 가스를 포함하는 가스에 추가될 수 있다. 이러한 제 2 가스의 예들은 산소, 아산화질소, 일산화질소, 이산화탄소, 일산화탄소 및 이들의 조합들을 포함한다. 선택가능하게, 불활성 가스 (예를 들어, 헬륨, 네온, 아르곤, 또는 이들의 조합들) 가 수소 함유 가스를 포함하는 가스에 추가될 수도 있다.
일부 실시예들에서, 활성 수소-함유 종은 프로판올, 부탄올, 부톡시에탄올, 부탄디올, 에틸렌 글리콜, 메틸렌 글리콜, 프로필렌 글리콜, 아밀 알코올, 카르복시산, 및 이들의 조합들로 구성된 그룹으로부터 선택된다. 일 구체적인 구현예에서, 부탄올이 사용된다. 알코올 (예컨대 부탄올) 은 기판 상에 흡착하고, 이어서 HF 처리가 물 제거와 동시에 수행된다.
일부 실시예들에서, 활성 수소-함유 종은 메탄올, 에탄올, 프로판올, 부탄올, 부톡시에탄올, 에틸렌 글리콜, 메틸렌 글리콜, 프로필렌 글리콜, 아밀 알코올 및 이들의 조합들로 구성된 그룹으로부터 선택되고, 단계들 (a) 내지 (d) 는 동일한 온도에서 수행된다. 프로세스는, 물이 반응시 생성될 때 물이 산화물의 표면으로부터 제거되도록 이 실시예에서 바람직하게 구성된다.
일부 실시예들에서, 활성 수소-함유 종은 선택된 조건들에 대해 물의 증기압보다 보다 낮거나 실질적으로 물의 증기압 이하인 증기압을 특징으로 하고, 단계들 (a) 내지 (d) 는 동일한 온도에서 수행되고, 물은 반응시 생성될 때 산화물의 표면으로부터 제거된다. 온도는, 물이 표면으로부터 탈착하는 동안, 반응이 완료되기 전에 활성 수소-함유 종이 탈착되지 않도록 선택된다. 이 실시예에서, 제거된 산화물의 양은 단계 (a) 에서의 표면 개질량에 의해 결정되고, HF는 과도하게 도입될 수 있다.
다른 실시예들에서, 활성 수소-함유 종은 물의 증기압보다 실질적으로 높은 증기압을 갖는 메탄올, 에탄올, 물, 물-알코올 공비혼합물 또는 OH-함유 종이다. 메탄올 (또는 상기 열거된 종 중 하나) 은 단계 (a) 에서 산화물의 표면에 흡착하고, 프로세스는 단계 (c) 에서 제어되고 제한된 양으로 무수 HF가 제공되도록, 구성된다. 이 실시예에서, 에칭된 산화물의 양은 도입된 HF의 양에 의해 제어된다.
일부 실시예들에서, 활성 수소-함유 종은 단계 (a) 에서 제 1 온도에서 산화물의 표면에 흡착하는 메탄올 또는 에탄올이고, 물은 단계 (d) 에서 기판의 온도를 제 1 온도보다 보다 높은 제 2 온도로 상승시킴으로써 제거된다. 이 방법의 일부 구현예들에서 프로세스 챔버 내로의 무수 HF의 플로우는 물이 제거되기 전에 중단된다.
일부 실시예들에서, 물은 (i) 기판의 온도를 상승시키는 방법, (ii) 프로세스 챔버 내의 압력을 하강시키는 방법, (iii) 플라즈마를 사용하여 기판을 처리하는 방법, (iv) 전자 빔을 사용하여 기판을 처리하는 방법, (v) 전자기 방사선을 기판에 조사하는 방법, 및 이들의 조합들로 구성된 그룹으로부터 선택된 방법에 의해 제거된다.
본 발명의 대안적인 양태에서, 기판 상의 산화물층을 제어가능하게 에칭하기 위한 방법은 기판에 무수 HF를 흡착시킴으로써 시작된다. 기술된 방법들과 유사하게, 이 방법은 실리콘 산화물, 게르마늄 산화물 및 이들 산화물들의 조합들을 에칭하기 위해 사용될 수 있다. 일부 실시예들에서, 에칭 방법은 노출된 실리콘의 존재시 이들 산화물들을 제어가능하고 선택적으로 제거한다. 방법은: (a) 프로세스 챔버 내에 하우징된 기판을 무수 HF와 콘택트하고 HF로 하여금 기판 상에 흡착하게 하는 단계; (b) 프로세스 챔버로부터 표면 결합되지 않은 HF를 제거하는 단계; (c) 기판 상에 흡착된 HF와 활성 수소-함유 종이 반응하도록 활성 수소-함유 종으로 기판을 처리하는 단계로서, 활성 수소-함유 종은 하나 이상의 OH기들 (예를 들어, 알코올) 을 함유하는 화합물, 또는 수소 플라즈마에서 생성된 수소-함유 종인, 활성 수소-함유종으로 기판을 처리하는 단계; 및 (d) 단계 (c) 에서 생성된 물을 기판의 표면으로부터 제거하는 단계를 포함한다. 물 제거 방법은 기술된 바와 동일할 수 있다.
일부 실시예들에서, 본 명세서에 기술된 방법들은 포토리소그래픽 디바이스 프로세싱과 함께 사용된다. 예를 들어, 방법들은 기판에 포토레지스트를 도포하는 단계; 포토레지스트를 광에 노출시키는 단계; 포토레지스트를 패터닝하고 패턴을 기판으로 전사하는 단계; 및 기판으로부터 포토레지스트를 선택적으로 제거하는 단계를 더 수반할 수도 있다.
또 다른 양태에서, 기판 상의 산화물을 제어가능하게 에칭하기 위한 에칭 장치가 제공된다. 장치는, 프로세스 가스들의 도입을 위한 유입부를 갖는 프로세스 챔버; 기판 상의 산화물의 에칭 동안 기판을 제자리에 홀딩하기 위해 구성된, 프로세스 챔버 내의 기판 지지부; 및 본 명세서에 기술된 임의의 방법들의 단계들을 수행하기 위한 프로그램 인스트럭션들을 포함하는 제어기를 포함한다. 예를 들어, 제어기는, (a) 기판 상의 산화물의 표면을 개질하기 위해 활성 수소-함유 종과 프로세스 챔버 내에 하우징된 기판을 콘택트하기 위한 인스트럭션으로서, 활성 수소-함유 종은 하나 이상의 OH기들을 함유하는 화합물, 또는 수소 함유 플라즈마 내에서 생성된 수소-함유 종이고, 산화물은 (도핑된 산화물들 또는 도핑되지 않은 산화물들을 포함하는) 실리콘 산화물, 게르마늄 산화물, 및 이들의 조합으로 구성된 그룹으로부터 선택되는, 활성 수소-함유 종과 기판을 콘택트하기 위한 인스트럭션; (b) 산화물의 표면이 개질된 후 프로세스 챔버로부터 표면 결합되지 않은 활성 수소 종을 제거하기 위한 인스트럭션; 및 (c) 인스트럭션 (b) 후에 무수 HF를 프로세스 챔버 내로 흘리는 단계로서, 무수 HF는 산화물의 개질된 표면과 반응하고 반응은 물을 생성하는, 무수 HF를 프로세스 챔버 내로 흘리기 위한 인스트럭션; 및 (d) 인스트럭션 (c) 에서 생성된 물을 기판의 표면으로부터 제거하기 위한 인스트럭션을 포함하는, 프로그램 인스트럭션들을 포함할 수도 있다. 일부 실시예들에서, 장치는 기판의 온도에 있어서 신속하고 제어된 변화들을 가능하게 하는 온도 제어기를 구비한다.
일부 실시예들에서, 상기 기술된 장치 및 스텝퍼를 포함하는 시스템이 제공된다.
또 다른 양태에서, 본 명세서에 제공된 장치를 제어하도록 비일시적인 컴퓨터 머신-판독가능 매체가 제공된다. 머신-판독가능 매체는 본 명세서에 기술된 임의의 방법들을 수행하기 위한 코드들을 포함하고, 예컨대 방법은: (a) 기판 상의 산화물의 표면을 개질하기 위해 프로세스 챔버 내에 하우징된 기판을 활성 수소-함유 종과 콘택트하는 단계로서, 활성 수소-함유 종은 하나 이상의 OH기들을 포함하는 화합물, 또는 수소 함유 플라즈마에서 생성된 수소-함유 종이고, 산화물은 실리콘 산화물, 게르마늄 산화물 및 이들의 조합들로 구성된 그룹으로부터 선택되는, 기판과 활성 수소-함유 종을 콘택트하는 단계; (b) 산화물의 표면이 개질된 후 프로세스 챔버로부터 표면 결합되지 않은 활성 수소 종을 제거하는 단계; (c) 단계 (b) 후에 무수 HF를 프로세스 챔버 내로 흘리는 단계로서, 무수 HF는 산화물의 개질된 표면과 반응하고 반응은 물을 생성하는, 무수 HF를 프로세스 챔버 내로 흘리는 단계; 및 (d) 단계 (c) 에서 생성된 물을 기판의 표면으로부터 제거하는 단계를 포함한다.
본 발명의 이들 및 다른 특징들 및 장점들은 연관된 도면을을 참조하여 이하에 보다 상세히 기술될 것이다.
도 1은 본 명세서에 제공된 실시예에 따른 에칭 방법을 위한 프로세스 흐름도이다.
도 2a 내지 도 2d는 본 명세서에 제공된 실시예에 따른 에칭을 받는 기판의 단면 도면을 제공한다.
도 3은 본 명세서에 제공된 실시예에 따른 에칭 방법을 위한 프로세스 흐름도이다.
도 4는 본 명세서에 제공된 실시예에 따른 에칭 동안 시약들의 도즈를 예시하는 타이밍도이다.
도 5는 일 실시예에 따른, 본 명세서에 제공된 에칭 반응들을 수행하기에 적합한 장치의 개략적인 도면이다.
본 발명의 양태들은 부분적으로 제조된 집적 회로들과 같은, 기판들로부터 실리콘 산화물, 및 게르마늄 산화물 (도핑되거나 도핑되지 않은 실리콘 산화물 및 게르마늄 산화물을 포함) 의 제어된 에칭을 지향한다. 본 발명의 방법들이 반도체 기판들의 프로세싱시 특정한 용도를 발견하였지만, 이 용도는 다른 애플리케이션들에 또한 채용될 수 있다. 제공된 방법들은 예를 들어, 약 150 Å 이하의 스케일의 작은 스케일들의 재료의 정확하고 등방성인 제거를 달성한다. 사실, 이 방법들은 단지 수 옹스트롬 또는 수십 옹스트롬의 층들의 제거가 목표될 때 채용될 수 있다. 본 명세서에 제공된 원자층 에층 방법들은 에칭 사이클들을 반복함으로써 에칭의 깊이의 제어를 가능하게 하고, 에칭 사이클 각각은 겨우 재료의 원자 모노레이어 또는 서브모노레이어 만큼 작게 제거할 수도 있다. 이들 방법들은 CVD-타입 또는 플라즈마-타입 장치 내 (예를 들어, 레지스트 스트립 챔버 내) 에서 수행될 수 있고, 기존의 웨이퍼 제작 프로세스들과 용이하게 통합될 수 있다.
방법들은 실리콘 산화물, 게르마늄 산화물 및 이들 산화물들의 혼합물들을 에칭하기 위해 사용될 수 있다. 산화물들은 (예를 들어, 질소, 탄소, 붕소, 인, 등으로) 도핑되거나 도핑되지 않을 수 있다. 본 명세서에 사용된 바와 같은 용어 "실리콘 산화물"은 TEOS (tetraethylorthosilicate), BSG (borosilicate glass), BPSG (borophosphosilicate glass), HDP (high density plasma) CVD 산화물, 및 SA (subatmospheric) CVD 산화물과 같은 다양한 타입들의 실리콘 산화물들, 실리케이트들, 및 실리케이트계 유리들을 포함한다.
원자층 제거 방법들의 애플리케이션들은 이로 제한되는 것은 아니지만, 사전-세정 동작들, 게이트 유전체 제조, 핀 산화물 리세스들의 프로세싱, 및 DRAM 및 플래시 메모리 디바이스들과 같은 메모리 디바이스들의 캐패시터 유전체의 프로세싱을 포함한다. 상호접속부들의 원자층 에칭 사전-세정은 실리콘, 활성 실리콘, 폴리실리콘, 및 실리사이드 콘택트들로부터 실리콘 산화물의 제거를 수반할 수도 있다. 실리사이드 콘택트들은, 니켈 실리사이드 및 코발트 실리사이드와 같은 금속 실리사이드들, 백금 니켈 실리사이드들과 같은 3가 실리사이드들 및 일반적으로 상호접속부들에 사용되는 다른 실리사이드 재료들을 포함한다. 활성 실리콘 콘택트들은 통상적으로 전기적으로 활성인 도핑된 실리콘 재료를 사용하여 형성되는 전기적으로 활성인 콘택트들을 지칭한다. 방법들은 10 ㎚-이하 레벨의 디바이스들의 제조에 특히 적합하고, FinFET들 및 GAA (gate-all-around) FET들과 같은 더블-게이트 트랜지스터들의 제조에 사용될 수 있다.
제공된 방법들은 노출된 실리콘, 게르마늄 또는 실리콘 게르마늄의 존재시, 실리콘 산화물, 게르마늄 산화물 또는 이들의 조합들의 선택적인 에칭을 가능하게 한다. 선택적인 에칭은 에칭 레이트비를 지칭하는, 적어도 5:1의 선택도를 참조한다.
제공된 방법들이 실리콘 산화물 및 게르마늄 산화물 양자를 에칭하도록 사용될 수 있지만, 방법들은 예로서 실리콘 산화물을 사용하여 예시될 것이다. 모든 기술된 원리들 및 실시예 예시들은 또한 게르마늄 산화물의 에칭에 적용된다는 것이 이해된다.
(비아들, 트렌치들, 및 콘택트 홀들과 같은) 리세스된 피처들을 갖거나 갖지 않는 기판들 상에서 에칭이 수행될 수 있고, 실리콘 산화물은 필드 영역, 리세스된 피처의 측벽들 및 리세스된 피처의 하단부를 포함하는 기판 상의 임의의 위치로부터 제거될 수 있다. 에칭 반응의 자기-제한 흡착-기반 메커니즘으로 인해, 실리콘 산화물은 등방성으로 제거될 수 있고, 즉 실질적으로 동일한 양의 산화물이 필드 영역, 리세스된 피처의 측벽 및 하단부에서 제거되고, 제거된 산화물의 양은 리세스된 피처의 종횡비에 실질적으로 무관하다. 또한, 산화물은 고균일도로 제거될 수 있고, 즉, 실질적으로 동일한 양의 산화물이 반도체 웨이퍼 기판의 중심 및 에지로부터 제거될 수 있다. 본 명세서에 제공된 방법들은, NH4F 에천트에 의존하는 방법들과 달리, 고체 반응 생성물들을 생성하지 않고, 따라서 고종횡비 리세스된 피처들 내에서 우수한 반복가능 등방성 에칭 및 저 결함성을 발생시킨다는 것을 주지하는 것이 중요하다.
HF를 사용하는 실리콘 산화물의 에칭 메커니즘
무수 HF는 물 또는 알코올과 같이 활성 수소-함유 종이 없으면 이산화실리콘을 에칭하지 않는다. 무수 HF는 실리콘 산화물의 표면에 콘택트할 때, 반응식 (1)에 나타낸 바와 같이 표면을 에칭하지 않고 표면에 흡착된다:
HF(가스) ⇔ HF(흡착됨) (1)
메탄올과 같은 활성 수소-함유 종은 표면에 흡착될 때, 반응식들 (2) 내지 (4) 로 나타낸 바와 같이, 활성 에칭 종인 HF2 - 이온을 형성하기 위해 흡착된 HF와 반응한다. 에칭 반응은 반응식 (4) 로 나타낸 바와 같이 물 및 실리콘 테트라플루오라이드를 생성한다.
CH3OH(가스) ⇔ CH3OH(흡착됨) (2)
CH3OH(흡착됨) + HF(흡착됨) ⇔ HF2 -(흡착됨) + CH3OH2 +(흡착됨) (3)
SiO2(고체) + 2HF2 -(흡착됨) + 2CH3OH2 +(흡착됨) → SiF4(흡착됨) +2H2O(흡착됨) + 2CH3OH (4)
실리콘 테트라플루오라이드는 기판의 표면으로부터 용이하게 탈착되는 휘발성 화합물이지만, 흡착된 물은 이산화실리콘의 제어된 에칭을 어려운 태스크가 되게 하는 다수의 문제들을 생성한다. 먼저, 기판의 표면 상의 물의 존재는, 본래 활성인 수소 함유 종 (예컨대 메탄올) 이 제한된 양으로 제공되더라도, HF2 - 형성 및 에칭 반응의 진전을 야기한다. 따라서, 흡착된 물의 존재시, 반응은 자기-제한되지 않을 수도 있고 HF가 가용한 이상 진행할 것이다. 또한, 기판 상의 물의 존재는 반응식 (5) 에 나타낸 바와 같이, SiF4로 하여금 실리콘 산화물 입자들을 형성하게 한다. 이들 입자들은 에칭 동안 결함들 및 불균일성뿐만 아니라 반응 레이트들의 보다 낮은 반복성을 야기할 수도 있다.
3SiF4 + 2H2O → SiO2 + 2H2SiF6 (5)
예를 들어, 가열에 의해, 활성 수소-함유 종이 물과 함께 기판의 표면으로부터 탈착된다면, 에칭 반응은 정지할 것이라는 것을 주지하는 것이 또한 중요하다. 따라서, 적어도 목표된 양의 산화물이 에칭될 때까지 에칭 반응시 생성된 물을 탈착하는 것과 기판의 표면 상에 활성 수소-함유 종을 유지하는 것 사이에 정교한 밸런싱이 있어야 한다.
에칭 방법들
도 1은 본 명세서에 제공된 실시예들에 따른, 실리콘 산화물 및/또는 게르마늄 산화물에 대한 에칭 방법을 예시하는 프로세스 흐름도이다. 도 2a 내지 도 2d는 제어된 에칭을 받는 실리콘 산화물 함유 기판의 단면도들의 개략적 표현이다. 도 1을 참조하면, 프로세스는 하나 이상의 OH기들을 함유하는 활성 수소-함유 종과 기판을 콘택트함으로써 101에서 시작된다. 활성 수소 함유 종은 알코올 (용어 "알코올"은 글리콜들을 포함함), 카르복시산, 수소-함유 플라즈마로부터 생성된 수소-함유 종, 및 일부 실시예들에서, 물일 수 있다. 암모니아는 적합한 화합물들의 리스트로부터 배제된다는 것을 주의한다. 적합한 알코올들의 예들은 메탄올, 에탄올, n-프로판올, 이소프로판올, n-부탄올, tert-부탄올, 메틸부탄올들, 아밀 알코올, 메틸렌 글리콜, 에틸렌 글리콜, 프로필렌 글리콜, 등을 포함한다. 카르복시산들의 예들은 포름산, 아세트산, 프로피온산 등을 포함한다. 다양한 수소-함유 종의 혼합물들이 또한 사용될 수 있다. 수소-함유 플라즈마로부터 생성된 수소-함유 종의 예들은 수소-함유 이온들 및 라디칼들을 포함한다. 활성 수소-함유 종은 통상적으로 가스 형태로 기판을 하우징하는 프로세스 챔버 내로 도입되고, N2, Ar, Ne, He, 및 이들의 조합들과 같은 캐리어 가스에 동반될 수도 있다. 수소-함유 플라즈마로부터 생성된 종은 기판을 하우징하는 프로세스 챔버 내에서 플라즈마를 형성함으로써 직접적으로 생성될 수 있고 또는 기판을 하우징하지 않는 프로세스 챔버 내에서 원격으로 생성될 수 있고, 기판을 하우징하는 프로세스 챔버 내로 공급될 수 있다.
활성 수소-함유 종은 예를 들어, 실리콘 산화물에 흡착함으로써 및/또는 기판의 표면 상의 실리콘-산소 결합들을 개질함으로써 기판 상의 실리콘 산화물 표면을 개질한다. 예를 들어 알코올 또는 카르복시산은 실리콘 산화물의 표면에 화학흡착할 수 있다. 이 단계는 또한 기판의 표면 상의 OH 결합들의 형성을 발생시키기 때문에 히드록실화로 지칭된다. 이 단계를 위한 온도 및 압력과 같은, 프로세스 조건들은 화학흡착이 대량의 수소-함유 종을 응결하지 않고 발생하도록 선택된다. 일부 실시예들에서, 프로세스 챔버는 챔버의 벽들 상의 보다 많은 알코올들의 응결을 방지하도록 가열될 수도 있다.
수소-함유 종이 흡착된 후 그렇지 않으면 실리콘 산화물의 표면이 개질된 후, 동작 103에서 표면 결합되지 않은 활성 수소 함유 종이 프로세스 챔버로부터 제거된다. 이는 흡착된 층을 제거하지 않고, 활성 종 (예를 들어, 알코올 또는 산) 을 제거하기 위해 프로세스 챔버를 퍼지하고/하거나 배기함으로써 이루어질 수 있다. 수소 플라즈마 내에 생성된 종은 단순히 플라즈마를 정지시키고, 선택가능하게 챔버의 퍼지 및/또는 배기와 조합하여 남아 있는 종이 감퇴 (decay) 되게 함으로써 제거될 수 있다. 퍼지는 N2, Ar, Ne, He 및 이들의 조합들과 같은 임의의 불활성 가스를 사용하여 이루어질 수 있다.
도 2a를 참조하면, 실리콘 산화물층을 포함하는 기판 (201) 이 도시된다. 히드록실화 및 프로세스 챔버로부터 대량의 활성 수소-함유 종의 제거 후, 도 2b에 도시된 바와 같이, 표면층 (203) 만이 기판 (201) 상에 남는다. 표면층 (203) 은 예를 들어 알코올 또는 다른 수소-함유 종의 화학흡착된 층일 수도 있다.
다음에, 도 1의 단계 105를 참조하면, 기판은 무수 HF와 콘택트한다. 무수 HF는 활성 수소-함유 종 (예를 들어, 알코올, 또는 수소 플라즈마 내에 생성된 종) 을 동시에 흘리지 않고 챔버 내로 흐르고 도 2c에 도시된 바와 같이, 개질된 실리콘 산화물층과 반응하게 된다. 일부 실시예들에서, 반응이 흡착된 수소-함유 종에 의해 제한될 것이기 때문에, 프로세스 챔버 내로 도입된 HF의 양은 제한되지 않는다. 다른 실시예들에서, HF는 예컨대 목표된 양의 재료만을 에칭하도록, 제어되고 제한된 양으로 제공될 수도 있다. 적어도 HF 도즈의 제 1 부분에서, 프로세스 조건들은 활성 수소-함유 종의 너무 이른 탈착이 반응이 정지하게 할 수도 있기 때문에, 활성 수소-함유 종이 기판의 표면에 결합된 채로 남도록 유지되어야 한다. 활성 수소-함유 종의 탈착은 목표된 양의 에칭이 발생한 뒤 개시될 수도 있다.
단계 107에 나타낸 바와 같이, 에칭 반응시 생성된 물은 기판의 표면으로부터 제거된다. 물을 제거하기 위해 다양한 방법들이 사용될 수 있다. 예를 들어, 기판의 표면으로부터 물 탈착은 충분히 고온의 기판을 사용함으로써 달성될 수 있다. 온도는 물 탈착을 유발하거나, 반응 동안 물이 생성될 때 물이 흡착하지 않게 하도록 선택된다. 또 다른 예에서, 물 제거는 적절한 온도와 조합하여, 물이 기판의 표면 상에 흡착된 채로 있게 하지 않거나 물이 생성될 때 물이 흡착되게 하지 않으면서 물을 제거하는 충분히 저압을 사용하여 달성된다.
일부 실시예들에서, 물 제거는 물을 제거하기에 충분한 온도로 기판의 온도를 상승시킴으로써 달성된다. 일부 실시예들에서, HF 도즈는 물을 제거하기에 충분한 온도에서 수행된다. 일부 실시예들에서, 활성 수소-함유 종을 사용한 처리 및 HF 도즈를 포함하는, 전체적인 에칭 프로세스는 물이 생성될 때 기판의 표면으로부터 물을 제거하기에 충분한 온도로 수행된다. 일부 실시예들에서, 물 제거는 물을 제거하기에 충분한 압력으로 프로세스 챔버 내의 압력을 하강시킴으로써 달성된다. 일부 실시예들에서, HF 도즈는 물을 제거하기에 충분한 압력으로 수행된다. 일부 실시예들에서, 물 제거는 물을 제거하기 위해 온도를 상승시키는 것 및 압력을 하강시키는 것 양자를 포함한다.
일부 실시예들에서, 물은 전자기 방사선 (예를 들어, UV 방사선 또는 마이크로파 방사선), 플라즈마 처리 (즉, 전자, 이온 및 라디칼 노출) 뿐만 아니라 전자빔 처리를 사용하여 표면으로부터 제거될 수도 있다. 방사선, 플라즈마 및 전자빔 처리 에너지는 기판의 표면에 어떠한 대미지도 유발하지 않고 에칭의 등방성 성질을 간섭하지 않도록 선택된다. 따라서, 일부 실시예들에서, 약 5 내지 10 eV의 에너지를 갖는 전자들과 같은 상대적으로 저에너지 전자들이 전자 (플라즈마 또는 전자빔) 처리를 위해 사용된다.
물 제거 타이밍은 가변할 수 있다. 물의 제거는 HF 처리와 동시에 수행될 수 있고, 시간의 일부 동안 HF 처리와 오버랩할 수도 있고, 또는 HF 처리 후에 수행될 수도 있다. 물의 제거가 HF 처리의 시작시 수행되면, 선택된 물 제거 방법은 HF 에칭에 대해 실리콘 산화물의 표면을 완전히 비활성화할 수 없다는 것을 주지하는 것이 중요하다. 따라서, 일부 실시예들에서, 물의 제거는 실리콘 산화물의 표면으로부터 알코올 또는 카르복시산의 탈착을 발생시키지 않는 조건들 하에서 수행된다. 에칭 반응 동안 생성된 실리콘 테트라플루오라이드는 통상적으로 도 2d에 도시된 바와 같이, 물을 사용하여 또는 물이 제거되기 전에 기판의 표면으로부터 제거되는 휘발성 화합물이다. 게르마늄 산화물의 에칭 동안 형성된 게르마늄 테트라플루오라이드는 유사하게 제거된다.
이는 제어된 양의 실리콘 산화물이 제거된 도 2d에 도시된 프로세싱된 기판에서 알 수 있다. 제거된 실리콘 산화물의 양은 제 1 히드록실화 단계에서의 표면 개질량 (예를 들어, 흡착된 알코올의 양) 에 의해 및/또는 기판 상에 도즈된 HF의 양에 의해 결정된다. 중요하게, 제어는 프로세스 챔버 내에 동시에 존재할 활성 수소 함유 종 및 HF의 과잉을 허용하지 않음으로써 달성된다. 따라서, 알코올 또는 카르복시산이 프로세스 챔버에 도즈될 때, HF는 프로세스 챔버로 공급되지 않는다. 유사하게, HF가 프로세스 챔버에 공급될 때, 알코올 또는 카르복시산은 기판의 표면 상에만 존재하고 프로세스 챔버로 공급되지 않는다. 물의 제거는 반응의 원자-스케일 제어에 더 기여한다. 통상적으로 1 에칭 사이클은 약 0.5 내지 10개의 산화물의 원자층들, 예컨대 1 내지 5개의 원자층들을 제거한다. 일 구현예에서, 약 10 Å의 실리콘 산화물이 에칭의 1 사이클에서 제거된다.
다음에, 동작 109에서, 실리콘 산화물의 목표 두께를 에칭하기 위해 추가 에칭이 요구되는지 결정된다. 에칭의 제 1 사이클에서 제거된 양이 충분하면, 에칭은 완료된다. 임의의 반응 생성물들 또는 HF가 챔버 내에 남아 있다면 프로세스 챔버는 퍼지 및/또는 배기된다. 선택가능하게 에칭 시퀀스는 임의의 표면 결합된 불화물을 제거하기 위해 알코올과 기판을 콘택트함으로써 111에서 완료된다.
단계 109에서, 실리콘 산화물의 목표된 두께를 에칭하기 위해 추가 에칭이 필요하다고 결정되면, 동작들의 시퀀스가 반복된다. 통상적으로, 프로세스 챔버는 반응 부산물들 및 (존재한다면) 과잉 HF를 제거하도록 퍼지되거나 배기되고, 기판의 표면은 다시 활성 수소-함유 종과 콘택트하고, 그리고 HF 처리 및 물 제거가 이어진다. 프로세스는 필요에 따라 많은 사이클들로 수행될 수 있다. 일부 실시예들에서, 적어도 2 사이클, 예컨대 약 2 내지 10 사이클들이 수행된다. 반복되는 사이클들에서 사용된 활성 수소 함유 종은 상이한 사이클들에서와 동일하거나 상이할 수도 있다는 것을 주의한다. 예를 들어, 일부 실시예들에서, 제 1 사이클에서 물이 활성 수소-함유 종으로서 사용될 수도 있지만, 이어지는 사이클들에서 알코올이 채용될 수도 있다.
일부 실시예들에서, 반응시 물이 생성될 때, 에칭 반응 동안 물을 제거하는 것이 바람직하다. 이 실시예는 도 3에 도시된 프로세스도에 예시된다. 단계 301에서, 기판은 실리콘 산화물을 개질하기 위해 활성 수소-함유 종 (예를 들어, 알코올, 카르복시산, 플라즈마 내에 생성된 수소-함유 종) 과 콘택트한다. 개질 (예를 들어, 알코올의 화학흡착) 후에, 표면 결합되지 않은 활성 수소-함유 종은, 예를 들어, 단계 303에서 퍼지 및 배기에 의해 프로세스 챔버로부터 제거되고, 이어서 HF는 단계 305에서 기판과 콘택트하도록 제공되고, 물이 에칭 반응에서 생성될 때 물을 제거하기 위한 조건들이 유지된다. 예를 들어, HF 처리 동안 기판의 온도는, 실리콘 산화물의 표면으로의 물의 흡착이 불가능하도록 또는 물의 신속한 탈착을 유발하도록 충분히 높을 수도 있다. 다른 실시예들에서, 압력 및 온도는 표면으로부터 물을 제거하기 위해 물 탈착 레이트가 충분히 높도록 유지된다. 또 다른 실시예들에서, 기판은 기판이 HF로 처리되는 동안, 예를 들어, UV 방사선, 마이크로파 방사선으로 조사되거나, 또는 물을 탈착시키거나 물이 흡착하는 것을 방지하기에 충분한 플라즈마 또는 전자빔을 사용하여 처리된다. 물이 에칭 반응에서 생성될 때 물을 인시추 제거하는 것의 장점은 물이 에칭 반응을 더 촉진시키지 않을 것이라는 것이다. 따라서, 이 실시예에서 추가된 HF의 양에 대한 제어가 거의 필요하지 않다. 일부 실시예들에서, 물이 반응 동안 효율적으로 그리고 시의적절하게 제거된다면, 과도하게 HF를 첨가하고, 에칭된 실리콘 산화물의 양에 대한 원자-스케일 제어를 계속해서 달성하는 것도 가능하다. 다음에, 반응이 완료된 후, 프로세스 챔버는 통상적으로 (존재한다면) 과잉 HF 및 반응 생성물들을 제거하기 위해 퍼지되거나 배기된다. 단계 307에서 추가 에칭이 필요하다고 결정되면, 사이클은 반복된다. 추가 에칭이 필요하지 않을 때, 기판은 표면-결합된 불화물을 제거하기 위해 알코올을 사용하여 선택가능하게 처리될 수도 있다.
도 1 및 도 3에 도시된 프로세스들은 기판의 표면을 컨디셔닝하기 위해 다양한 전처리들 및 후처리들을 사용하여 수정될 수 있다. 일부 실시예들에서, 단계 101 전에, 기판은 실리콘 산화물의 표면 상의 실라놀 결합들을 제거하기 위해 건식 HF를 사용하여 처리된다. 이어서 프로세스 챔버는 HF를 제거하기 위해 퍼지되거나 배기된다. 다른 전처리들은 탄화수소들 또는 수소화불화탄소 (hydro-fluorocarbon) 와 같은 표면 오염물들을 제거하기 위한 플라즈마 프로세스 또는 습식 세정 프로세스를 포함할 수도 있다.
도 4는 도 1 및 도 3에 도시된 프로세스들을 위한 시약들의 도즈를 도시하는 타이밍도를 예시한다. 제 1 기간 P1에서, 활성 수소-함유 종은 HF의 부재시 프로세스 챔버로 공급된다. 일부 실시예들에서, 알코올, 또는 카르복시산이 P1 동안 반응 챔버 내로 흐르고 기판의 표면 상에 화학흡착하게 된다. 다른 실시예들에서, 수소-함유 플라즈마로부터 형성된 활성 수소-함유 종은 P1 동안 기판에 콘택트한다. 다음에, 기간 P2에서, 활성 수소-함유 종, 또는 HF 어느 것도 챔버 내로 수용되지 않는다. 이 기간 동안, 기판의 표면에 결합되지 않은 활성 수소-함유 종은 프로세스 챔버로부터 제거된다. 이는 예를 들어, 불활성 가스 (예를 들어, N2, Ar, He, Ne, 및 이들의 조합들) 를 사용하여 프로세스 챔버를 퍼지하고/하거나 배기함으로써 달성될 수 있다. 다음에, 기간 P3에서, 무수 HF는 활성 수소-함유 종 (예컨대 알코올) 의 부재시 프로세스 챔버 내로 흐르고, 기판의 개질된 표면과 반응하게 된다. 다음에, 기간 P4에서, HF 또는 활성 수소-함유 종 어느 것도 프로세스 챔버 내로 흐르지 않고, 프로세스 챔버는 프로세스 챔버 내의 표면 결합되지 않은 HF 및/또는 반응 생성물들을 제거하기 위해 퍼지되거나 배기될 수도 있다. 다양한 실시예들에서, 물 제거는 HF 도즈와 동시에 (HF 도즈의 전체 단계 동안), HF 도즈의 제 1 부분 동안, HF 도즈가 완료된 후, 및 이들의 조합을 사용하여 수행될 수 있다. 기술된 시퀀스는 기판의 표면 상의 약 0.5 내지 10개의 실리콘 산화물의 원자층들을 제어가능하고 등방성으로 에칭할 수 있는 에칭의 1 사이클을 완료한다. 다음에, 추가 에칭이 필요하다면, 프로세스는 기간 P5에서 활성 수소-함유 종을 도즈함으로써 반복되고 계속된다. 일부 실시예들에서, 이전 사이클에서 생성된 물의 제거가 다음 사이클의 활성 수소 종을 사용한 처리의 전체 기간 동안 또는 제 1 부분 동안, 적어도 부분적으로 수행된다. 예를 들어, 일부 실시예들에서, 제 1 에칭 사이클에서 생성된 표면 결합된 물은 기간 P4 동안 또는 기간 P5의 제 1 부분 동안 제거된다.
에칭 사이클의 단계들 각각에 대한 온도 및 압력과 같은 프로세스 조건들은 활성 수소-함유 종의 특성, 물 제거 방법의 타입, 및 물 제거의 타이밍에 따를 것이고, 본 명세서에 제공된 가이드라인들 내에서 가변될 수 있다. 대부분의 예들에서, 온도는 약 20 내지 약 300 ℃의 범위이고, 압력은 약 100 mTorr 내지 약 760 torr의 범위이다. 이하에 도시된 예들은 제공된 에칭 방법들의 몇몇 상이한 실시예들을 예시한다.
예 1. 이 구현예에서, 전체 에칭 사이클은 물이 에칭 반응시 생성될 때, 물이 흡착된 채로 내버려두지 않는 충분히 고온으로 수행된다. 일부 실시예들에서, 에칭 프로세스는 적어도 약 80 ℃, 예컨대 약 80 ℃ 내지 300 ℃, 예를 들어, 약 100 ℃ 내지 300 ℃의 온도로 수행된다. 이 방법의 장점은 온도가 전체 에칭 사이클 동안 실질적으로 일정하게 유지될 수 있다는 것이다. 게다가, 물이 에칭 반응 동안 생성될 때 물이 제거되기 때문에, 제 1 수산화 단계 후에 산화물의 개질된 표면 상의 활성 수소-함유 종의 양에 의해 반응이 제한될 것이기 때문에 이 실시예에서 HF는 과도하게 첨가될 수 있다. 그러나, 이 실시예는 과도한 양의 HF를 사용하는 것으로 제한되지 않고, 일부 구현예들에서, 제어되고, 제한된 양의 HF가 도즈된다.
이 예의 일 구체적인 구현예에서, 프로세스는 물의 증기압보다 보다 낮거나 충분히 더 높지 않은 (즉, 200 % 이하) 증기압을 갖는 알코올들 또는 카르복시산들을 사용한다. 이들 화합물들은 물이 탈착되는 동안 기판에 흡착할 수 있거나 흡착된 채로 남을 수 있다. 이들 화합물들의 예들은 n-부탄올, tert-부탄올, 에틸렌 글리콜, 프로필렌 글리콜, 및 n-프로판올을 포함한다. 제 1 기간, P1에서, 이러한 화합물 또는 이러한 화합물들의 혼합물은 프로세스 챔버 내로 흐르고 기판의 온도가 물 탈착 온도 이상인 동안 기판의 표면에 흡착하게 된다. 이어서, 화합물의 플로우가 정지되고, 기간 P2에서 프로세스 챔버는 온도를 실질적으로 변화시키지 않고 퍼지되거나 배기된다. 다음에, P3에서 무수 HF는 프로세스 챔버 내로 흐르고, 다시 온도를 변화시키지 않고, 이 반응에서 생성된 물이 생성될 때 표면으로부터 제거되는 동안, 온도가 충분히 높기 때문에 산화물의 개질된 표면과 반응하게 된다. 다음에, 반응이 완료된 후, 기간 P4에서 프로세스 챔버는 퍼지되고 및/또는 배기된다. 필요하다면, 사이클은 반복될 수 있다. 일 예에서, n-부탄올 또는 tert-부탄올은 약 100 ℃의 온도 및 약 100 Torr의 압력에서 N2와 함께 프로세스 챔버 내로 도입되고, 기판 상에 화학흡착하게 된다. 다음에, 부탄올의 플로우가 정지되고 프로세스 챔버는 N2를 사용하여 퍼지된다. 다음에, 무수 HF가 온도를 변화시키지 않고 프로세스 챔버 내로 도입되고 개질된 실리콘 산화물의 표면층과 반응하게 된다. 이어서 프로세스 챔버는 N2를 사용하여 퍼지되고 프로세스는 1 내지 3회 선택가능하게 반복된다.
예 1의 또 다른 구체적인 구현예에서, 산화물의 표면은 수소-함유 플라즈마로부터 형성된 수소-함유 종과 콘택트함으로써 개질된다. 기간 P1에서, 기판은, 기판 상의 실리콘 산화물의 표면 상에 OH 결합들을 형성하기 위해 활성 수소-함유 종을 함유하는, 직접 플라즈마 (기판을 하우징하는 프로세스 챔버와 동일한 프로세스 챔버에서 생성된 플라즈마) 또는 리모트 플라즈마 (상이한 챔버 내에서 생성된 플라즈마) 와 콘택트한다. 다양한 플라즈마들이 사용될 수 있다. 통상적으로 플라즈마는 선택가능한 제 2 가스 (예를 들어, 산소, 아산화질소, 일산화질소, 이산화탄소, 일산화탄소, 수증기 및 이들의 조합들) 의 존재와 함께 수소-함유 가스 (예를 들어, H2, 암모니아, 하이드라진, 물, 불화 수소, 염화 수소, 실란, 디실란, 메탄, 에탄, 부탄 및 이들의 조합들) 를 포함하는 가스로부터 생성된다. 가스는 또한 수소-함유 가스에 부가하여 불활성 가스 예컨대, He, Ar, Ne 및 이들의 조합들을 포함할 수도 있다. 플라즈마 처리 후에, 플라즈마 생성 또는 플라즈마-생성된 종의 플로우는 기간 P2에서 정지되고 플라즈마-생성된 종은 챔버 내에서 신속하게 감퇴된다. 다음에, 무수 HF는, 산화물의 활성화된 표면과의 반응 동안 물이 생성될 때, 기판의 표면으로부터 물을 제거하기에 충분한 온도 및 압력으로 프로세스 챔버 내로 흐른다.
예 2. 이 구현예에서, 물은 (예를 들어, 전자들, 라디칼들, 및 플라즈마에서 형성된 이온들에 의한) 플라즈마 처리 또는 전자빔 처리에 의해 전자기 조사 (예를 들어, UV 조사) 에 의해 기판의 표면으로부터 제거된다. 물이 반응시 생성될 때 기판으로부터 제거된다. 이 구현예의 장점은 기판의 방사선-독립적인 가열이 필요하지 않다는 것이다. 또한, 이 구현예에서, 산화물의 표면을 개질할 수 있는 활성 수소-함유 종의 선택은 예 1에서보다 보다 광범위하다. 예 1과 유사하게, 물이 반응 동안 제거되기 때문에, HF는 과도하게 흐를 수 있고, 제거된 산화물의 양은 제 1 단계에서 개질된 산화물의 양에 의해 결정된다. 이 구현예에서, 활성 수소-함유 종 (물, 알코올, 카르복시산, 또는 수소 플라즈마 내에 생성된 종) 은 기간 P1에서 기판과 콘택트하고 산화물의 표면을 개질한다. 이 단계에서의 온도 및 압력은 흡착 또는 다른 수정이 발생할 수 있도록 선택된다. 이 실시예는 물 제거를 위해 가열에 의존하지 않기 때문에, 보다 적은 알코올들, 예컨대 메탄올 및 에탄올이 사용될 수 있고, 상대적으로 저온, 예컨대 약 10 ℃ 내지 80 ℃에서 흡착될 수 있다. 다음에, 기간 P2에서, 프로세스 챔버는 퍼지되고 및/또는 배기되고, 또는 플라즈마 내에 생성된 수소-함유 종은 감퇴하게 된다. 기간 P3에서, 물이 조사, 플라즈마 처리, 또는 전자 빔 처리에 의해 웨이퍼로부터 동시에 제거되는 동안, 무수 HF가 도입된다.
예 3. 이 실시예에서, 기판 표면으로부터 물을 탈착하는 조건들을 달성하기 위해 압력을 하강시킴으로써 및/또는 물을 탈착하기에 충분한 온도로 온도를 상승시킴으로써 물이 기판의 표면으로부터 제거된다.
예를 들어, 기판은 제 1 온도에서 활성 수소-함유 종을 사용하여 처리될 수도 있다. 활성 수소-함유 종을 사용한 처리 후 어떤 지점에서, 온도는 기판의 표면으로부터 물을 탈착하기에 충분한 제 2 온도로 상승된다. 예를 들어, 활성 종이 수소-함유 플라즈마로부터 생성된 종이면, 또는 활성 종이 물의 증기압보다 보다 낮거나 상당히 높지 않은 증기압을 갖는 알코올 또는 카르복시산이고 제 2 온도가 기판의 표면의 탈수산화 (dehydroxylation) 를 유발하지 않으면, 온도는, 활성 수소-함유 종을 사용한 처리 직후에, 표면 결합되지 않은 활성 수소 종의 제거 동안, 또는 HF의 도입과 동시에, 가능한 한 빠르게 상승될 수도 있다. 물의 증기압보다 상당히 높은 증기압을 갖는 알코올, 예컨대 메탄올이 사용되면, 이에 따라, 제 2 온도에서 메탄올이 탈착될 것이고, 이는 HF가 도입되고 반응이 시작될 때까지 온도를 상승시키는 것을 지연시키는데 보다 유리하다. 예를 들어, 온도는 HF 도입 기간의 후반부 (second half) 동안 상승될 수도 있다. 일부 실시예들에서, HF 플로우가 정지된 후 온도가 상승된다. 일부 실시예들에서, 온도가 상승되거나, 고온이 기간 P5의 제 1 부분 동안 (예를 들어, 에칭의 제 2 사이클에서 메탄올의 도입 동안) 유지된다. 다음에, 온도는 기간 P5의 나머지 동안 하강된다.
일 예에서, 메탄올은 기간 P1 동안 프로세스 챔버로 흐르고 제 1 온도, 예를 들어 30 ℃에서 화학흡착되게 된다. 다음에, 프로세스 챔버는 표면 결합되지 않은 메탄올을 제거하기 위해 기간 P2에서 퍼지되고, HF는 기간 P3에서 프로세스 챔버 내로 흐른다. 바람직하게, 이 실시예에서, 제어되고 제한된 양의 HF가 도입되고, HF의 양은 실리콘 산화물의 목표된 얇은 제어된 층 (예컨대 약 0.5 내지 10개의 원자층들) 을 에칭하는데 필요한 양으로 제한된다. 반응은 일정 시간 동안 진행되게 될 수도 있고, 이어서 온도는 물의 탈착을 유발하는 온도, 예컨대 약 100 ℃로 상승된다. 일부 실시예들에서, 온도는 HF가 프로세스 챔버 내로 흐르는 동안, 기간 P2 동안 상승된다. 다른 실시예들에서, 온도는 HF의 플로우가 정지된 후 (예를 들어, 기간 P5의 제 1 부분 동안).
예 4. 이 구현예에서, 메탄올 및/또는 케톤 (예를 들어, 아세톤) 이 기판의 표면으로부터 물의 제거를 용이하게 하도록 사용된다. 이 예에서, 임의의 활성 수소-함유 종이 프로세스에서 사용될 수 있지만, 에칭 시퀀스는, 메탄올 및/또는 케톤의 존재시 물의 제거가 용이해지기 때문에, 메탄올 및/또는 케톤 (예컨대 아세톤) 과 동시에 물을 제거하도록, 구조화된다. 메탄올 및/또는 케톤은 물 제거 전 또는 물 제거 동안 임의의 적합한 스테이지에서 도입될 수 있다. 예를 들어 일 구체적인 예에서, 기판의 표면은 활성 수소-함유 종에 의해 수산화되고; 다음에, 프로세스 챔버는 퍼지되거나 배기되고, 제어된 양의 HF의 도입이 이어진다. HF의 도입 동안 또는 반응이 완료된 후, 물 제거를 위해 메탄올 및/또는 케톤은 프로세스 챔버 내로 흐르고 조건들이 조정된다 (예를 들어, 온도가 상승되고 및/또는 압력이 하강된다). 일부 실시예들에서, 온도는 프로세스 내내 동일하게 유지될 수도 있고 물의 탈착을 유발하지 않지만, 물/메탄올 혼합물 및/또는 물/케톤 혼합물의 탈착을 유발하도록 선택된다.
예 5 (대안적인 실시예). 이전에 기술된 실시예들에서, 프로세스는 기판 상의 실리콘 산화물 표면의 수산화로 시작하지만, 대안적인 실시예에서, 프로세스는 기판의 표면 상으로 무수 HF의 흡착으로 시작할 수도 있다. 다음에, HF가 흡착된 후, 표면 결합되지 않은 HF는 프로세스 챔버 (예를 들어, 처지 및/또는 배기에 의해) 로부터 제거되고, 기판은 활성 수소-함유 종과 콘택트한다. 본 명세서에 기술된 임의의 활성 수소-함유 종이 사용될 수도 있다. 흡착된 HF는 활성 수소-함유 종의 존재시 실리콘 산화물 표면과 반응할 것이고 에칭된 재료의 양은 표면 상에 흡착된 HF의 양에 의해 제한될 것이다. 이전의 실시예들에서, 기술된 바와 같이 물은 프로세스 챔버로부터 제거된다. 물은 에칭 반응 동안 (활성 수소-함유 종의 도입 동안) 생성될 때, 또는 반응이 완료된 후에 제거될 수도 있다.
장치
본 명세서에 기술된 방법들은 가스성 시약들의 연속적인 전달을 위해 구성된 제어 메커니즘들 및 전달 라인들을 구비한 다양한 장치들에서 실시될 수 있다. 적합한 프로세스 챔버들의 예들은 플라즈마 에칭 프로세스 챔버, 등방성 에칭 프로세스 챔버, CVD 프로세스 챔버, 뿐만 아니라 레지스트 스트립 챔버들을 포함한다. 부식성 HF의 사용으로부터의 대미지를 방지하기 위해, 장치는 적어도 HF와 직접적으로 콘택트하는 부분들을 위한 HF-내성 재료들을 포함할 수도 있다. 예를 들어, 일부 실시예들에서, 프로세스 챔버는 에틸렌의 공중합체 및 Halar®로 공지된 클로로트리플루오로에틸렌과 같은 HF-내성 중합체로 코팅된다. 일부 실시예들에서, 챔버는 양극산화되거나 니켈 도금된다. HF를 전달하는 전달 라인들은, 일부 실시예들에서, 니켈로 이루어진다.
적합한 장치는 에칭 동안 제자리에 기판을 홀딩하기 위한 기판 지지부를 갖는 프로세스 챔버, 시약들의 도입을 위한 유입부, 통상적으로 프로세스 챔버를 배기하기 위한 펌프와 연결된 유출부, 및 제공된 방법들의 임의의 단계들을 수행하기 위한 프로그램 인스트럭션들을 갖는 제어기를 포함한다. 일부 실시예들에서, 장치는 필요에 따라, 기판을 가열하거나 냉각하도록 구성된 가열기 및/또는 냉각기를 구비한다. 가열기 및/또는 냉각기는 기판 지지부에 통합될 수도 있다. 일부 실시예들에서, 기판의 가열은 기판 위에 위치된 램프들의 사용으로 구현될 수도 있고, 램프들은 기판 상으로 열을 방출한다. 램프들은 주 가열 수단으로서 또는 기판 홀더 가열에 부가하여 사용될 수도 있다. 일부 실시예들에서, 기판 홀더는 모터를 포함하고, 프로세싱 동안 기판을 회전시키도록 구성된다. (수소-함유 플라즈마로부터 활성 수소-함유 종의 생성을 위해 또는 물 제거 동안 기판의 처리를 위해) 직접 플라즈마가 프로세스 챔버 내에서 사용되는 이들 실시예들에서, 장치는 RF 또는 마이크로파 플라즈마 생성기를 구비한다. (수소-함유 플라즈마로부터 활성 수소-함유 종의 생성을 위해 또는 물 제거 동안 기판의 처리를 위해) 리모트 플라즈마가 사용되는 이들 실시예들에서, 장치는 RF 또는 마이크로파 플라즈마 생성기를 사용하여 리모트 플라즈마가 생성되는 별도의 챔버를 포함한다. 리모트 플라즈마 챔버는 피드 도관을 통해 기판을 하우징하는 프로세스 챔버에 연결되고 리모트 플라즈마 내에서 생성된 종을 기판의 표면으로 전달하도록 구성된다. UV 복사 및/또는 마이크로파 복사와 같은, 전자기 방사선이 기판의 표면으로부터 물을 제거하기 위해 사용되는, 이들 실시예들에서, 장치는 또한 UV 램프와 같은 전자기 방사선의 소스 또는 마이크로파 생성기를 포함할 것이다. 이들 소스들은 통상적으로 사용중인 특정한 타입의 전자기 방사선을 투과시킬 수 있는 윈도우에 의해 기판으로부터 분리된다. 예를 들어, HF-내성, UV-투과 윈도우, 예컨대 사파이어-코팅된 석영 또는 벌크 석영 윈도우는, 윈도우 위에 위치된 UV 램프로부터의 UV 방사선의 투과를 허용하는 프로세스 챔버의 천정을 대체할 수도 있다.
본 명세서에 제공된 에칭 방법들을 구현하기 위한 프로세스 챔버의 예의 개략적인 표현이 도 5에 도시된다. 프로세스 챔버 (500) 는 가스성 시약들의 도입을 위한 유입부 (502) 를 포함하고, 유입부는 셧오프 밸브 또는 플로우 제어 밸브를 사용하여 커플링된다. 전달 라인 (504) 은 시약들의 소스 (506) 을 유입부 (502) 에 연결한다. 일부 실시예들에서, 전달 라인 (504) 은 복수의 개별 라인들, 예를 들어, 무수 HF 라인 및 알코올 전달 라인을 포함할 수도 있다. 일부 실시예들에서, 전달 라인들은 열 소스에 연결되고, 라인들 내에서의 시약들의 응결을 방지하기 위해 시약들의 전달 동안 가열된다. 시약들의 소스는 무수 HF의 소스를 포함하고, 알코올 또는 카르복시산이 사용된다면, 알코올 또는 카르복시산의 소스를 포함한다. 시약들의 소스는 또한 프로세스 챔버를 퍼지하기 위한 불활성 가스들의 소스들, 및 수소-함유 플라즈마의 형성을 위한 수소-함유 가스의 소스들을 포함할 수도 있다. 전달 라인들을 포함하는 전달 시스템은 또한 통상적으로 프로세스 챔버 내로 수용된 시약들의 도즈들의 정확한 측정을 위해 사용된 하나 이상의 유량계들을 포함할 것이다. 프로세스 챔버는 또한 유출 밸브 및 펌프 (510) 와 연결된 유출부 (508) 를 갖는다. 과잉 시약들, 반응 생성물들 및 퍼지 가스들은 유출 밸브가 개방될 때 유출부를 통해 챔버를 나온다. 프로세스 챔버 내의 압력은 시약들의 플로우 레이트들을 제어함으로써 그리고 프로세스 챔버로부터 과잉 가스들을 펌핑 아웃함으로써 정확하게 제어될 수 있다.
기판 (512) 은 기판을 가열하도록 구성된 열전 척 (514) 을 더 포함하는 기판 홀더 페데스탈 (516) 상에 고정된다. 예시된 실시예에서, 프로세스 챔버의 천정은 선택가능한 투명 또는 반투명 윈도우 (518) 이고, UV 광을 기판에 조사하고 기판의 표면으로부터 물을 제거하기 위해 사용된 UV 램프들 (520) 로부터 프로세스 챔버를 분리한다. 또 다른 실시예들에서, 복사열을 방출할 수 있는 IR 램프들은 프로세스 챔버의 천정 위에 위치되고, 기판의 표면을 가열하도록 사용된다. 일부 실시예들에서, 장치는 기판 위에 UV 램프 및 IR 램프 양자 또는 다른 열 소스를 포함할 수도 있다. 제어기 (522) 는 장치에 전기적으로 연결되고 에칭 프로세스의 모든 스테이지들을 제어하도록 사용된다. 제어기 (522) 는 본 명세서에 기술된 임의의 실시예들에 따른 에칭 방법들을 수행하기 위한 프로그램 인스트럭션들 또는 빌트-인 로직을 포함한다. 예를 들어 제어기는 시약들의 전달 타이밍, 에칭 사이클의 스테이지 각각 동안 온도 및 압력, 및 기판 조사와 연관된 파라미터들을 명시하는 코드를 포함할 수도 있다.
일부 구현예들에서, 제어기는 상기 기술된 예들의 일부일 수도 있는, 시스템의 일부이다. 이러한 시스템들은 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이러한 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스를 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르도록 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 가공 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다. 다양한 시스템들이 상기 기술된 ALE 챔버 또는 모듈을 갖는 일 제조 설비에 사용될 수도 있다.
상기된 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제조 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
본 명세서에서 상기 기술된 장치/프로세스는 리소그래픽 패터닝 툴들 또는 프로세스들, 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 등의 제조 또는 제작과 관련하여 사용될 수도 있다. 통상적으로, 반드시 그러한 것은 아니지만, 이러한 툴들/프로세스들은 공동 제조 설비 내에서 함께 사용되거나 수행될 것이다. 막의 리소그래픽 패터닝은 단계들 각각이 다수의 가능한 툴들을 사용하여 인에이블되는, 이하의 단계들: (1) 스핀-온 (spin-on) 툴 또는 스프레이-온 (spray-on) 툴을 사용하여 워크피스, 즉 기판 상에 포토레지스트를 도포하는 단계; (2) 핫 플레이트 또는 노 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 단계; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광선 또는 UV 또는 x-선 광에 포토레지스트를 노출시키는 단계; (4) 습식 벤치와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 레지스트를 패터닝하도록 레지스트를 현상하는 단계; (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 그 아래에 놓인 막 또는 워크피스 내로 레지스트 패턴을 전사하는 단계; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 단계의 일부 또는 전부를 포함한다.
본 명세서에 기술된 예들 및 실시예들은 단지 예시적인 목적들을 위한 것이고 이러한 견지의 다양한 수정들 또는 변화들이 당업자에게 암시될 것이라는 것이 이해된다. 다양한 상세들이 명료성을 위해 생략되었지만, 다양한 설계 대안들이 구현될 수도 있다. 따라서, 본 예들은 예시적이고 비제한적인 것으로 간주되어야 하고, 본 발명은 본 명세서에 제공된 상세들로 제한되지 않고, 다만 첨부된 청구항들의 범위 내에서 수정될 수도 있다.

Claims (21)

  1. 기판 상의 산화물층을 제어가능하게 에칭하는 방법에 있어서,
    상기 방법은,
    (a) 기판 상의 산화물의 표면을 개질하기 위해 활성 수소-함유 종과 프로세스 챔버 내에 하우징된 상기 기판을 콘택트하는 단계로서, 상기 활성 수소-함유 종은 하나 이상의 OH기들을 함유하는 화합물이고, 상기 산화물은 실리콘 산화물, 게르마늄 산화물, 및 이들의 조합으로 구성된 그룹으로부터 선택되는, 상기 활성 수소-함유 종과 상기 기판을 콘택트하는 단계;
    (b) 상기 산화물의 상기 표면이 개질된 후 상기 프로세스 챔버로부터 표면 결합되지 않은 활성 수소-함유 종을 제거하는 단계;
    (c) 상기 단계 (b) 후에 무수 HF를 상기 프로세스 챔버 내로 흘리는 단계로서, 상기 무수 HF는 상기 산화물의 상기 개질된 표면과 반응하고 상기 반응은 물을 생성하는, 상기 무수 HF를 상기 프로세스 챔버 내로 흘리는 단계; 및
    (d) 상기 단계 (c) 에서 생성된 상기 물을 상기 기판의 상기 표면으로부터 제거하는 단계를 포함하고,
    상기 활성 수소-함유 종은 상기 단계 (d) 에서 물이 상기 산화물의 표면으로부터 제거되는 조건들 하에서 상기 산화물의 상기 표면에 흡착할 수 있거나 흡착된 채인 알코올이고,
    상기 단계 (a)-(d)는 상기 알코올이 상기 산화물의 상기 표면으로부터 탈착되지 않는 온도 및 압력으로 수행되는, 기판 상의 산화물층을 제어가능하게 에칭하는 방법.
  2. 제 1 항에 있어서,
    상기 단계 (c) 및 상기 단계 (d) 는 동시에 발생되고, 물이 상기 반응에서 생성될 때 물이 상기 기판 표면에 흡착된 채로 내버려두지 않는 온도 및 압력으로 수행되는, 기판 상의 산화물층을 제어가능하게 에칭하는 방법.
  3. 제 1 항에 있어서,
    상기 활성 수소-함유 종은 부탄올, 부톡시에탄올, 부탄디올, 에틸렌 글리콜, 메틸렌 글리콜, 프로필렌 글리콜, 아밀 알코올, 및 이들의 조합으로 구성된 그룹으로부터 선택되는, 기판 상의 산화물층을 제어가능하게 에칭하는 방법.
  4. 제 1 항에 있어서,
    상기 활성 수소-함유 종은 부탄올인, 기판 상의 산화물층을 제어가능하게 에칭하는 방법.
  5. 제 4 항에 있어서,
    상기 단계 (c) 및 상기 단계 (d) 는 동시에 수행되고, 상기 물은 상기 반응에서 생성될 때 제거되는, 기판 상의 산화물층을 제어가능하게 에칭하는 방법.
  6. 제 1 항에 있어서,
    상기 활성 수소-함유 종은 부탄올, 부톡시에탄올, 에틸렌 글리콜, 메틸렌 글리콜, 프로필렌 글리콜, 아밀 알코올 및 이들의 조합들로 구성된 그룹으로부터 선택되고,
    상기 단계 (a) 내지 상기 단계 (d) 는 동일한 온도에서 수행되고, 상기 물은 상기 반응에서 생성될 때 상기 산화물의 상기 표면으로부터 제거되는, 기판 상의 산화물층을 제어가능하게 에칭하는 방법.
  7. 제 1 항에 있어서,
    상기 단계 (a) 내지 상기 단계 (d) 는 동일한 온도에서 수행되고, 상기 물은 상기 반응에서 생성될 때 상기 산화물의 상기 표면으로부터 제거되는, 기판 상의 산화물층을 제어가능하게 에칭하는 방법.
  8. 삭제
  9. 삭제
  10. 제 7 항에 있어서,
    상기 프로세스 챔버 내로의 상기 무수 HF의 플로우는 상기 단계 (d) 전에 중단되는, 기판 상의 산화물층을 제어가능하게 에칭하는 방법.
  11. 제 1 항에 있어서,
    상기 단계 (d) 는,
    (i) 상기 기판의 상기 온도를 상승시키는 방법,
    (ii) 상기 프로세스 챔버 내의 압력을 하강시키는 방법,
    (iii) 플라즈마를 사용하여 상기 기판을 처리하는 방법,
    (iv) 전자 빔을 사용하여 상기 기판을 처리하는 방법,
    (v) 전자기 방사선을 상기 기판에 조사하는 방법, 및
    이들의 조합들로 구성된 그룹으로부터 선택된 방법에 의해 물을 제거하는 단계를 포함하는, 기판 상의 산화물층을 제어가능하게 에칭하는 방법.
  12. 제 1 항에 있어서,
    상기 단계 (a) 내지 상기 단계 (d) 는 상기 기판으로부터 0.5 내지 10개의 산화물의 원자층들을 제거하는, 기판 상의 산화물층을 제어가능하게 에칭하는 방법.
  13. 제 1 항에 있어서,
    상기 단계 (a) 내지 상기 단계 (d) 를 포함하는 적어도 2 회의 사이클들을 수행하는 단계를 포함하는, 기판 상의 산화물층을 제어가능하게 에칭하는 방법.
  14. 제 1 항에 있어서,
    상기 단계 (a) 내지 상기 단계 (d) 는 적어도 80 ℃의 단일 온도로 수행되는, 기판 상의 산화물층을 제어가능하게 에칭하는 방법.
  15. 제 1 항에 있어서, 상기 단계 (a) 이전 또는 상기 단계 (d) 이후에
    상기 기판에 포토레지스트를 도포하는 단계;
    상기 포토레지스트를 광에 노출시키는 단계;
    상기 포토레지스트를 패터닝하고 상기 패턴을 상기 기판으로 전사하는 단계; 및
    상기 기판으로부터 상기 포토레지스트를 선택적으로 제거하는 단계를 더 포함하는, 기판 상의 산화물층을 제어가능하게 에칭하는 방법.
  16. 기판 상의 산화물을 제어가능하게 에칭하기 위한 에칭 장치에 있어서,
    상기 장치는,
    (a) 프로세스 가스들의 도입을 위한 유입부를 갖는 프로세스 챔버;
    (b) 기판 상의 산화물의 에칭 동안 상기 기판을 제자리에 홀딩하기 위해 구성된, 상기 프로세스 챔버 내의 기판 지지부; 및
    (c) 제어기를 포함하고,
    상기 제어기는,
    (i) 상기 기판 상의 상기 산화물의 표면을 개질하기 위해 활성 수소-함유 종과 상기 프로세스 챔버 내에 하우징된 상기 기판을 콘택트하기 위한 단계로서, 상기 활성 수소-함유 종은 하나 이상의 OH기들을 함유하는 화합물이고, 상기 산화물은 실리콘 산화물, 게르마늄 산화물, 및 이들의 조합으로 구성된 그룹으로부터 선택되는, 상기 활성 수소-함유 종과 상기 기판을 콘택트하는 단계;
    (ii) 상기 산화물의 상기 표면이 개질된 후 상기 프로세스 챔버로부터 표면 결합되지 않은 활성 수소-함유 종을 제거하는 단계;
    (iii) 상기 단계 (ii) 후에 무수 HF를 상기 프로세스 챔버 내로 흘리는 단계로서, 상기 무수 HF는 상기 산화물의 상기 개질된 표면과 반응하고 상기 반응은 물을 생성하는, 상기 무수 HF를 상기 프로세스 챔버 내로 흘리는 단계; 및
    (iv) 상기 단계 (iii) 에서 생성된 상기 물을 상기 기판의 상기 표면으로부터 제거하는 단계들을 유발하기 위한 인스트럭션을 포함하고,
    상기 활성 수소-함유 종은 상기 단계 (iv) 에서 물이 상기 산화물의 상기 표면으로부터 제거되는 조건들 하에서 상기 산화물의 상기 표면에 흡착할 수 있거나 흡착된 채인 알코올이고,
    상기 단계 (i)-(iv)는 상기 알코올이 상기 산화물의 상기 표면으로부터 탈착되지 않는 온도 및 압력으로 수행되는, 기판 상의 산화물층을 제어가능하게 에칭하는 장치.
  17. 기판 상의 산화물층을 제어가능하게 에칭하는 방법에 있어서,
    상기 방법은,
    (a) 기판 상의 산화물층 상에 HF를 흡착하도록 무수 HF와 프로세스 챔버 내에 하우징된 상기 기판을 콘택트하는 단계로서, 상기 산화물은 실리콘 산화물, 게르마늄 산화물, 및 이들의 조합으로 구성된 그룹으로부터 선택되는, 상기 무수 HF와 상기 기판을 콘택트하는 단계;
    (b) 상기 HF가 흡착된 후 상기 프로세스 챔버로부터 표면 결합되지 않은 HF를 제거하는 단계;
    (c) 상기 기판 상의 상기 HF와 반응하도록 활성 수소-함유 종과 상기 기판을 콘택트하는 단계로서, 상기 활성 수소-함유 종은 하나 이상의 OH기들을 함유하는 화합물이고, 상기 반응은 물을 생성하는, 상기 활성 수소-함유 종과 상기 기판을 콘택트하는 단계; 및
    (d) 상기 단계 (c) 에서 생성된 상기 물을 상기 기판의 상기 표면으로부터 제거하는 단계를 포함하고,
    상기 활성 수소-함유 종은 상기 단계 (d) 에서 물이 상기 산화물의 표면으로부터 제거되는 조건들 하에서 상기 산화물의 상기 표면에 흡착할 수 있거나 흡착된 채인 알코올이고,
    상기 단계 (a)-(d)는 상기 알코올이 상기 산화물의 상기 표면으로부터 탈착되지 않는 온도 및 압력으로 수행되는, 기판 상의 산화물층을 제어가능하게 에칭하는 방법.
  18. 기판 상의 산화물층을 제어가능하게 에칭하는 방법에 있어서,
    상기 방법은,
    (a) 기판 상의 산화물의 표면을 개질하기 위해 활성 수소-함유 종과 프로세스 챔버 내에 하우징된 상기 기판을 콘택트하는 단계로서, 상기 활성 수소-함유 종은 하나 이상의 OH기들을 함유하는 화합물이고, 상기 산화물은 실리콘 산화물, 게르마늄 산화물, 및 이들의 조합으로 구성된 그룹으로부터 선택되는, 상기 활성 수소-함유 종과 상기 기판을 콘택트하는 단계;
    (b) 상기 산화물의 상기 표면이 개질된 후 상기 프로세스 챔버로부터 표면 결합되지 않은 활성 수소-함유 종을 제거하는 단계;
    (c) 상기 단계 (b) 후에 무수 HF를 상기 프로세스 챔버 내로 흘리는 단계로서, 상기 무수 HF는 상기 산화물의 상기 개질된 표면과 반응하고 상기 반응은 물을 생성하는, 상기 무수 HF를 상기 프로세스 챔버 내로 흘리는 단계; 및
    (d) 상기 단계 (c) 에서 생성된 상기 물을 상기 기판의 상기 표면으로부터 제거하는 단계를 포함하고,
    상기 활성 수소-함유 종은 제 1 온도에서 상기 단계 (a) 에서 상기 산화물의 상기 표면에 흡착하는 메탄올 또는 에탄올이고,
    상기 물은 상기 단계 (d) 에서 상기 제 1 온도보다 보다 높은 제 2 온도로 상기 기판의 상기 온도를 상승시킴으로써 제거되는, 기판 상의 산화물층을 제어가능하게 에칭하는 방법.
  19. 삭제
  20. 삭제
  21. 삭제
KR1020160000420A 2015-01-05 2016-01-04 실리콘 옥사이드 및 게르마늄 옥사이드에 대한 등방성 원자층 에칭 KR102598662B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/589,610 US9431268B2 (en) 2015-01-05 2015-01-05 Isotropic atomic layer etch for silicon and germanium oxides
US14/589,610 2015-01-05

Publications (2)

Publication Number Publication Date
KR20160084313A KR20160084313A (ko) 2016-07-13
KR102598662B1 true KR102598662B1 (ko) 2023-11-03

Family

ID=55452978

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160000420A KR102598662B1 (ko) 2015-01-05 2016-01-04 실리콘 옥사이드 및 게르마늄 옥사이드에 대한 등방성 원자층 에칭

Country Status (7)

Country Link
US (1) US9431268B2 (ko)
EP (1) EP3041034A1 (ko)
JP (1) JP6742720B2 (ko)
KR (1) KR102598662B1 (ko)
CN (1) CN105762060B (ko)
SG (1) SG10201600021UA (ko)
TW (1) TWI683925B (ko)

Families Citing this family (120)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9831097B2 (en) 2015-12-18 2017-11-28 Applied Materials, Inc. Methods for selective etching of a silicon material using HF gas without nitrogen etchants
US10229837B2 (en) * 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
JP6601257B2 (ja) 2016-02-19 2019-11-06 東京エレクトロン株式会社 基板処理方法
KR20170122910A (ko) * 2016-04-27 2017-11-07 성균관대학교산학협력단 원자층 식각방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6742165B2 (ja) * 2016-06-14 2020-08-19 東京エレクトロン株式会社 窒化珪素膜の処理方法および窒化珪素膜の形成方法
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
CN107919277A (zh) * 2016-10-08 2018-04-17 北京北方华创微电子装备有限公司 去除晶片上的二氧化硅的方法及制造工艺
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10332810B2 (en) 2016-10-24 2019-06-25 Kla-Tencor Corp. Process modules integrated into a metrology and/or inspection tool
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
WO2018111333A1 (en) * 2016-12-14 2018-06-21 Mattson Technology, Inc. Atomic layer etch process using plasma in conjunction with a rapid thermal activation process
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10566206B2 (en) * 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10224212B2 (en) * 2017-01-27 2019-03-05 Lam Research Corporation Isotropic etching of film with atomic layer control
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) * 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10134600B2 (en) 2017-02-06 2018-11-20 Lam Research Corporation Dielectric contact etch
US9779956B1 (en) * 2017-02-06 2017-10-03 Lam Research Corporation Hydrogen activated atomic layer etching
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10079154B1 (en) 2017-03-20 2018-09-18 Lam Research Corporation Atomic layer etching of silicon nitride
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
JP6796559B2 (ja) * 2017-07-06 2020-12-09 東京エレクトロン株式会社 エッチング方法および残渣除去方法
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP6772117B2 (ja) * 2017-08-23 2020-10-21 株式会社日立ハイテク エッチング方法およびエッチング装置
TWI757545B (zh) 2017-09-15 2022-03-11 日商關東電化工業股份有限公司 使用酸鹵化物之原子層蝕刻
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US11056358B2 (en) 2017-11-14 2021-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer cleaning apparatus and method
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
CN111670491A (zh) 2018-01-31 2020-09-15 朗姆研究公司 静电卡盘(esc)基座电压隔离
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
WO2019226341A1 (en) 2018-05-25 2019-11-28 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling
JP7204348B2 (ja) * 2018-06-08 2023-01-16 東京エレクトロン株式会社 エッチング方法およびエッチング装置
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
JP7461923B2 (ja) 2018-07-09 2024-04-04 ラム リサーチ コーポレーション 電子励起原子層エッチング
US10720337B2 (en) * 2018-07-20 2020-07-21 Asm Ip Holding B.V. Pre-cleaning for etching of dielectric materials
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11217454B2 (en) 2019-04-22 2022-01-04 Hitachi High-Tech Corporation Plasma processing method and etching apparatus
GB202117752D0 (en) * 2019-11-14 2022-01-26 Memsstar Ltd Method of manufacturing a microstructure
US11024512B1 (en) 2020-03-06 2021-06-01 International Business Machines Corporation Selective etch formulation for silicon oxide
US20220115239A1 (en) * 2020-04-10 2022-04-14 Hitachi High-Tech Corporation Etching method
WO2021255812A1 (ja) 2020-06-16 2021-12-23 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
JP7174016B2 (ja) 2020-07-16 2022-11-17 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
CN111994868B (zh) 2020-08-12 2022-05-17 天津大学 极紫外光与等离子体复合原子尺度加工方法
JPWO2022050099A1 (ko) * 2020-09-01 2022-03-10
US11295960B1 (en) 2021-03-09 2022-04-05 Hitachi High-Tech Corporation Etching method
US20230030436A1 (en) * 2021-07-30 2023-02-02 Applied Materials, Inc. Plasma treatment process to densify oxide layers
KR20230087076A (ko) * 2021-12-09 2023-06-16 서울과학기술대학교 산학협력단 원자층을 증착하는 증착 장치 및 증착 방법
JP7474903B2 (ja) 2022-02-14 2024-04-25 株式会社日立ハイテク エッチング処理方法
JP2023184336A (ja) * 2022-06-17 2023-12-28 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004001808A2 (en) 2002-06-23 2003-12-31 Aviza Technology, Inc. Method and system for atomic layer removal and atomic layer exchange
US20060207968A1 (en) 2005-03-08 2006-09-21 Mumbauer Paul D Selective etching of oxides from substrates
JP2006261451A (ja) * 2005-03-17 2006-09-28 Sony Corp エッチング方法

Family Cites Families (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI119941B (fi) 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
FR207196A (ko) 1969-12-22
US4313782A (en) 1979-11-14 1982-02-02 Rca Corporation Method of manufacturing submicron channel transistors
US4414069A (en) 1982-06-30 1983-11-08 International Business Machines Corporation Negative ion beam selective etching process
US4695327A (en) 1985-06-13 1987-09-22 Purusar Corporation Surface treatment to remove impurities in microrecesses
FR2617333B1 (fr) 1987-06-25 1990-01-05 Straboni Alain Procede d'elimination de zones de nitrure ou d'oxynitrure de silicium
US4756794A (en) 1987-08-31 1988-07-12 The United States Of America As Represented By The Secretary Of The Navy Atomic layer etching
EP0376252B1 (en) 1988-12-27 1997-10-22 Kabushiki Kaisha Toshiba Method of removing an oxide film on a substrate
JPH069195B2 (ja) * 1989-05-06 1994-02-02 大日本スクリーン製造株式会社 基板の表面処理方法
US5268069A (en) 1991-10-28 1993-12-07 International Business Machines Corporation Safe method for etching silicon dioxide
US5234540A (en) 1992-04-30 1993-08-10 Submicron Systems, Inc. Process for etching oxide films in a sealed photochemical reactor
JP3005373B2 (ja) 1992-10-23 2000-01-31 東京エレクトロン株式会社 処理装置
US5282925A (en) 1992-11-09 1994-02-01 International Business Machines Corporation Device and method for accurate etching and removal of thin film
US5922624A (en) * 1993-05-13 1999-07-13 Imec Vzw Method for semiconductor processing using mixtures of HF and carboxylic acid
US5505816A (en) 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
JP3328416B2 (ja) * 1994-03-18 2002-09-24 富士通株式会社 半導体装置の製造方法と製造装置
US5439553A (en) 1994-03-30 1995-08-08 Penn State Research Foundation Controlled etching of oxides via gas phase reactions
JPH07335602A (ja) * 1994-06-06 1995-12-22 Dainippon Screen Mfg Co Ltd 基板の表面処理方法及び表面処理装置
US5635102A (en) * 1994-09-28 1997-06-03 Fsi International Highly selective silicon oxide etching method
US5636320A (en) 1995-05-26 1997-06-03 International Business Machines Corporation Sealed chamber with heating lamps provided within transparent tubes
US5792275A (en) 1995-06-06 1998-08-11 International Business Machines Corporation Film removal by chemical transformation and aerosol clean
JPH09102490A (ja) * 1995-07-31 1997-04-15 Toshiba Corp 半導体装置の製造方法及び半導体製造装置
KR100232664B1 (ko) * 1995-07-31 1999-12-01 니시무로 타이죠 반도체장치의 제조방법 및 반도체 제조장치
US5685951A (en) 1996-02-15 1997-11-11 Micron Technology, Inc. Methods and etchants for etching oxides of silicon with low selectivity in a vapor phase system
US5963833A (en) 1996-07-03 1999-10-05 Micron Technology, Inc. Method for cleaning semiconductor wafers and
US7052941B2 (en) 2003-06-24 2006-05-30 Sang-Yun Lee Method for making a three-dimensional integrated circuit structure
JPH10154712A (ja) 1996-11-25 1998-06-09 Fujitsu Ltd 半導体装置の製造方法
US5766971A (en) 1996-12-13 1998-06-16 International Business Machines Corporation Oxide strip that improves planarity
US5913140A (en) 1996-12-23 1999-06-15 Lam Research Corporation Method for reduction of plasma charging damage during chemical vapor deposition
US5876879A (en) 1997-05-29 1999-03-02 International Business Machines Corporation Oxide layer patterned by vapor phase etching
US5838055A (en) 1997-05-29 1998-11-17 International Business Machines Corporation Trench sidewall patterned by vapor phase etching
US6074951A (en) 1997-05-29 2000-06-13 International Business Machines Corporation Vapor phase etching of oxide masked by resist or masking material
US6706334B1 (en) 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US5858830A (en) 1997-06-12 1999-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making dual isolation regions for logic and embedded memory devices
US5968279A (en) 1997-06-13 1999-10-19 Mattson Technology, Inc. Method of cleaning wafer substrates
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
JP3283477B2 (ja) 1997-10-27 2002-05-20 松下電器産業株式会社 ドライエッチング方法および半導体装置の製造方法
US6107192A (en) 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6030881A (en) 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6146970A (en) 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6204198B1 (en) 1998-11-24 2001-03-20 Texas Instruments Incorporated Rapid thermal annealing of doped polycrystalline silicon structures formed in a single-wafer cluster tool
US6740247B1 (en) * 1999-02-05 2004-05-25 Massachusetts Institute Of Technology HF vapor phase wafer cleaning and oxide etching
US6265302B1 (en) 1999-07-12 2001-07-24 Chartered Semiconductor Manufacturing Ltd. Partially recessed shallow trench isolation method for fabricating borderless contacts
US6346489B1 (en) 1999-09-02 2002-02-12 Applied Materials, Inc. Precleaning process for metal plug that minimizes damage to low-κ dielectric
US20010016226A1 (en) 1999-12-15 2001-08-23 International Business Machines Corporation Method for preparing the surface of a dielectric
US6335261B1 (en) 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
US6483154B1 (en) 2000-10-05 2002-11-19 Advanced Micro Devices, Inc. Nitrogen oxide plasma treatment for reduced nickel silicide bridging
US6573181B1 (en) 2000-10-26 2003-06-03 Applied Materials, Inc. Method of forming contact structures using nitrogen trifluoride preclean etch process and a titanium chemical vapor deposition step
US6926843B2 (en) 2000-11-30 2005-08-09 International Business Machines Corporation Etching of hard masks
US6652713B2 (en) 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
US7513971B2 (en) 2002-03-18 2009-04-07 Applied Materials, Inc. Flat style coil for improved precision etch uniformity
TWI278532B (en) 2002-06-23 2007-04-11 Asml Us Inc Method for energy-assisted atomic layer deposition and removal
US6803309B2 (en) 2002-07-03 2004-10-12 Taiwan Semiconductor Manufacturing Co., Ltd Method for depositing an adhesion/barrier layer to improve adhesion and contact resistance
US6817776B2 (en) 2002-11-19 2004-11-16 International Business Machines Corporation Method of bonding optical fibers and optical fiber assembly
US6774000B2 (en) 2002-11-20 2004-08-10 International Business Machines Corporation Method of manufacture of MOSFET device with in-situ doped, raised source and drain structures
US6858532B2 (en) 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
US6992011B2 (en) 2003-01-15 2006-01-31 Tokyo Electron Limited Method and apparatus for removing material from chamber and wafer surfaces by high temperature hydrogen-containing plasma
WO2004073850A1 (en) 2003-02-14 2004-09-02 Tokyo Electron Limited Gas feeding apparatus
US7029536B2 (en) 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US6951821B2 (en) 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US7079760B2 (en) 2003-03-17 2006-07-18 Tokyo Electron Limited Processing system and method for thermally treating a substrate
US7214274B2 (en) 2003-03-17 2007-05-08 Tokyo Electron Limited Method and apparatus for thermally insulating adjacent temperature controlled processing chambers
US6790733B1 (en) 2003-03-28 2004-09-14 International Business Machines Corporation Preserving TEOS hard mask using COR for raised source-drain including removable/disposable spacer
KR100498494B1 (ko) 2003-04-08 2005-07-01 삼성전자주식회사 회전 이동 방식의 원격 플라즈마 강화 세정 장치
US6882025B2 (en) 2003-04-25 2005-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Strained-channel transistor and methods of manufacture
US6693050B1 (en) 2003-05-06 2004-02-17 Applied Materials Inc. Gapfill process using a combination of spin-on-glass deposition and chemical vapor deposition techniques
US6716691B1 (en) 2003-06-25 2004-04-06 Sharp Laboratories Of America, Inc. Self-aligned shallow trench isolation process having improved polysilicon gate thickness control
KR100512939B1 (ko) 2003-07-10 2005-09-07 삼성전자주식회사 트렌치 소자분리 방법
KR100583637B1 (ko) 2003-08-19 2006-05-26 삼성전자주식회사 반도체 소자의 텅스텐 콘택 형성 방법 및 텅스텐 콘택형성 장비
US20050070120A1 (en) 2003-08-28 2005-03-31 International Sematech Methods and devices for an insulated dielectric interface between high-k material and silicon
US6967167B2 (en) 2003-09-30 2005-11-22 International Business Machines Corporation Silicon dioxide removing method
US7205233B2 (en) 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
US20050218113A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for adjusting a chemical oxide removal process using partial pressure
JP2005166700A (ja) 2003-11-28 2005-06-23 Toshiba Corp 半導体装置及びその製造方法
US6949481B1 (en) 2003-12-09 2005-09-27 Fasl, Llc Process for fabrication of spacer layer with reduced hydrogen content in semiconductor device
US7098116B2 (en) 2004-01-08 2006-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Shallow trench isolation method for reducing oxide thickness variations at different pattern densities
US6852584B1 (en) 2004-01-14 2005-02-08 Tokyo Electron Limited Method of trimming a gate electrode structure
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20060051966A1 (en) 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
KR20050110751A (ko) 2004-05-19 2005-11-23 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 형성 방법
KR100599437B1 (ko) 2004-06-30 2006-07-12 주식회사 하이닉스반도체 반도체소자의 소자분리 방법
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR20080014799A (ko) 2005-04-28 2008-02-14 가부시키가이샤 피즈케믹스 에칭방법, 저유전율 유전체막의 제조방법, 다공성 부재의제조방법 및 에칭장치 및 박막 제작장치
KR100746223B1 (ko) 2005-09-09 2007-08-03 삼성전자주식회사 반도체소자의 트렌치 소자분리 방법
US20070063277A1 (en) 2005-09-22 2007-03-22 International Business Machines Corporation Multiple low and high k gate oxides on single gate for lower miller capacitance and improved drive current
US7435661B2 (en) 2006-01-27 2008-10-14 Atmel Corporation Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation
JP2007258266A (ja) 2006-03-20 2007-10-04 Fujitsu Ltd 半導体装置の製造方法
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
JP2008210909A (ja) 2007-02-26 2008-09-11 Toshiba Corp 半導体装置の製造方法
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
US20080233709A1 (en) 2007-03-22 2008-09-25 Infineon Technologies North America Corp. Method for removing material from a semiconductor
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
CN103117216B (zh) * 2011-11-17 2015-08-05 中芯国际集成电路制造(上海)有限公司 避免浅沟槽隔离结构产生缺角的半导体器件的制作方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004001808A2 (en) 2002-06-23 2003-12-31 Aviza Technology, Inc. Method and system for atomic layer removal and atomic layer exchange
US20060207968A1 (en) 2005-03-08 2006-09-21 Mumbauer Paul D Selective etching of oxides from substrates
JP2006261451A (ja) * 2005-03-17 2006-09-28 Sony Corp エッチング方法

Also Published As

Publication number Publication date
EP3041034A1 (en) 2016-07-06
SG10201600021UA (en) 2016-08-30
KR20160084313A (ko) 2016-07-13
JP2016129227A (ja) 2016-07-14
CN105762060A (zh) 2016-07-13
TW201700778A (zh) 2017-01-01
JP6742720B2 (ja) 2020-08-19
TWI683925B (zh) 2020-02-01
US20160196984A1 (en) 2016-07-07
CN105762060B (zh) 2020-05-01
US9431268B2 (en) 2016-08-30

Similar Documents

Publication Publication Date Title
KR102598662B1 (ko) 실리콘 옥사이드 및 게르마늄 옥사이드에 대한 등방성 원자층 에칭
KR102538779B1 (ko) No 활성화를 사용하는 실리콘 옥사이드들에 대한 등방성 원자층 에칭
US11257674B2 (en) Eliminating yield impact of stochastics in lithography
US10354888B2 (en) Method and apparatus for anisotropic tungsten etching
US10319582B2 (en) Methods and apparatus for depositing silicon oxide on metal layers
KR102432484B1 (ko) 서브트랙티브 금속 집적을 위한 라이너 및 배리어 적용
US20180061650A1 (en) High dry etch rate materials for semiconductor patterning applications
US10651080B2 (en) Oxidizing treatment of aluminum nitride films in semiconductor device manufacturing
KR20170044014A (ko) 로우-k 알루미늄 함유 에칭 정지막들의 형성을 위한 방법들
TW202217457A (zh) 用於含金屬光阻沉積的表面改質
TW201732892A (zh) 在氫電漿處理之表面上使用摻雜物氣體之保形摻雜
KR20070096956A (ko) 저 유전율막의 데미지 수복 방법, 반도체 제조 장치, 및기억 매체
KR20220097974A (ko) 무선 주파수 (rf) 전력 램핑을 사용한 플라즈마 강화된 원자층 증착 (ald)

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant