SG10201600021UA - Isotropic atomic layer etch for silicon and germanium oxides - Google Patents
Isotropic atomic layer etch for silicon and germanium oxidesInfo
- Publication number
- SG10201600021UA SG10201600021UA SG10201600021UA SG10201600021UA SG10201600021UA SG 10201600021U A SG10201600021U A SG 10201600021UA SG 10201600021U A SG10201600021U A SG 10201600021UA SG 10201600021U A SG10201600021U A SG 10201600021UA SG 10201600021U A SG10201600021U A SG 10201600021UA
- Authority
- SG
- Singapore
- Prior art keywords
- silicon
- atomic layer
- layer etch
- germanium oxides
- isotropic atomic
- Prior art date
Links
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02337—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
- H01L21/0234—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/458—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/52—Controlling or regulating the coating process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
- H01J37/32449—Gas control, e.g. control of the gas flow
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32715—Workpiece holder
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02343—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67075—Apparatus for fluid treatment for etching for wet etching
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US14/589,610 US9431268B2 (en) | 2015-01-05 | 2015-01-05 | Isotropic atomic layer etch for silicon and germanium oxides |
Publications (1)
Publication Number | Publication Date |
---|---|
SG10201600021UA true SG10201600021UA (en) | 2016-08-30 |
Family
ID=55452978
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
SG10201600021UA SG10201600021UA (en) | 2015-01-05 | 2016-01-04 | Isotropic atomic layer etch for silicon and germanium oxides |
Country Status (7)
Country | Link |
---|---|
US (1) | US9431268B2 (en) |
EP (1) | EP3041034A1 (en) |
JP (1) | JP6742720B2 (en) |
KR (1) | KR102598662B1 (en) |
CN (1) | CN105762060B (en) |
SG (1) | SG10201600021UA (en) |
TW (1) | TWI683925B (en) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10923358B2 (en) | 2016-02-19 | 2021-02-16 | Tokyo Electron Limited | Substrate processing method |
Families Citing this family (118)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US9425041B2 (en) | 2015-01-06 | 2016-08-23 | Lam Research Corporation | Isotropic atomic layer etch for silicon oxides using no activation |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US9831097B2 (en) | 2015-12-18 | 2017-11-28 | Applied Materials, Inc. | Methods for selective etching of a silicon material using HF gas without nitrogen etchants |
US10229837B2 (en) * | 2016-02-04 | 2019-03-12 | Lam Research Corporation | Control of directionality in atomic layer etching |
KR20170122910A (en) * | 2016-04-27 | 2017-11-07 | 성균관대학교산학협력단 | Atomic layer ething method |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
JP6742165B2 (en) * | 2016-06-14 | 2020-08-19 | 東京エレクトロン株式会社 | Method for treating silicon nitride film and method for forming silicon nitride film |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
CN113506731A (en) * | 2016-10-08 | 2021-10-15 | 北京北方华创微电子装备有限公司 | Manufacturing process of integrated circuit |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
TWI728197B (en) | 2016-10-24 | 2021-05-21 | 美商克萊譚克公司 | Process module(s) integrated into a metrology and/or inspection tool |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10580661B2 (en) * | 2016-12-14 | 2020-03-03 | Mattson Technology, Inc. | Atomic layer etch process using plasma in conjunction with a rapid thermal activation process |
US11694911B2 (en) * | 2016-12-20 | 2023-07-04 | Lam Research Corporation | Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead |
US10566206B2 (en) * | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10224212B2 (en) * | 2017-01-27 | 2019-03-05 | Lam Research Corporation | Isotropic etching of film with atomic layer control |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) * | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10134600B2 (en) | 2017-02-06 | 2018-11-20 | Lam Research Corporation | Dielectric contact etch |
US9779956B1 (en) * | 2017-02-06 | 2017-10-03 | Lam Research Corporation | Hydrogen activated atomic layer etching |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10079154B1 (en) | 2017-03-20 | 2018-09-18 | Lam Research Corporation | Atomic layer etching of silicon nitride |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US11289355B2 (en) | 2017-06-02 | 2022-03-29 | Lam Research Corporation | Electrostatic chuck for use in semiconductor processing |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
JP6796559B2 (en) * | 2017-07-06 | 2020-12-09 | 東京エレクトロン株式会社 | Etching method and residue removal method |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
JP6772117B2 (en) * | 2017-08-23 | 2020-10-21 | 株式会社日立ハイテク | Etching method and etching equipment |
TWI757545B (en) * | 2017-09-15 | 2022-03-11 | 日商關東電化工業股份有限公司 | Atomic Layer Etching Using Acid Halides |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US11056358B2 (en) * | 2017-11-14 | 2021-07-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Wafer cleaning apparatus and method |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI716818B (en) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | Systems and methods to form airgaps |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US11086233B2 (en) * | 2018-03-20 | 2021-08-10 | Lam Research Corporation | Protective coating for electrostatic chucks |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
WO2019226341A1 (en) | 2018-05-25 | 2019-11-28 | Lam Research Corporation | Thermal atomic layer etch with rapid temperature cycling |
JP7204348B2 (en) * | 2018-06-08 | 2023-01-16 | 東京エレクトロン株式会社 | Etching method and etching apparatus |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
WO2020014065A1 (en) | 2018-07-09 | 2020-01-16 | Lam Research Corporation | Electron excitation atomic layer etch |
US10720337B2 (en) * | 2018-07-20 | 2020-07-21 | Asm Ip Holding B.V. | Pre-cleaning for etching of dielectric materials |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
US11217454B2 (en) | 2019-04-22 | 2022-01-04 | Hitachi High-Tech Corporation | Plasma processing method and etching apparatus |
GB202117752D0 (en) * | 2019-11-14 | 2022-01-26 | Memsstar Ltd | Method of manufacturing a microstructure |
US11024512B1 (en) | 2020-03-06 | 2021-06-01 | International Business Machines Corporation | Selective etch formulation for silicon oxide |
CN113785382B (en) * | 2020-04-10 | 2023-10-27 | 株式会社日立高新技术 | Etching method |
JP7110492B2 (en) | 2020-06-16 | 2022-08-01 | 株式会社日立ハイテク | Plasma processing apparatus and plasma processing method |
JP7174016B2 (en) * | 2020-07-16 | 2022-11-17 | 株式会社Kokusai Electric | Substrate processing method, semiconductor device manufacturing method, substrate processing apparatus, and program |
CN111994868B (en) * | 2020-08-12 | 2022-05-17 | 天津大学 | Extreme ultraviolet light and plasma composite atomic scale processing method |
JPWO2022050099A1 (en) * | 2020-09-01 | 2022-03-10 | ||
US11295960B1 (en) | 2021-03-09 | 2022-04-05 | Hitachi High-Tech Corporation | Etching method |
US20230030436A1 (en) * | 2021-07-30 | 2023-02-02 | Applied Materials, Inc. | Plasma treatment process to densify oxide layers |
KR20230087076A (en) * | 2021-12-09 | 2023-06-16 | 서울과학기술대학교 산학협력단 | Apparatus and deposition method for depositing atomic layer |
JP7474903B2 (en) | 2022-02-14 | 2024-04-25 | 株式会社日立ハイテク | Etching method |
JP2023184336A (en) * | 2022-06-17 | 2023-12-28 | 株式会社Kokusai Electric | Substrate processing method, method of manufacturing semiconductor device, substrate processing device, and program |
Family Cites Families (99)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
FI119941B (en) | 1999-10-15 | 2009-05-15 | Asm Int | A process for preparing nanolaminates |
BE757746A (en) | 1969-12-22 | 1971-04-01 | Ibm | ELECTRICAL POWER SUPPLY DEVICE WITHOUT TRANSFORMER |
US4313782A (en) | 1979-11-14 | 1982-02-02 | Rca Corporation | Method of manufacturing submicron channel transistors |
US4414069A (en) | 1982-06-30 | 1983-11-08 | International Business Machines Corporation | Negative ion beam selective etching process |
US4695327A (en) | 1985-06-13 | 1987-09-22 | Purusar Corporation | Surface treatment to remove impurities in microrecesses |
FR2617333B1 (en) | 1987-06-25 | 1990-01-05 | Straboni Alain | METHOD FOR ELIMINATING ZONES OF NITRIDE OR SILICON OXYNITRIDE |
US4756794A (en) | 1987-08-31 | 1988-07-12 | The United States Of America As Represented By The Secretary Of The Navy | Atomic layer etching |
US5030319A (en) | 1988-12-27 | 1991-07-09 | Kabushiki Kaisha Toshiba | Method of oxide etching with condensed plasma reaction product |
JPH069195B2 (en) * | 1989-05-06 | 1994-02-02 | 大日本スクリーン製造株式会社 | Substrate surface treatment method |
US5268069A (en) | 1991-10-28 | 1993-12-07 | International Business Machines Corporation | Safe method for etching silicon dioxide |
US5234540A (en) | 1992-04-30 | 1993-08-10 | Submicron Systems, Inc. | Process for etching oxide films in a sealed photochemical reactor |
JP3005373B2 (en) | 1992-10-23 | 2000-01-31 | 東京エレクトロン株式会社 | Processing equipment |
US5282925A (en) | 1992-11-09 | 1994-02-01 | International Business Machines Corporation | Device and method for accurate etching and removal of thin film |
US5922624A (en) * | 1993-05-13 | 1999-07-13 | Imec Vzw | Method for semiconductor processing using mixtures of HF and carboxylic acid |
US5505816A (en) | 1993-12-16 | 1996-04-09 | International Business Machines Corporation | Etching of silicon dioxide selectively to silicon nitride and polysilicon |
JP3328416B2 (en) * | 1994-03-18 | 2002-09-24 | 富士通株式会社 | Semiconductor device manufacturing method and manufacturing apparatus |
US5439553A (en) | 1994-03-30 | 1995-08-08 | Penn State Research Foundation | Controlled etching of oxides via gas phase reactions |
JPH07335602A (en) * | 1994-06-06 | 1995-12-22 | Dainippon Screen Mfg Co Ltd | Method and device for surface treatment of substrate |
US5635102A (en) * | 1994-09-28 | 1997-06-03 | Fsi International | Highly selective silicon oxide etching method |
US5636320A (en) | 1995-05-26 | 1997-06-03 | International Business Machines Corporation | Sealed chamber with heating lamps provided within transparent tubes |
US5792275A (en) | 1995-06-06 | 1998-08-11 | International Business Machines Corporation | Film removal by chemical transformation and aerosol clean |
US5880032A (en) * | 1995-07-31 | 1999-03-09 | Kabushiki Kaisha Toshiba | Method and apparatus for manufacturing a semiconductor device |
JPH09102490A (en) * | 1995-07-31 | 1997-04-15 | Toshiba Corp | Manufacture of semiconductor device and semiconductor manufacturing apparatus |
US5685951A (en) | 1996-02-15 | 1997-11-11 | Micron Technology, Inc. | Methods and etchants for etching oxides of silicon with low selectivity in a vapor phase system |
US5963833A (en) | 1996-07-03 | 1999-10-05 | Micron Technology, Inc. | Method for cleaning semiconductor wafers and |
US7052941B2 (en) | 2003-06-24 | 2006-05-30 | Sang-Yun Lee | Method for making a three-dimensional integrated circuit structure |
JPH10154712A (en) | 1996-11-25 | 1998-06-09 | Fujitsu Ltd | Manufacturing method of semiconductor device |
US5766971A (en) | 1996-12-13 | 1998-06-16 | International Business Machines Corporation | Oxide strip that improves planarity |
US5913140A (en) | 1996-12-23 | 1999-06-15 | Lam Research Corporation | Method for reduction of plasma charging damage during chemical vapor deposition |
US5876879A (en) | 1997-05-29 | 1999-03-02 | International Business Machines Corporation | Oxide layer patterned by vapor phase etching |
US5838055A (en) | 1997-05-29 | 1998-11-17 | International Business Machines Corporation | Trench sidewall patterned by vapor phase etching |
US6074951A (en) | 1997-05-29 | 2000-06-13 | International Business Machines Corporation | Vapor phase etching of oxide masked by resist or masking material |
US6706334B1 (en) | 1997-06-04 | 2004-03-16 | Tokyo Electron Limited | Processing method and apparatus for removing oxide film |
US5858830A (en) | 1997-06-12 | 1999-01-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of making dual isolation regions for logic and embedded memory devices |
US5968279A (en) | 1997-06-13 | 1999-10-19 | Mattson Technology, Inc. | Method of cleaning wafer substrates |
US7393561B2 (en) | 1997-08-11 | 2008-07-01 | Applied Materials, Inc. | Method and apparatus for layer by layer deposition of thin films |
JP3283477B2 (en) | 1997-10-27 | 2002-05-20 | 松下電器産業株式会社 | Dry etching method and semiconductor device manufacturing method |
US6107192A (en) | 1997-12-30 | 2000-08-22 | Applied Materials, Inc. | Reactive preclean prior to metallization for sub-quarter micron application |
US6030881A (en) | 1998-05-05 | 2000-02-29 | Novellus Systems, Inc. | High throughput chemical vapor deposition process capable of filling high aspect ratio structures |
US6146970A (en) | 1998-05-26 | 2000-11-14 | Motorola Inc. | Capped shallow trench isolation and method of formation |
US6204198B1 (en) | 1998-11-24 | 2001-03-20 | Texas Instruments Incorporated | Rapid thermal annealing of doped polycrystalline silicon structures formed in a single-wafer cluster tool |
US6740247B1 (en) * | 1999-02-05 | 2004-05-25 | Massachusetts Institute Of Technology | HF vapor phase wafer cleaning and oxide etching |
US6265302B1 (en) | 1999-07-12 | 2001-07-24 | Chartered Semiconductor Manufacturing Ltd. | Partially recessed shallow trench isolation method for fabricating borderless contacts |
US6346489B1 (en) | 1999-09-02 | 2002-02-12 | Applied Materials, Inc. | Precleaning process for metal plug that minimizes damage to low-κ dielectric |
US20010016226A1 (en) | 1999-12-15 | 2001-08-23 | International Business Machines Corporation | Method for preparing the surface of a dielectric |
US6335261B1 (en) | 2000-05-31 | 2002-01-01 | International Business Machines Corporation | Directional CVD process with optimized etchback |
US6483154B1 (en) | 2000-10-05 | 2002-11-19 | Advanced Micro Devices, Inc. | Nitrogen oxide plasma treatment for reduced nickel silicide bridging |
US6573181B1 (en) | 2000-10-26 | 2003-06-03 | Applied Materials, Inc. | Method of forming contact structures using nitrogen trifluoride preclean etch process and a titanium chemical vapor deposition step |
US6926843B2 (en) | 2000-11-30 | 2005-08-09 | International Business Machines Corporation | Etching of hard masks |
US6652713B2 (en) | 2001-08-09 | 2003-11-25 | Applied Materials, Inc. | Pedestal with integral shield |
US7513971B2 (en) | 2002-03-18 | 2009-04-07 | Applied Materials, Inc. | Flat style coil for improved precision etch uniformity |
AU2003245677A1 (en) | 2002-06-23 | 2004-01-06 | Aviza Technology, Inc. | Method and system for atomic layer removal and atomic layer exchange |
TWI278532B (en) | 2002-06-23 | 2007-04-11 | Asml Us Inc | Method for energy-assisted atomic layer deposition and removal |
US6803309B2 (en) | 2002-07-03 | 2004-10-12 | Taiwan Semiconductor Manufacturing Co., Ltd | Method for depositing an adhesion/barrier layer to improve adhesion and contact resistance |
US6817776B2 (en) | 2002-11-19 | 2004-11-16 | International Business Machines Corporation | Method of bonding optical fibers and optical fiber assembly |
US6774000B2 (en) | 2002-11-20 | 2004-08-10 | International Business Machines Corporation | Method of manufacture of MOSFET device with in-situ doped, raised source and drain structures |
US6858532B2 (en) | 2002-12-10 | 2005-02-22 | International Business Machines Corporation | Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling |
US6992011B2 (en) | 2003-01-15 | 2006-01-31 | Tokyo Electron Limited | Method and apparatus for removing material from chamber and wafer surfaces by high temperature hydrogen-containing plasma |
WO2004073850A1 (en) | 2003-02-14 | 2004-09-02 | Tokyo Electron Limited | Gas feeding apparatus |
US7079760B2 (en) | 2003-03-17 | 2006-07-18 | Tokyo Electron Limited | Processing system and method for thermally treating a substrate |
US7214274B2 (en) | 2003-03-17 | 2007-05-08 | Tokyo Electron Limited | Method and apparatus for thermally insulating adjacent temperature controlled processing chambers |
US7029536B2 (en) | 2003-03-17 | 2006-04-18 | Tokyo Electron Limited | Processing system and method for treating a substrate |
US6951821B2 (en) | 2003-03-17 | 2005-10-04 | Tokyo Electron Limited | Processing system and method for chemically treating a substrate |
US6790733B1 (en) | 2003-03-28 | 2004-09-14 | International Business Machines Corporation | Preserving TEOS hard mask using COR for raised source-drain including removable/disposable spacer |
KR100498494B1 (en) | 2003-04-08 | 2005-07-01 | 삼성전자주식회사 | Remote plasma enhanced cleaning apparatus of rotary transfer type |
US6882025B2 (en) | 2003-04-25 | 2005-04-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Strained-channel transistor and methods of manufacture |
US6693050B1 (en) | 2003-05-06 | 2004-02-17 | Applied Materials Inc. | Gapfill process using a combination of spin-on-glass deposition and chemical vapor deposition techniques |
US6716691B1 (en) | 2003-06-25 | 2004-04-06 | Sharp Laboratories Of America, Inc. | Self-aligned shallow trench isolation process having improved polysilicon gate thickness control |
KR100512939B1 (en) | 2003-07-10 | 2005-09-07 | 삼성전자주식회사 | trench isolation method |
KR100583637B1 (en) | 2003-08-19 | 2006-05-26 | 삼성전자주식회사 | Method of forming a tungsten contact in a semiconductor device and equipment of forming a tungsten contact |
US20050070120A1 (en) | 2003-08-28 | 2005-03-31 | International Sematech | Methods and devices for an insulated dielectric interface between high-k material and silicon |
US6967167B2 (en) | 2003-09-30 | 2005-11-22 | International Business Machines Corporation | Silicon dioxide removing method |
US7205233B2 (en) | 2003-11-07 | 2007-04-17 | Applied Materials, Inc. | Method for forming CoWRe alloys by electroless deposition |
US20050218113A1 (en) | 2004-03-30 | 2005-10-06 | Tokyo Electron Limited | Method and system for adjusting a chemical oxide removal process using partial pressure |
JP2005166700A (en) | 2003-11-28 | 2005-06-23 | Toshiba Corp | Semiconductor device and manufacturing method therefor |
US6949481B1 (en) | 2003-12-09 | 2005-09-27 | Fasl, Llc | Process for fabrication of spacer layer with reduced hydrogen content in semiconductor device |
US7098116B2 (en) | 2004-01-08 | 2006-08-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Shallow trench isolation method for reducing oxide thickness variations at different pattern densities |
US6852584B1 (en) | 2004-01-14 | 2005-02-08 | Tokyo Electron Limited | Method of trimming a gate electrode structure |
US20060051966A1 (en) | 2004-02-26 | 2006-03-09 | Applied Materials, Inc. | In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber |
US20050230350A1 (en) | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
KR20050110751A (en) | 2004-05-19 | 2005-11-23 | 주식회사 하이닉스반도체 | Method for forming isolation film of semiconductor device |
KR100599437B1 (en) | 2004-06-30 | 2006-07-12 | 주식회사 하이닉스반도체 | Method for isolation in semiconductor device |
US7521378B2 (en) | 2004-07-01 | 2009-04-21 | Micron Technology, Inc. | Low temperature process for polysilazane oxidation/densification |
US7148155B1 (en) | 2004-10-26 | 2006-12-12 | Novellus Systems, Inc. | Sequential deposition/anneal film densification method |
WO2008088300A2 (en) * | 2005-03-08 | 2008-07-24 | Primaxx, Inc. | Selective etching of oxides from substrates |
JP2006261451A (en) * | 2005-03-17 | 2006-09-28 | Sony Corp | Etching method |
KR20080014799A (en) | 2005-04-28 | 2008-02-14 | 가부시키가이샤 피즈케믹스 | Etching method, method for producing dielectric film of low dielectric constant, method for producing porous member, etching system and thin film forming equipment |
KR100746223B1 (en) | 2005-09-09 | 2007-08-03 | 삼성전자주식회사 | Trench isolation methods of semiconductor device |
US20070063277A1 (en) | 2005-09-22 | 2007-03-22 | International Business Machines Corporation | Multiple low and high k gate oxides on single gate for lower miller capacitance and improved drive current |
US7435661B2 (en) | 2006-01-27 | 2008-10-14 | Atmel Corporation | Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation |
JP2007258266A (en) | 2006-03-20 | 2007-10-04 | Fujitsu Ltd | Method of manufacturing semiconductor device |
US7416989B1 (en) | 2006-06-30 | 2008-08-26 | Novellus Systems, Inc. | Adsorption based material removal process |
JP2008210909A (en) | 2007-02-26 | 2008-09-11 | Toshiba Corp | Manufacturing method for semiconductor device |
US7977249B1 (en) | 2007-03-07 | 2011-07-12 | Novellus Systems, Inc. | Methods for removing silicon nitride and other materials during fabrication of contacts |
US20080233709A1 (en) | 2007-03-22 | 2008-09-25 | Infineon Technologies North America Corp. | Method for removing material from a semiconductor |
US8187486B1 (en) | 2007-12-13 | 2012-05-29 | Novellus Systems, Inc. | Modulating etch selectivity and etch rate of silicon nitride thin films |
US7981763B1 (en) | 2008-08-15 | 2011-07-19 | Novellus Systems, Inc. | Atomic layer removal for high aspect ratio gapfill |
US8058179B1 (en) | 2008-12-23 | 2011-11-15 | Novellus Systems, Inc. | Atomic layer removal process with higher etch amount |
CN103117216B (en) * | 2011-11-17 | 2015-08-05 | 中芯国际集成电路制造(上海)有限公司 | Fleet plough groove isolation structure is avoided to produce the manufacture method of the semiconductor device of unfilled corner |
-
2015
- 2015-01-05 US US14/589,610 patent/US9431268B2/en active Active
- 2015-12-17 EP EP15200663.1A patent/EP3041034A1/en not_active Withdrawn
- 2015-12-22 TW TW104143047A patent/TWI683925B/en active
- 2015-12-24 JP JP2015250922A patent/JP6742720B2/en active Active
- 2015-12-31 CN CN201511027151.7A patent/CN105762060B/en active Active
-
2016
- 2016-01-04 KR KR1020160000420A patent/KR102598662B1/en active IP Right Grant
- 2016-01-04 SG SG10201600021UA patent/SG10201600021UA/en unknown
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10923358B2 (en) | 2016-02-19 | 2021-02-16 | Tokyo Electron Limited | Substrate processing method |
Also Published As
Publication number | Publication date |
---|---|
US20160196984A1 (en) | 2016-07-07 |
KR20160084313A (en) | 2016-07-13 |
CN105762060A (en) | 2016-07-13 |
US9431268B2 (en) | 2016-08-30 |
KR102598662B1 (en) | 2023-11-03 |
CN105762060B (en) | 2020-05-01 |
TW201700778A (en) | 2017-01-01 |
EP3041034A1 (en) | 2016-07-06 |
JP6742720B2 (en) | 2020-08-19 |
TWI683925B (en) | 2020-02-01 |
JP2016129227A (en) | 2016-07-14 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
SG10201600021UA (en) | Isotropic atomic layer etch for silicon and germanium oxides | |
SG10202005212QA (en) | Composition for etching and method for manufacturing semiconductor device using same | |
SG11201707998TA (en) | Method for atomic layer etching | |
SG11201701159QA (en) | Atomic layer etching device and atomic layer etching method using same | |
EP3161869A4 (en) | Non-planar semiconductor device having omega-fin with doped sub-fin region and method to fabricate same | |
TWI563546B (en) | Semiconductor device and methods of forming the same | |
TWI563574B (en) | Finfet devices and methods of forming | |
SG11201606536XA (en) | Semiconductor device and manufacturing method thereof | |
EP3320564A4 (en) | Semiconductor device with non-uniform trench oxide layer | |
HK1223192A1 (en) | Semiconductor device and manufacturing method thereof | |
SG11201507640QA (en) | Electromechanical device and method of fabricating the same | |
SG11201605303XA (en) | Silicon wafer pre-alignment device and method therefor | |
EP3311398A4 (en) | Transition metal dry etch by atomic layer removal of oxide layers for device fabrication | |
TWI562334B (en) | Semiconductor device and methods for forming the same | |
IL247462A0 (en) | Atomic layer deposition of germanium or germanium oxide | |
TWI563624B (en) | Semiconductor device structure and method of fabricating the same | |
GB2551017B (en) | Etching gas composition for silicon compound, and etching method | |
EP2993690A4 (en) | Silicon carbide semiconductor device and method for producing silicon carbide semiconductor device | |
TWI562362B (en) | Semiconductor device structure and method for forming the same | |
EP3010037A4 (en) | Silicon carbide semiconductor device manufacturing method | |
SG11201506429SA (en) | Epitaxial silicon wafer and method for manufacturing same | |
TWI563604B (en) | Semiconductor device and fabricating method thereof | |
EP3061735A4 (en) | Sintered oxide and semiconductor device | |
PL3245158T3 (en) | Device and method for producing silicon carbide | |
GB201400518D0 (en) | Semiconductor devices and fabrication methods |