TW202217457A - 用於含金屬光阻沉積的表面改質 - Google Patents

用於含金屬光阻沉積的表面改質 Download PDF

Info

Publication number
TW202217457A
TW202217457A TW110122525A TW110122525A TW202217457A TW 202217457 A TW202217457 A TW 202217457A TW 110122525 A TW110122525 A TW 110122525A TW 110122525 A TW110122525 A TW 110122525A TW 202217457 A TW202217457 A TW 202217457A
Authority
TW
Taiwan
Prior art keywords
substrate
plasma
metal
containing photoresist
promoting adhesion
Prior art date
Application number
TW110122525A
Other languages
English (en)
Inventor
正義 游
李達
李英姬
暹華 陳
艾倫 J 詹森
薛君
瑪利 安 馬楠皮爾
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202217457A publication Critical patent/TW202217457A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3328Problems associated with coating adhesion, stress, lift-off of deposited films
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

本文中所述之技術係關於促進基板與含金屬光阻之間黏著之方法、設備及系統。例如,方法可包括:在反應腔室中接收基板,基板具有外露在其表面上之第一材料,第一材料包含基於矽的材料及∕或基於碳的材料;由電漿產生氣體源產生電漿,電漿產生氣體源係實質上不含矽,其中電漿包含化學官能基團;使基板暴露至電漿,以藉由在第一材料與來自電漿之該等化學官能基團之間形成鍵結而對基板之表面進行改質;及沉積含金屬光阻在基板之改質表面上,其中在第一材料與該等化學官能基團之間之鍵結係促進在基板與含金屬光阻之間之黏著。

Description

用於含金屬光阻沉積的表面改質
本文中所揭示之內容係關於用於含金屬光阻沉積之表面改質。
隨著半導體製造之持續進步,特徵部尺寸持續縮小並且需要新的處理方法。正在發展的一個領域是關於圖案化,例如使用含金屬的光阻材料,包括但不限於對EUV輻射敏感者。
本文中所提出之先前技術大致上用於呈現本揭示內容之背景。在此先前技術部分中所述之本案發明人之成果範圍、以及不適格做為申請時之先前技術之實施態樣,皆非直接或間接地被承認為對抗本揭示內容之先前技術。
本文中之各種實施例係關於用於處理半導體基板之方法、設備及系統。這樣的方法、設備及系統可促進基板與含金屬光阻之間之黏著。
在所揭示實施例之一態樣中,提出一種促進基板與含金屬光阻之間黏著之方法,包括:(a) 提供該基板,基板之一表面包含第一材料,第一材料包含基於矽的材料及∕或基於碳的材料;(b) 由電漿產生氣體源產生電漿,其中電漿產生氣體源係實質上不含矽,及其中電漿包含複數化學官能基團;(c) 使基板暴露至電漿,以藉由在第一材料與來自電漿之該等化學官能基團之間形成鍵結而對基板之表面進行改質;及 (d) 在 (c) 之後,沉積含金屬光阻在基板之改質表面上,其中在第一材料與來自電漿之該等化學官能基團之間之鍵結係促進在基板與含金屬光阻之間之黏著。
在各種實施例中,可使用一或更多特定的電漿產生氣體源。在許多例子中,電漿產生氣體源可包含至少一有機物種。例如,在一些實施例中,電漿產生氣體源可包含二氧化碳。在這些或其它實施例中,電漿產生氣體源可包含一氧化碳。在這些或其它實施例中,電漿產生氣體源可包含水蒸氣。在這些或其它實施例中,電漿產生氣體源可包含醇類蒸氣。在這些或其它實施例中,電漿產生氣體源可包含一鹵素氣體。在這些或其它實施例中,電漿產生氣體源可包含雙原子氧(O 2)及∕或臭氧(O 3)。在這些或其它實施例中,電漿產生氣體源可包含過氧化氫(H 2O 2)。在這些或其它實施例中,電漿可包含選自於一群組之一或更多化學官能基團,該群組係由O自由基、OH自由基、CO自由基、Cl自由基、Br自由基、I自由基及其組合所構成。
在各種實施例中,電漿產生氣體源係實質上不含反應性氮。在這些或其它實施例中,電漿產生氣體源可更包含惰性氣體及∕或氫(H 2)。
可使用各種不同的電漿配置。在一些例子中,電漿可遠程地產生並且輸送至反應腔室,在該反應腔室中使基板暴露至電漿。在其它例子中,電漿可在反應腔室中原位地產生,在該反應腔室中使基板暴露至電漿。
在基板上之第一材料可具有特定組成。例如,在一些例子中,第一材料可包含非晶形碳、旋塗碳、旋塗玻璃、矽碳化物或矽碳氧化物。在一些例子中,第一材料包含非晶矽、矽氧化物、矽氮化物或矽氮氧化物。
本文中之方法可用於促進特定鍵結結構之形成。在各種實施例中,在第一材料與來自電漿之化學官能基團之間之鍵結係藉由當在 (d) 中沉積含金屬光阻時促進金屬-氧鍵結在基板表面上之形成,因而促進在基板與含金屬光阻之間之黏著。在某些實行例中,使基板暴露至電漿可形成C=O鍵、C-OH鍵、C-Cl鍵、C-Br鍵、C-I鍵、Si-O鍵、Si-OH鍵、Si-Cl鍵、Si-Br鍵、Si-I 鍵或其組合。在各種實行例中,沉積含金屬光阻在基板之改質表面上係形成C-O-金屬鍵及∕或Si-O-金屬鍵。
本文中所述之方法可使用一或更多反應腔室來執行。該一或更多反應腔室亦可用於沉積、蝕刻、基板處理等。例如,在一些例子中,(c) 可在沉積第一材料在基板上之後、在使第一材料沉積在基板上之反應腔室中發生。在這些或其它實施例中,(c) 及 (d) 可發生在同一反應腔室中。
在一些實施例中,方法可更包括:在 (d) 之前,使基板暴露至第二電漿,第二電漿包含惰性氣體,其中使基板暴露至第二電漿係增加基板之表面積。這樣的表面積增加可進一步促進在基板與含金屬光阻之間之黏著。
在一些實行例中,第一材料可為硬遮罩材料。在一些實行例中,第一材料可為多孔性界面層。在各種實行例中,電漿產生氣體源可包含二氧化碳,該方法可更包括:在 (c) 中使基板暴露至電漿與在 (d) 中沉積含金屬光阻之間,等待至少約3小時。在一些實施例中,在 (c) 中使基板暴露至電漿僅僅對第一材料之最高5 Å或更少進行改質。在各種實施例中,在 (c) 中使基板暴露至電漿不會在含金屬光阻進行顯影時造成光阻殘渣形成之增加。
在一些例子中,可使用特定處理條件。例如,在一些例子中,電漿產生氣體源包含二氧化碳,電漿可在介於約5-100 mTorr之間之壓力及介於約50-1,000 W之間之RF功率下產生。在一些例子中,電漿產生氣體源包含水,電漿可在介於約5-300 mTorr之間之壓力及介於約100-2,000 W之間之RF功率下產生。在各種實行例中,在 (b) 及 (c) 期間,電漿產生氣體源可在介於約100-5000 sccm之間之速率下流動。在這些或其它實施例中,(c) 可發生在介於約20-100 ℃之間之溫度下。在一些實施例中,該方法可更包括:當在 (c) 中使基板暴露至電漿時、或當在 (c) 之前使基板暴露至第二電漿時,施加一偏壓在基板上,該偏壓係上達約100 V,其中施加偏壓在基板上係將離子吸引至基板之表面,因而使基板之表面粗糙化。在一些這樣的例子中,施加至基板之偏壓可介於約0-50 V之間。
在所揭示實施例之另一態樣中,提出一種促進基板與含金屬光阻之間黏著之系統,該系統包括:至少一反應腔室;至少一電漿產生器;至少一入口,用以提供氣體及∕或電漿至該至少一反應腔室;及一控制器,具有至少一處理器,其中該至少一處理器係配置以控制該至少一反應腔室、該至少一電漿產生器及該至少一入口,以引起所請求或本文中所述之方法之任一者。
在所揭示實施例之又一態樣中,提出一種促進基板與含金屬光阻之間黏著之系統,包括:至少一反應腔室;至少一電漿產生器;至少一入口,用以提供氣體及∕或電漿至該至少一反應腔室;及一控制器,具有至少一處理器,該至少一處理器係配置以控制該至少一反應腔室、該至少一電漿產生器及該至少一入口,以引起:(a) 在該至少一反應腔室中接收基板,基板之一表面包含第一材料,其中第一材料包含基於矽的材料及∕或基於碳的材料;(b) 由電漿產生氣體源產生電漿,電漿包含複數化學官能基團;(c) 提供電漿至該至少一反應腔室,其中藉由在第一材料與來自電漿之該等化學官能基團之間所形成之複數鍵結,對基板之表面進行改質;及 (d) 在 (c) 之後,提供含金屬光阻至該至少一反應腔室,其中含金屬光阻係沉積在基板之改質表面上,及其中在第一材料與來自電漿之該等化學官能基團之間之該等鍵結係促進在基板與含金屬光阻之間之黏著。
在一些這樣的實施例中,該至少一處理器係控制該至少一入口,以提供電漿及含金屬光阻至該至少一反應腔室其中之一給定反應腔室。在其它實施例中,該至少一處理器係控制該至少一入口,以提供電漿及含金屬光阻至該至少一反應腔室其中之二不同腔室。
在所揭示實施例之又一態樣中,提出一種結構,包括:基板;第一材料,沉積在基板上,第一材料係基於矽的或基於碳的並且包括改質表面,改質表面包括羥基基團;及含金屬光阻,沉積在第一材料之改質表面上,其中含金屬光阻及改質表面係形成金屬-氧-矽鍵結及∕或金屬-氧-碳鍵結。
在以下的敘述中,將提出數個特定細節以提供對所述實施例之徹底瞭解。所揭示的實施例可在缺乏部分或全部這些特定細節之例子中實施。在其它例子中,不詳細說明習知的處理操作,以免不必要地模糊所揭示的實施例。雖然將利用特定的實施例來說明所揭示的實施例,但應當瞭解,其並非意圖限制所揭示之實施例。在本文中,用語光阻及光阻劑是可交換使用的。
薄膜之圖案化通常是半導體製造中之重要步驟。圖案化涉及微影。在傳統的光微影中,例如193 nm光微影,圖案之印製係藉由將光子從光子源發射至遮罩上並且將圖案印製至光敏感的光阻上,從而在光阻中引起化學反應,在顯影後去除光阻之某些部分以形成圖案。
先進技術節點(如國際半導體技術藍圖所定義)包括 22 nm、16 nm及更小的節點。例如,在16 nm節點中,鑲嵌結構中之典型介層窗或線之寬度通常不大於約30 nm。先進半導體積體電路 (IC) 及其它元件上之特徵部縮放正在驅使微影技術以提高解析度。
極紫外(EUV)微影可藉由移動至比傳統光微影方法可實現之更小的成像來源波長來擴展微影技術。大約10-20 nm波長或11-14 nm波長(例如 13.5 nm波長)之EUV光源可用於前沿微影工具,亦稱為掃瞄機。EUV輻射被廣泛的固體及流體材料(包括石英及水蒸氣)強烈吸收,因此在真空中操作。
EUV微影利用EUV光阻,EUV光阻可使用EUV光進行圖案化,以形成用於蝕刻下方層之遮罩。在某些例子中,EUV光阻是藉由基於液體的旋塗技術所產生之基於聚合物的化學放大光阻(CAR)。CAR之替代物是可直接光圖案化的含金屬EUV光阻膜。此類光阻膜可藉由(濕式)旋塗技術而產生,例如從Inpria, Corvallis, OR可購得者以及如美國專利公開案US 2017/0102612及 US 2016/0116839中所述者,其藉由引用而併入本文中,至少對於其揭示之可光圖案化的含金屬氧化物膜、或乾式蒸氣沉積,如2019年5月9日所提出且標題為METHODS FOR MAKINGEUVPATTERNABLE HARD MASKS之申請案 PCT/US19/31618中所述,其至少關於可直接光圖案化的金屬氧化物膜之組成及圖案化以形成EUV光阻遮罩之揭示內容係藉由引用而併入本文中。這些可直接光圖案化的EUV光阻可由高EUV吸收金屬及其有機金屬氧化物∕氫氧化物及其它衍生物所構成或包含其。在EUV曝光時,EUV光子以及所產生之二次電子可引發化學反應,例如在基於SnOx的光阻中(以及在其它含金屬光阻中)中之β-H去除反應,並提供化學功能以促進交聯及在光阻膜中之其它變化。接著可在顯影步驟中利用這些化學變化,以選擇性地移除光阻膜之曝光或未曝光區域,並且產生用於圖案轉移之蝕刻遮罩。這些有機金屬光阻是非常有前途的,因為它們可增強EUV光子吸附並產生二次電子及∕或顯示出對下方膜堆疊及元件層之蝕刻選擇性增加。
儘管本文中之技術係以使用對EUV輻射敏感之含金屬光阻之圖案化應用而呈現,但實施例不限於此。通常,本文中之技術可廣泛適用於使用含金屬光阻之各種圖案化應用。換言之,在各種實施例中,除了EUV輻射,所沉積之含金屬光阻材料可能對其它輻射(例如,任何習知的微影波長之輻射)是敏感的。
目前的含金屬光阻材料(以及其它光阻材料)經常苦於與下方層之黏著性不佳。下方層可為各種材料,例如非晶形碳、旋塗碳、旋塗玻璃、非晶矽、矽氧化物、矽氮化物、矽氮氧化物、矽碳化物、矽碳氧化物等。在許多例子中,下方層為硬遮罩層。在各種例子下,下方層為基於矽的材料或基於碳的材料。可能存在之其它元素包括,例如,氧、氮及氫。
為了克服黏著性不佳之問題,經常在下方層與光阻層之間提供相當厚的黏著層(有時稱為底層)。黏著層促進在下方層與光阻層之間之高品質黏著,從而降低了這些層在後續處理期間脫層之風險。替代地或另外地,在一些例子中,可沉積光阻層,以包括黏著促進劑在光阻材料內。在用於傳統化學放大光阻之另一種方法中,可在沉積光阻之前將下方層暴露於六甲基二矽氮烷(HMDS)之蒸氣形式,以促進下方層與光阻之間之黏著。
然而,這些技術在圖案轉移期間可能導致大量問題,如圖1A-1F所示,其描繪出在數個圖案化操作過程中之部分製造的半導體基板。圖1A顯示其上形成有下方層102之半導體基板101。下方層102可包括上述下方層材料其中任一者。在基板101上形成下方層102之後,在下方層102上形成黏著層103,如圖1B 所示。在一範例中,黏著層103為旋塗碳或旋塗玻璃,其沉積厚度為約5-10 nm。接著,如圖1C所示,在黏著層103上沉積光阻層104。在沉積光阻層104之後,在微影操作中將其暴露至輻射以形成曝光區域104a及未曝光區域104b,如圖1D所示。接著,使光阻層104進行顯影,從而移除未曝光區域104b,而曝光區域104a保留在基板101上,如圖1E所示。接著,使用光阻層104之曝光區域104a做為遮罩,以蝕刻凹陷特徵部穿過黏著層103並進入下方層102,如圖1F所示。在其它範例中,根據所使用的材料,可移除曝光區域104a,而可保留未曝光區域104b。
在許多例子中,黏著層103之存在導致形成缺陷,例如足部缺陷105及橋接缺陷106,兩者皆顯示在圖1F中。當黏著層103及下方層102被蝕刻但被蝕刻的特徵部不滿足足夠的尺寸或均勻性要求時,可能發生足部缺陷105。例如,圖1F中所示之足部缺陷105在黏著層103中具有不均勻的寬度,導致在黏著層103及下方層102之某些深度中之寬度不足,從而造成蝕刻中特徵部之臨界尺寸減小。在這樣的例子中,被蝕刻特徵部之底部具有比被蝕刻特徵部之頂部更小的臨界尺寸,這是非期望的(例如,因為特徵部之底部太窄)。當黏著層 103 沒有被蝕刻穿過黏著層 103 之整個厚度時,可能發生橋接缺陷 106。當此發生時,會妨礙凹陷特徵部在下方層 102 中形成。這些足部及橋接缺陷 105 及 106可能造成特徵部缺少(例如,介層窗及孔缺少)、以及不完整的開口及不完整的電性連接。
在各種例子中,可能由於黏著層之蝕刻不足而形成足部及橋接缺陷,這可能是光阻殘渣(scum)之結果。光阻殘渣可能是由光阻與光阻之下層之間之元素相互擴散所引起。在使用習知的化學放大光阻之一些例子中,黏著層可為藉由將下方層暴露至六甲基二矽氮烷(HMDS)蒸氣打底處理所形成之相當薄的層。由於HMDS所提供之矽及∕或氮之存在,HMDS 蒸氣打底處理會改善在下方層與光阻層之間之黏著力。然而,來自HMDS(及∕或來自其它黏著層材料)之矽及∕或氮可能在光阻層進行顯影之後造成大量的光阻殘渣。光阻殘渣通常是指在光阻層顯影之後、非期望地留在基板上之材料(例如,光阻材料及與光阻材料發生反應之任何物質)。這樣的材料經常留在凹陷特徵部之底部附近,尤其是底部角落附近。光阻殘渣經常導致足部及橋接缺陷之形成,例如因為光阻殘渣表現出比同時被蝕刻之其它材料更慢的蝕刻速率。在下方材料包括碳或被基於O 2的化學品(其對於去除矽及氮是無效的)所蝕刻之其它材料之例子中,這尤其成問題。
為了避免與圖1A-1F所示之方法相關之缺陷問題,可實施替代的表面處理,以促進在下方層與光阻層之間之黏著,而不增加光阻殘渣之形成。如以下所進一步討論,表面處理亦可具有降低劑量對尺寸(dose-to-size)之好處。圖2A-2F描繪出示例性處理。圖2A繪示其上形成有下方層202之基板201。下方層202可包括以上所討論之任何下方層材料。在沉積下方層202之後,將基板201暴露至由電漿產生氣體源所產生之電漿,從而形成改質材料203之薄層在下方層202之上表面上,如圖2B所示。改質材料203通常非常薄,例如約1-5單層厚、或約1-2單層厚。這可對應於在約 2-5 Å之間之改質材料厚度。為了說明之目的,改質材料203之厚度在圖2B-2F中被大大地誇大了。在各種例子中,將基板暴露至電漿僅僅改變下方層 202 之最高5 Å或更少。
電漿包括化學官能基團,其將下方層202之表面改質。可使用各種不同類型之化學官能基團。
在一些實施例中,電漿產生氣體源包括氧或其它含氧物種,且電漿包括氧自由基及∕或含氧自由基(例如,O自由基、OH自由基、CO自由基等),其可與下方層202進行反應。在一些實施例中,電漿產生氣體源包括一或更多鹵素氣體,例如氯(Cl 2)、溴(Br 2)、碘(I 2)等,且電漿包括氯自由基、溴自由基、碘自由基或其組合。形成改質材料203之反應可為自限性的。
將基板暴露至電漿可導致在下方層202與電漿中之化學官能基團之間形成鍵結。例如,在下方層 202 包括矽及∕或碳且電漿產生氣體包括氧或其它含氧物種之一些例子中,將下方層 202 暴露至電漿可導致在下列者之間形成鍵結:(1)來自下方層202之碳及∕或矽、及(2)來自電漿中之化學官能基團之氧。換言之,將下方層202暴露至電漿可導致C-O鍵(在某些例子中,C-OH鍵)、Si-O鍵(在某些例子中,Si-OH鍵)或其組合之形成,取決於下方層202之材料及電漿之成分。類似地,在下方層 202 包括矽及∕或碳且電漿產生氣體包括鹵素氣體之一些例子中,將下方層 202 暴露至電漿可導致在下列者之間形成鍵結:(1) 來自下方層202之碳及∕或矽、及(2)來自電漿中之化學官能基團之鹵素。在此例子中,將下方層 202 暴露至電漿可導致C-鹵素鍵(例如,C-Cl鍵、C-Br鍵、C-I鍵等)、Si-鹵素鍵(例如,Si-Cl鍵、Si-Br鍵、Si-I 鍵等)或其組合之形成。電漿處理在下方層202與改質材料203之間產生非常穩定的鍵結。在一些例子中,改質材料203包括-OH末端、-O末端、-Cl末端、-Br末端及∕或-I末端。
在一些實施例中,改質材料203在其初始形成之後被進一步改質,如以下關於圖4C之進一步描述。此進一步改質可能涉及將一些或全部的-O末端(或存在於基板表面上之其它末端)轉換為-OH末端。可藉由將基材暴露至水氣(例如,H 2O)及∕或另一含羥基物種以實現進一步改質。在一特定範例中,進一步改質僅僅涉及,確保在將下方材料202暴露至電漿之後且在沉積光阻層204之前存在等待期間(例如,3小時或更長,在某些例子中為3-24小時),其中在等待期間基板係暴露至大氣(或其它含水∕羥基的環境)。
在形成改質材料203之後,沉積光阻層204,如圖2C所示。光阻層204為例如上述之含金屬光阻材料。在光阻層204之沉積期間,在下方層202與來自電漿之化學官能基團(例如,氧、鹵素等)之間之鍵結促進了在基板(例如,在下方層202中之碳及∕或矽)與光阻層204(例如,在光阻層204中之金屬原子)之間之黏著。例如,在改質材料203包括-OH末端之例子中,一般認為來自光阻層204(或來自用於形成光阻層204之含金屬前驅物)之金屬與改質材料203中之-OH末端進行反應,從而形成O-金屬鍵結。例如,當下方層202包括矽時,光阻層204之沉積可能導致Si-O-金屬鍵結之形成。類似地,當下方材料202包括碳時,光阻層之沉積可能導致C-O-金屬鍵結之形成。在改質材料203包括-鹵素末端之另一範例中,一般認為高反應性的-鹵素末端容易被來自光阻層204之金屬(或被用於形成光阻層204之含金屬前驅物)所替代,從而形成 C-金屬鍵結及∕或 Si-金屬鍵結。所形成之鍵結結構在下方層202與光阻層204之間提供了優異的黏著性。此外,達成了優異的黏著性,而沒有引入額外的矽或氮,否則其可能造成顯著的光阻殘渣問題並且導致顯著缺陷。
接著,在微影操作中使基板201暴露至輻射,以形成光阻層204之曝光部分204a及未曝光部分204b,如圖2D中所示。接著,使光阻層204進行顯影,以去除未曝光部分204b,而保留曝光部分204a在基板201上,如圖2E所示。可使用濕式顯影方法或乾式顯影方法。在顯影之後,使由光阻層204之曝光部分204a所界定之凹陷特徵部延伸穿過改質材料203並且進入下方材料202,如圖2F所示。因為改質材料203非常薄且基本上不含矽及氮,所以它不會引起關於圖1F所述之缺陷問題。此表示顯著的改善。
除了防止關於圖 1A-F 及圖2A-F所述之缺陷之外,本文中所述之技術可提供降低劑量對尺寸之進一步優點。通常希望在光阻層內產生目標交聯量,以達成可接受的微影及蝕刻結果。可藉由將光阻暴露至EUV輻射以實現一些這種交聯。然而,通常亦希望使傳遞至基板之EUV輻射量最小化,例如,以降低劑量對尺寸。已經顯示,本文中所述之技術有利地減少了在光阻層內實現目標交聯度所需之EUV輻射量。這些結果將在以下的實驗部分做進一步討論。
圖3A-3C描繪一實施例,藉由將下方層暴露至由水所產生之電漿而對其進行改質。圖3A顯示出在改質之前存在於下方層302上之材料。在此特定範例中,下方層302為含碳且可灰化的硬遮罩材料,但應當理解,在其它例子中可使用其它基於碳及矽的材料而具有類似效果。
圖3B顯示出在改質期間當基板暴露至電漿310時存在於下方層302上且在電漿310附近之材料。如上所述,在此範例中,電漿310由水所產生,因此包括H 2O、H自由基及 OH 自由基、以及離子。電漿310將下方層302之上表面上之C=C鍵打斷,並且在α-碳位置形成反應性CO-OH基團。
圖3C顯示出在下方層材料302上沉積光阻層304之初始部分期間存在於基板上之材料。在此範例中,光阻層304是基於錫的有機金屬材料,但亦可使用其它含金屬的光阻材料。當沉積光阻層304時,在含金屬光阻前驅物中之金屬與CO-OH基團進行反應,從而形成 C-O-Sn鍵結結構,其將光阻層 304 之錫徹底黏附至下方層 302 之碳。當光阻層304完全沉積時,可能發生額外的交聯,例如形成Sn-O-Sn鍵結結構。在後續的烘烤步驟中,光阻層係暴露至高溫,亦可能發生額外的交聯。
在電漿由水所產生之一些實施例中,可使用以下的一或更多反應條件。反應腔室中之壓力可介於約5-300 mTorr之間,在一些例子中介於約100-200 mTorr之間。用於產生電漿之RF功率可介於約100-2000 W之間,在一些例子中介於約500-1000 W之間。可以介於約100-5000 sccm之間之流率提供水,在一些例子中介於約100-500 sccm之間。基板可放置在溫度受控的基板支撐件上。當基板暴露至電漿時,基板支撐件可維持在介於約20-100 ℃之間之溫度,在一些例子中介於約20-30 ℃之間。在電漿處理期間,可施加偏壓至基板,例如上達約50 V。在以下的實驗部分中,將討論與這些實施例相關之實驗結果。
圖4A-4D描繪一實施例,藉由將下方層暴露至由二氧化碳所產生之電漿而對其進行改質。圖4A顯示出在改質之前存在於下方層402上之材料。在此範例中,下方層402為含碳且可灰化的硬遮罩材料,但應當理解,在其它例子中可使用其它基於碳及矽的材料而具有類似效果。
圖4B顯示出在改質期間當基板暴露至電漿410時存在於下方層402上且在電漿410附近之材料。電漿410將下方層402之上表面上之C=C鍵打斷,並且在α-碳位置中插入CO基團。
圖4C顯示出在下方層402暴露至電漿之後且在沉積光阻層404之前之時段期間存在於下方層402中且在大氣411附近之材料。大氣411中存在之水氣(H 2O)與下方層402表面上之CO基團起反應,從而形成反應性CO-OH基團。如上所述,在某些實施例中,在改質下方層之後且在沉積光阻層之前使用等待期間,以確保下方層402適當地充滿CO-OH基團及∕或其它羥基末端。
圖4D顯示出在沉積光阻層404之初始部分期間存在於基板上之材料。在此範例中,光阻層404為基於錫的有機金屬材料,但亦可使用其它含金屬的光阻材料。當沉積光阻層 404時,含金屬光阻前驅物中之金屬與CO-OH基團(或其它羥基末端)進行反應,從而形成 C-O-Sn 鍵結結構,將光阻層 404 之錫黏附至下方層402之碳。當光阻層404完全沉積時,可能發生額外的交聯,例如形成Sn-O-Sn鍵結結構。如上所述,在後續的烘烤步驟中,亦可能發生額外的交聯。
在電漿由二氧化碳所產生之一些實施例中,可使用以下的一或更多反應條件。反應腔室中之壓力可介於約5-100 mTorr之間,在一些例子中介於約10-30 mTorr之間。用於產生電漿之 RF 功率可介於約 50-1000 W之間,在一些例子中介於約 100-200 W之間。可以介於約 100-5000 sccm之間之流率提供二氧化碳,在一些例子中介於約100-500 sccm。基板可放置在溫度受控的基板支撐件上。當基板暴露至電漿時,基板支撐件可維持在介於約20-100 ℃之間之溫度,在一些例子中介於約20-30 ℃之間。在電漿處理期間,可施加偏壓至基板,例如上達約50 V。在以下的實驗部分中,將討論與這些實施例相關之實驗結果。
雖然已經提供了關於圖3A-3C及圖4A-4D之示例性處理條件,但應當理解,在其它實施例中可使用類似或不同的處理條件,其中電漿係由替代的或額外的物種(例如,鹵素或其它物質)所產生。一般而言,不管電漿之組成為何,在各種實施例中可使用以下的一或更多處理條件。反應腔室中之壓力可介於約5-500 mTorr之間、或介於約5-300 mTorr之間、或介於約5-100 mTorr之間、或介於約10-30 mTorr之間、或介於約100-200 mTorr之間。用於產生電漿之RF功率可介於約 50-3000 W之間、或介於約 50-2000 W之間、或介於約 50-1000 W之間、或介於約 500-1000 W之間、或介於約 100-2000 W之間、或介於約 100-200 W之間。可施加任選的偏壓至基板。當使用時,偏壓可介於約0-500 V之間、或介於約0-100 V之間、或介於約0-50 V之間。偏壓可為至少約 1 V。可以介於約 20%-100%之間之工作週期而產生電漿。用於產生電漿之氣體可以介於約100-6000 sccm之間、或介於約100-5000 sccm之間、或介於約100-1000 sccm之間、或介於約100-500 sccm之間之流率流動。基板支撐件可維持在介於約10-120 ℃之間、或介於約20-100 ℃之間、或介於約20-50 ℃之間、或介於約20-30 ℃之間之溫度。基板可在單一連續時間或多個不連續時間內暴露至電漿。在一些例子中,基板暴露至電漿之總持續時間可介於約5-60秒之間、或介於約10-20秒之間。在基板在多個不連續時間內暴露至電漿之例子中,每一電漿暴露之持續時間可介於約1-10秒之間。暴露時間之次數可介於約1-50之間。
可控制處理條件,以達成在改質材料∕下方層上之-O、-OH、-Cl、-Br及∕或-I末端之期望濃度。例如,可控制壓力、氣體流率及組成比、RF功率、溫度及上述其它處理條件,以達成此目的。
電漿可以數個不同的方式而產生。在一些例子中,電漿係遠程地產生、接著被輸送至腔室∕處理空間,在此處基板暴露至電漿。在一些例子中,電漿係直接原位(in-situ)產生在腔室∕處理空間中,在此處基板暴露至電漿。可使用各種不同類型的電漿。在一些例子中,電漿為電容耦合式電漿。在一些例子中,電漿為感應耦合式電漿。
電漿可由許多不同的電漿產生氣體源(例如,產生電漿之氣體∕汽化的液體)而產生。圖3A-3C關於電漿產生氣體源為水之實施例,圖4A-4D關於電漿產生氣體源為二氧化碳之實施例。在另一實施例中,電漿產生氣體源可包括水及二氧化碳兩者。亦可使用各種其它的電漿產生氣體源,以產生由期望的化學官能基團所組成之電漿。例如,在一些實施例中,電漿產生氣體源可包括下列之一或多者:水(H 2O)、二氧化碳(CO 2)、一氧化碳(CO)、醇(C xH yOH,及其取代形式,具體範例包括經取代及未經取代形式之甲醇、乙醇、丙醇、丁醇等)、雙原子氧(O 2)、臭氧(O 3)、過氧化氫(H 2O 2)、氯(Cl 2)、溴(Br 2)、碘(I 2) 等。
在一些實施例中,電漿產生氣體源包括僅包含氧(例如,O 2、O 3等)之至少一反應性物種。在一些實施例中,電漿產生氣體源包括僅包含氧及氫(例如,H 2O、H 2O 2等)之至少一反應性物種。在一些實施例中,電漿產生氣體源包括僅包含碳及氧(例如,CO、CO 2等)之至少一反應性物種。在一些實施例中,電漿產生氣體源包括僅包含碳、氫及氧(例如,C xH yOH等)之至少一反應性物種。在許多例子中,電漿產生氣體源包括至少一有機物種。在一些例子中,電漿產生氣體源包括雙原子鹵素之至少一物種。
在許多例子中,電漿產生氣體源可更包括H 2及∕或惰性物種,例如Ar、He、Ne、Kr、Xe及∕或N 2。在電漿中,惰性物種可被離子化,且離子可轟擊基板表面以增加下方層之表面積及粗糙度。這種表面積∕粗糙度之增加提供了額外的位置,在此處光阻層之金屬可黏附至下方層之碳及∕或矽,例如透過 C-O-金屬、Si-O-金屬、C-金屬及∕或Si-金屬鍵結,如上所述。因此,離子轟擊之作用為,增加在下方層與光阻層之間之界面處之這些鍵結之密度,從而增強在這些層之間之黏著。在一些例子中,在電漿產生氣體源中之惰性氣體及反應性物種可同時提供在電漿中。在其它例子中,可將基板暴露至包含惰性氣體之第一電漿,接著暴露至包含在電漿產生氣體源中之反應性化學官能基團之第二電漿,兩電漿係在不同的時間提供。在這樣的例子中,暴露至惰性氣體以增加表面積∕粗糙度可能發生 (1) 在下方材料之沉積期間(例如,在該沉積之至少最後部分期間,以影響下方材料之上表面)、或 (2) 在沉積下方材料之後且在使基板暴露至電漿之前。
可用於增加表面積以提供額外鍵結機會之另一技術為,沉積薄的多孔性界面層在下方層與光阻層之間。在這樣的例子中,薄的多孔性界面層是被電漿所改質之層。因此,本文中所提供之關於下方層改質之任何細節亦可適用於薄的多孔性界面層之改質。在各種實施例中,薄的多孔性界面層可為低密度的非晶形碳材料。在使用它之例子中,薄的多孔性界面層可具有約2-10 nm之間之厚度及∕或約1.0-1.3 g/cm 3之間之密度。薄的多孔性界面層可透過PECVD而形成。
電漿產生氣體源可實質上不含已知會造成光阻殘渣及相關缺陷之某些元素。例如,電漿產生氣體源可實質上不含矽及含矽物種。在這些或其它例子中,電漿產生氣體源可實質上不含反應性含氮物種。當使用在本文中,用語「實質上不含」是指相關物種(若有的話)僅以極微量存在,而不是刻意提供。因為電漿產生氣體實質上不含這些物種,所以使基板暴露至電漿不會在含金屬光阻進行顯影時造成光阻殘渣形成之增加。例如,這與涉及暴露至HMDS之其它表面改質非常不同。因為HMDS包括矽及氮,其造成光阻殘渣之大量形成,導致圖1F中所示之缺陷。
本文中之技術之優點為,打破了在下列兩者之間之權衡:(1)達成在下方層與含金屬光阻之間之充分黏著、及(2)防止光阻殘渣及相關的足部及橋接缺陷之形成。用於促進在相關層之間之黏著之先前技術係取決於含矽材料(在某些例子中,含矽及含氮材料),其經常造成光阻殘渣及相關的缺陷。本文中所述之電漿處理促進在下方層與含金屬光阻之間之高品質黏著,並且達成此黏著而不會造成光阻殘渣或相關缺陷之形成。此外,電漿促進在含金屬光阻內之交聯度之增加,其降低了達成期望的交聯量所需之EUV輻射量。換言之,將基板暴露至電漿所造成之交聯增加有利地降低了劑量對尺寸。
亦應理解,雖然本揭示內容關於以EUV微影為例之微影圖案化技術及材料,但它亦適用於其它下一代微影技術。除了EUV(其包括目前正在使用及開發之標準13.5 nm EUV波長)之外,與這類微影最相關之輻射源為DUV(深紫外,其一般是指使用248 nm或193 nm準分子雷射源)、X射線(其形式上包括在X射線範圍之較低能量範圍處之EUV)、以及電子束(其可包含寬的能量範圍)。特定方法可能取決於在半導體基板及最終半導體元件中所使用之特定材料及應用。因此,本申請案中所述之方法僅僅是可用於本技術之方法及材料之範例。 設備
本文中所述之技術可在多種設備上執行。設備通常包括至少一反應腔室;電漿產生器;一或複數入口及一或複數出口,分別用於將材料輸送至反應腔室及從反應腔室移除材料;基板支撐件,用於在處理期間支撐基板;及控制器,配置為引起本文中所述之方法。
在一些實施例中,反應腔室可設置在專用於將基板暴露至電漿之獨立工具中。在其它實施例中,反應腔室可設置在用於其它目的(例如,沉積、蝕刻及∕或其它基板處理)之另一工具中。在這樣的例子中,反應腔室可為用於執行沉積、蝕刻或其它基板處理之同一反應腔室,或者反應腔室可為透過合適硬體而實際連接至工具之其餘部分之不同腔室。在一些例子中,反應腔室一次可處理單一基板。在其它例子中,反應腔室一次可處理多個基板。在某些實施例中,反應腔室可具有多個工作站,每一工作站係配置為與其它工作站同時處理基板。存在很多可能性。
在一範例中,用於將基板暴露至電漿之反應腔室與用於沉積下方層之反應腔室相同。在一範例中,反應腔室可為配置以執行基於氣相的沉積技術(例如,化學氣相沉積及∕或原子層沉積)之腔室。在另一範例中,用於將基板暴露至電漿之反應腔室與用於沉積含金屬光阻之反應腔室相同,含金屬光阻可類似地透過基於氣相的沉積技術(例如化學氣相沉積及∕或原子層沉積)、或透過濕式技術(例如,旋塗膜)而沉積。在一些例子中,下方層之沉積、下方層之暴露至電漿以及含金屬光阻之沉積皆可在同一反應腔室中發生。
圖5-9繪示出可用於執行本文中所述之技術之各種不同裝置。圖5示意性地顯示出處理工作站500之實施例,其可用於將基板暴露至電漿及∕或使用原子層沉積(ALD)及∕或化學氣相沉積(CVD)而沉積材料(例如,下方材料及∕或含金屬光阻),其中任一者可為電漿增強的。為了簡化起見,處理工作站500係描繪為獨立處理工作站,具有用於維持低壓環境之處理腔室本體502。然而,應當理解,在公共處理工具環境中可包括複數處理工作站500。此外,應當理解,在一些實施例中,藉由一或更多電腦控制器,可編程地調整ALD處理工作站500之一或更多硬體參數,包含以下所詳細討論者。
處理工作站500與反應物輸送系統501流體連通,反應物輸送系統501用於將處理氣體輸送至分配噴淋頭506。反應物輸送系統501包括混合容器504,用於混合及∕或調節處理氣體以輸送至噴淋頭506。一或更多混合容器入口閥520可控制處理氣體至混合容器504之引入。類似地,噴淋頭入口閥505可控制處理氣體至噴淋頭506之引入。
某些反應物,像是BTBAS,可以液體形式貯存,然後汽化並隨後輸送至處理工作站。例如,圖5之實施例包括汽化點503,用於將待供應至混合容器504之液體反應物汽化。在一些實施例中,汽化點503可為加熱的汽化器。由此類汽化器所產生之反應物蒸汽可能在下游的輸送管路中凝結。讓不相容的氣體暴露至凝結的反應物可能產生小微粒。這些小微粒可能堵塞管道、阻礙閥操作、污染基板等。解決這些問題之一些方法涉及吹掃及∕或抽空輸送管路,以去除殘留的反應物。然而,吹掃輸送管路可能增加處理工作站之循環時間,從而降低處理工作站之產能。因此,在一些實施例中,汽化點503下游之輸送管路可為伴熱的(heat-traced)。在一些範例中,混合容器504亦可為伴熱的。在一非限制性範例中,汽化點503下游之管路具有漸增的溫度分佈,從大約100 ℃至在混合容器504處之大約150 ℃。
在一些實施例中,反應物液體可在液體注入器被汽化。例如,液體注入器可將液體反應物脈衝注入至在混合容器上游之載氣流中。在一方案中,液體注入器可藉由使液體從較高壓力快速移動至較低壓力而使反應物汽化。在另一方案中,液體注入器可使液體霧化為分散的微滴,該分散的微滴隨後在加熱的輸送管路中被汽化。應當理解,較小的液滴之汽化可能比較大的液滴更快,因而縮短在液體注入與完全汽化之間之延遲。較快的汽化可減少在汽化點503下游之管路長度。在一方案中,液體注入器可直接安裝至混合容器504。在另一方案中,液體注入器可直接安裝至噴淋頭506。
在一些實施例中,可設置在汽化點503上游之液體流量控制器(LFC),以控制用於汽化及輸送至處理工作站500之液體之質流。例如,LFC可包括位於LFC下游之熱質流計(MFM)。接著,可調整LFC之柱塞閥,以因應於由比例-積分-微分(PID)控制器(與MFM係電性連通)所提供之反饋控制信號。然而,使用反饋控制可能花費1秒或更久來使液體流量穩定。這可能拉長用於注入液體反應物之時間。因此,在一些實施例中,LFC可在反饋控制模式與直接控制模式之間進行動態地切換。在一些實施例中,可藉由使LFC之感測管及PID控制器失效而將LFC從反饋控制模式動態地切換至直接控制模式。
噴淋頭506將處理氣體朝向基板512分配。在圖5所示之實施例中,基板512位於噴淋頭506下方,並且顯示為置於基座508上。應當理解,噴淋頭506可具有任何適當的形狀,並且可具有任何適當數目及配置之埠口,用以分配處理氣體至基板512。
在一些實施例中,微容積507位於噴淋頭506下方。在微容積中(而不是在處理工作站之整個容積中)實施電漿暴露、ALD及∕或CVD處理,可縮短反應物暴露及吹掃時間、可縮短用於改變處理條件 (例如壓力、溫度等)之時間、可限制處理工作站機械臂暴露至處理氣體等。示例性微容積尺寸包含,但不限於,介於0.1公升與2公升之間之容積。微容積亦影響生產產能。雖然每一循環之沉積率下降,但循環時間亦同時減少。在某些例子中,對於給定的目標膜厚而言,後者之效果是戲劇性的而足以改善模組之整體產能。
在一些實施例中,基座508可升高或降低,以使基板512暴露至微容積507、及∕或改變微容積507之容積。例如,在基板傳遞階段中,基座508可降低,以允許基板512載入至基座508上。在沉積處理階段或電漿暴露處理階段期間,基座508可升高,以將基板512放置於微容積507內。在一些實施例中,在基板處理操作期間,微容積507可完全包圍基板512以及基座508之一部份,以建立高流動阻抗之區域。
任選地,在部分的處理期間,基座508可降低及∕或升高,以調變在微容積507內之處理壓力、反應物濃度等。在處理腔室本體502於處理期間內維持在基礎壓力之一方案中,降低基座508可容許將微容積507排空。微容積與處理腔室容積之示例性比例包括,但不限於,介於1:500與1:10之間之容積比。應當了解,在一些實施例中,可藉由適當的電腦控制器以編程地調整基座高度。
在另一方案中,在處理中所包括之電漿活化及∕或處理操作期間,調整基座508之高度可允許電漿密度之改變。在相關的處理階段結束時,基座508可在另一基板傳遞階段期間下降,以容許基板512從基座508移除。
雖然本文中所述之示例性微容積改變係與高度可調整的基座有關,但應當了解,在一些實施例中,噴淋頭506之位置可相對於基座508而加以調整,以改變微容積507之容積。此外,應當了解,在本揭示內容之範疇內,基座508及∕或噴淋頭506之垂直位置可藉由任何適當的機構而加以改變。在一些實施例中,基座508可包括旋轉軸,用於旋轉基板512之位向。應當了解,在一些實施例中,這些示例性調整其中一或多者可藉由一或更多適當的電腦控制器而編程地加以實施。
回到圖5所示之實施例,噴淋頭506及基座508與用來對電漿(例如,用於對下方材料進行改質之電漿、以及在同一腔室中在其它處理步驟期間所使用之任何電漿)施加功率之RF電源514及匹配網路516電性連通。在一些實施例中,藉由控制處理工作站壓力、氣體濃度、RF來源功率、RF來源頻率、及電漿功率脈衝時序其中一或更多者,可控制電漿能量。例如,RF電源514及匹配網路516可在任何合適的功率下操作,以形成具有期望的自由基物種組成之電漿。合適功率之範例係說明在上文中。同樣地,RF電源514可提供任何適當頻率之RF功率。在一些實施例中, RF電源514可配置成彼此獨立地控制高頻及低頻RF電源。示例性低頻RF頻率可包括,但不限於,介於50 kHz與500 kHz之間之頻率。示例性高頻RF頻率可包括,但不限於,介於1.8 MHz與2.45 GHz之間之頻率。應當了解,任何適當的參數都可分離地或連續地加以調控,以提供用於表面反應之電漿能量。在一非限制性範例中,可間歇地以脈衝式提供電漿功率(相對於連續地對電漿施加功率),以減少基板表面之離子轟擊。
在一些實施例中,電漿可藉由一或更多電漿監控器而受到原位監控。在一方案中,電漿功率可藉由一或更多電壓、電流感測器(例如,VI探針)而加以監控。在另一方案中,電漿密度及∕或處理氣體濃度可藉由一或更多光學放射光譜感測器(OES)而加以量測。在一些實施例中,一或更多電漿參數可基於來自這樣的原位電漿監控器之測量結果而編程地加以調整。例如,OES感測器可使用在用於提供電漿功率之編程控制之反饋迴路中。應當了解,在一些實施例中,可使用其它監控器以監控電漿及其它處理特性。這樣的監控器可包括,但不限於,紅外線(IR)監控器、音訊監控器、及壓力轉換器。
在一些實施例中,電漿可透過輸入∕輸出控制(IOC)序列指令而加以控制。在一範例中,用於設定電漿處理階段之電漿條件之指令可包括在沉積處理配方之相應的電漿活化配方階段中。在一些例子中,可依序地設置處理配方階段,俾使用於沉積處理階段之所有指令與該處理階段係同時執行。在一些實施例中,用以設定一或更多電漿參數之指令可包括在電漿處理階段之前之配方階段中。例如,第一配方階段可包括:用於設定惰性氣體及∕或反應物氣體之流率之指令、用於設定電漿產生器至一功率設定值之指令、以及用於第一配方階段之時間延遲指令。隨後的第二配方階段可包括:用於啟動電漿產生器之指令、及用於第二配方階段之時間延遲指令。第三配方階段可包括:用於關閉電漿產生器之指令、及用於第三配方階段之時間延遲指令。應當了解,這些配方階段可在本揭示內容之範疇內以任何適當方式進一步再分割及∕或重複。
在一些沉積處理中,電漿點燃係持續幾秒或更長時間之數量級。在某些實行例中,可使用更短的電漿點燃。這些可能為10 ms至1秒之數量級,通常大約為20至80 ms,其中一特定範例為50 ms。這種非常短的RF電漿點燃需要極快的電漿穩定。為了實現這一點,電漿產生器可配置為使得阻抗匹配被預先設定為特定電壓,而允許頻率為浮動。通常,高頻電漿係以大約13.56 MHz之RF頻率產生。在本文中所揭示之各種實施例中,允許頻率浮動至與該標準值不同的值。透過在將阻抗匹配固定至預定電壓之同時允許頻率浮動,電漿可更快地穩定,當使用與某些類型的沉積或其它處理循環相關之非常短的電漿點燃時,此結果可能很重要。
在一些實施例中,基座508可透過加熱器510而控制溫度。此外,在一些實施例中,沉積處理工作站500之壓力控制可藉由蝶形閥518來提供。如圖5之實施例中所示,蝶形閥518節流由下游真空泵(未顯示在圖5中)所提供之真空。然而,在一些實施例中,處理工作站500之壓力控制亦可藉由改變一或更多氣體導入至處理工作站500之流率而加以調整。
應當理解,在多站式處理工具環境中可包括複數處理工作站,例如圖6中所示,圖6描繪多站式處理工具之實施例之示意圖。處理設備600採用積體電路製造腔室663,其包括多個製造處理工作站,每一製造處理工作站可用於在保持在特定處理站之晶圓固持件(例如,基座)之基板上執行處理操作。在圖6之實施例中,積體電路製造腔室663係顯示為具有四個處理工作站651、652、653及654。其它類似的多站式處理設備可具有更多或更少的處理工作站,其取決於實施方式以及,例如,並行晶圓處理之期望數量、尺寸∕空間限制、成本限制等。圖6亦顯示基板搬運機械臂675,其可在系統控制器690之控制下操作、配置以將來自晶圓匣(圖6中未顯示)之基板從裝載埠680移動至積體電路製造腔室663中以及處理工作站 651、652、653 及 654其中一者上。
圖6亦描繪用於控制處理設備600之處理條件及硬體狀態之系統控制器690之實施例。系統控制器690可包括一或更多記憶體裝置、一或更多大容量儲存裝置及一或更多處理器,如本文中所述。
RF子系統695可產生RF功率並將RF功率透過射頻輸入埠667而傳送至積體電路製造腔室663。在特定實施例中,積體電路製造腔室663可包括射頻輸入埠667之外之輸入埠(額外的輸入埠未顯示在圖 6 中)。因此,積體電路製造腔室663可使用8個RF輸入埠。在特定實施例中,積體電路製造腔室663之處理工作站651-654可各自使用第一及第二輸入埠,其中第一輸輸入埠可以傳送具有第一頻率之訊號,第二輸入埠可傳送具有第二頻率之訊號。雙頻率之使用可帶來增強的電漿特性。
如上所述,在多站式處理工具中可包括一或更多處理工作站。圖7顯示多站式處理工具700之實施例之示意圖,具有入站裝載室702及出站裝載室704,入站裝載室702及出站裝載室704其中任一者或兩者可包括遠程電漿源。在大氣壓力下之機械臂706係用以將基板或晶圓自卡匣(透過盒708而裝載)經由大氣埠710移動至入站裝載室702中。將基板藉由機械臂706而放置在入站裝載室702中之基座712上,關閉大氣埠710,且抽空裝載室。在入站裝載室702包括遠程電漿源之情況中,可使基板在被導入處理腔室714之前、在裝載室中暴露至遠程電漿處理。此外,亦可在入站裝載室702中將基板加熱,例如,以移除濕氣及吸附的氣體。接著,打開往處理腔室714之腔室傳送埠716,另一機械臂(未顯示)將晶圓放置在反應器中之第一工作站(顯示在反應器中)之基座上,以進行處理。雖然圖7中所繪示之實施例包括裝載室,但應當了解,在一些實施例中,晶圓可直接進入處理工作站中。在各種實施例中,當基板藉由機械臂706而放置在基座712上時,將浸潤氣體引入該工作站。
在圖7所示之實施例中,所描繪的處理腔室714包括四處理工作站,編號為1到4。每一工作站具有加熱的基座(顯示於工作站1之718)、及氣體管線入口。應當了解,在一些實施例中,每一處理工作站可具有不同或多個目的。例如,在一些實施例中,處理工作站可在ALD與PEALD處理模式之間、或在電漿處理模式與沉積模式之間進行切換。額外或替代地,在一些實施例中,處理腔室714可包括一或更多匹配成對之電漿處理工作站及沉積工作站。儘管所描繪之處理腔室714包括四工作站,但應當理解,根據本揭示內容之處理腔室可具有任何適當數目的工作站。例如,在一些實施例中,處理腔室可具有五或更多工作站,然而在其它實施例中,處理腔室可具有三或更少工作站。
圖7描繪晶圓搬運系統790之實施例,用以在處理腔室714內轉移晶圓。在一些實施例中,晶圓搬運系統790可在各種處理工作站之間及∕或在處理工作站與裝載室之間轉移基板。應當了解,可採用任何適當的晶圓搬運系統。非限制性範例包括晶圓旋轉架及晶圓搬運機械臂。圖7亦描繪系統控制器750之實施例,用以控制處理工具700之處理條件及硬體狀態。系統控制器750可包括一或更多記憶體裝置756、一或更多大容量儲存裝置754、及一或更多處理器752。處理器752可包括CPU或電腦、類比及∕或數位輸入∕輸出連接、步進馬達控制器板等。在一些實施例中,系統控制器750包括機器可讀指令,用於執行操作,例如本文中所述之那些操作。
在一些實施例中,系統控制器750控制處理工具700之活動。系統控制器750執行系統控制軟體758,系統控制軟體758係儲存於大容量儲存裝置754中、載入至記憶體裝置756中、以及在處理器752上執行。或者,可將控制邏輯硬編碼於控制器750中。為了這些目的,可使用特殊應用積體電路、可編程邏輯裝置(例如,場域可編程閘陣列、或FPGA)及類似者。在以下討論中,在使用「軟體」或「編碼」之任何情況中,可適當地使用功能上可比較的硬編碼邏輯。系統控制軟體758可包括用以控制以下者之指令:時序、氣體之混合、氣體流量、腔室及∕或工作站壓力、腔室及∕或工作站溫度、基板溫度、目標功率位準、RF功率位準、基板基座、夾盤及∕或托座位置、及藉由處理工具700而執行之特定處理之其它參數。系統控制軟體758可以任何適當的方式加以配置。例如,可撰寫各種處理工具元件子程序或控制物件,以控制用於實行各種處理工具處理之處理工具元件之操作。系統控制軟體758可以任何適當的電腦可讀程式語言加以編碼。
圖8示意地顯示根據本文中之某些實施例之感應耦合式電漿處理設備800之橫剖面圖。由Lam Research Corp. of Fremont, CA所生產之Kiyo TM反應器為可用於實行本文中所述之技術之合適反應器之範例。感應耦合式電漿處理設備800包括整體處理腔室,其在結構上由腔室壁801及窗部811所界定。腔室壁801可由不鏽鋼或鋁所製成。窗部811可由石英或其它介電材料所製成。任選的內部電漿柵850將整體處理腔室分為上部子腔室802及下部子腔室803。電漿柵850可包括單一柵或複數個別柵。在許多實施例中,可將電漿柵850移除,從而利用由子腔室802及803所構成之腔室空間。
夾盤817係位於下部子腔室803內靠近底部內表面處。夾盤817係用以接收及固持半導體晶圓819。夾盤817可為用以支撐晶圓819(當其存在時)之靜電夾盤。在一些實施例中,邊緣環(未顯示)環繞著夾盤817,且邊緣環之上表面與晶圓819(當存在於夾盤817上時)之上表面大約為平面。夾盤817亦包含靜電電極,用以夾持與去夾持晶圓。為此目的,可提供濾波器及DC箝位電源(未顯示)。亦可提供其它控制系統,以將晶圓819抬升而離開夾盤817。可利用RF電源823而使夾盤817帶電。RF電源823經由連接部827而連接至匹配電路821。匹配電路821經由連接部825而連接至夾盤817。以此方式,RF電源823連接至夾盤817。
線圈833係位於窗部811上方。線圈833係由導電材料所製成,並且包括至少一整圈。顯示於圖8之示例性線圈833包括三圈。線圈833之橫剖面係以符號顯示,其中具有「X」之線圈係旋轉延伸進入頁面,而具有「●」之線圈係旋轉延伸出頁面。RF電源841係用以將RF功率供應至線圈833。一般而言, RF電源841經由連接部845而連接至匹配電路839。匹配電路839經由連接部843而連接至線圈833。以此方式,RF電源841連接至線圈833。任選的法拉第屏蔽849係位於線圈833與窗部811之間。法拉第屏蔽849係與線圈833維持相隔開的關係。法拉第屏蔽849係緊接位於窗部811上方。線圈833、法拉第屏蔽849及窗部811每一者係配置為彼此實質上平行。法拉第屏蔽可防止金屬或其它物種沉積在電漿腔室之介電窗上。
處理氣體之供應可經由位於上部子腔室802中之主注入口860及∕或經由側注入口870, 有時稱為STG。真空泵(例如,一或二級機械乾式泵及∕或渦輪分子泵)840可用於將處理氣體自處理腔室抽出,並且在操作電漿處理期間維持電漿處理設備800內之壓力,此可藉由採用閉迴路控制的限流裝置(例如節流閥(未顯示)或鐘擺閥(未顯示))而達成。
在設備之操作期間,可經由注入口860及∕或870而供應一或更多反應物氣體。在某些實施例中,可僅經由主注入口860、或僅經由側注入口870而供應氣體。在一些例子中,注入口可由噴淋頭來取代。法拉第屏蔽849及∕或任選的柵850可包括容許處理氣體輸送至腔室之內部通道及孔洞。法拉第屏蔽849及任選的柵850其中任一或兩者可做為噴淋頭,以輸送處理氣體。
射頻功率係自RF電源841供應至線圈833,使得RF流流過線圈833。流過線圈833之RF流會產生電磁場在線圈833周圍。電磁場在上部子腔室802內產生感應電流。所產生的各種離子及自由基與晶圓819之物理及化學交互作用會選擇性地蝕刻晶圓819之特徵部或進行其它處理。
若使用電漿柵850,因而具有上部子腔室802及下部子腔室803兩者,則感應電流會作用於存在上部子腔室802中之氣體上,以在上部子腔室802中產生電子–離子電漿。任選的內部電漿柵850(若存在的話)可作用以限制在下部子腔室803中之熱電子數量。在一些實施例中,設備被設計及操作而使得下部子腔室803中之電漿為離子–離子電漿。在其它實施例中,設備可被設計及操作而使得下部子腔室803中之電漿為電子–離子電漿。內部電漿柵及離子–離子電漿係進一步討論在美國專利申請案第14/082,009號(申請日為2013年11月15日,發明名稱為「INTERNAL PLASMA GRID FOR SEMICONDUCTOR FABRICATION」)以及美國專利第9,245,761號,其每一者之整體內容係合併於此做為參考。
揮發性副產物可通過開口822從下部子腔室803移除。本文中所揭示之夾盤817可在介於約30 ℃ 與約250 ℃之間之升高溫度範圍下操作。在一些例子中,夾盤817亦可在較低的溫度下操作,例如當夾盤817被主動冷卻時。 在這樣的例子中,夾盤817可根據需要而在實質上較低的溫度下操作。溫度將取決於處理操作及特定配方。在一些實施例中,腔室801可在介於約1 mTorr與約95 mTorr之間之壓力範圍下操作。在某些實施例中,壓力可更高。
當安裝於無塵室或製造設施中時,腔室801可耦接至廠務設施(未顯示)。廠務設施包括管路,其提供處理氣體、真空、溫度控制、以及環境微粒控制。這些廠務設施係耦接至腔室801,當其安裝於目標製造設施中時。此外,腔室801可耦接至傳送腔室,其容許機械臂利用典型的自動化而將半導體晶圓傳送進出腔室801。
在一些實施例中,系統控制器830(其可包括一或更多實體或邏輯控制器)控制處理腔室之一些或所有的操作。系統控制器830可包括一或更多記憶體裝置以及一或更多處理器。處理器可包括中央處理單元(CPU)或電腦、類比及∕或數位輸入∕輸出連接、步進馬達控制器板及其它類似的構件。用於實行適當的控制操作之複數指令係在處理器上執行。這些指令可儲存在與系統控制器830連接之記憶體裝置上、或可透過網路來提供。在一些實施例中,系統控制器830執行系統控制軟體。
在一些例子中,系統控制器830控制氣體濃度、晶圓移動及∕或供應至線圈833及∕或靜電夾盤817之功率。系統控制器830可藉由,例如,打開及關閉相關的閥以產生一或更多入口氣體流而提供適當濃度之必要反應物,因而控制氣體濃度。晶圓移動可藉由,例如,根據需要而引導晶圓定位系統之移動來控制。可控制供應至線圈833及∕或夾盤817之功率,以提供特定的RF功率位準。類似地,若使用內部柵850,則可藉由系統控制器830而調整施加至柵之任何RF功率。
系統控制器830可基於感測器輸出(例如,當功率、電位、壓力等達到某閾值時)、操作之時序(例如,在處理中之某些時間將閥打開)、或基於所接收到的來自使用者之指令而控制這些及其它態樣。以下將進一步討論示例性控制器。
圖9描繪具有各種模組之半導體處理叢集架構,該等模組與真空傳送模組938(VTM)接合。在多個儲存設施與處理模組之中「傳送」晶圓之傳送模組之配置可被稱為「叢集工具架構」系統。氣室930(亦稱為裝載室或傳送模組)係顯示在具有四處理模組920a-920d之VTM 938中,處理模組920a-920d可個別地最佳化以實施各種製造處理。舉例而言,可實行處理模組920a-920d以實施基板暴露至電漿、蝕刻、沉積、離子植入、晶圓清潔、濺鍍、及∕或其它半導體處理。處理模組之一或更多者(920a-920d之任何一者)可如本文中所揭露而加以實行,並且可如本文中所述而用於將基板暴露至電漿、沉積材料、及∕或蝕刻材料。氣室930及處理模組920可被稱為「工作站」。每一工作站具有將工作站與VTM 938接合之面部936。在每一面部中,感測器1-18係用以當晶圓926在個別的工作站之間移動時偵測晶圓926之通過。
機械臂922在工作站之間傳送晶圓。在一實施例中,機械臂922具有一手臂,而在另一實施例中,機械臂922具有二手臂,其中每一手臂具有末端執行器924以拾取晶圓(例如,晶圓926)而進行傳輸。在大氣傳送模組(ATM)940中,前端機械臂932係用於將晶圓926由裝載埠模組(LPM)942中之卡匣或前開式晶圓傳送盒(Front Opening Unified Pod, FOUP)934傳送至氣室930。在處理模組920內部之模組中心928為用於放置晶圓926之位置。在ATM 940中之對準器944係用以對準晶圓。
在一示例性處理方法中,將晶圓放置於LPM 942中之FOUP 934其中一者內。前端機械臂932將晶圓由FOUP 934傳送至對準器944,對準器944容許晶圓926在被蝕刻或處理之前正確地置中。在經過對準之後,前端機械臂932將晶圓移動至氣室930中。因為氣室模組具有使ATM與VTM之間之環境相匹配之能力,所以晶圓926能夠在二種壓力環境之間移動而不受損害。機械臂922將晶圓926從氣室模組930經由VTM 938而移動至處理模組920a-920d其中一者中。為達成此晶圓移動,機械臂922使用在其手臂每一者上之末端執行器924。一旦晶圓926已經被處理,機械臂922將其從處理模組920a-920d移動至氣室模組930。由此處,前端機械臂932可將晶圓926移動至FOUP 934其中一者或移動至對準器944。
應當注意,控制晶圓移動之電腦可能在叢集架構之內部、或可能位於製造現場中之叢集架構之外部、或位於遠端位置中並經由網路而連接至叢集架構。
在一些實行例中,控制器為系統之一部分,其可為上述範例之一部分。這樣的系統可包括半導體處理設備,包括一或更多處理工具、一或更多腔室、用以進行處理之一或更多平台、及∕或特定的處理構件(晶圓基座、氣體流動系統、等)。這些系統可與電子元件整合,以用於在半導體晶圓或基板之處理之前、期間內、及之後控制這些系統之操作。電子元件可被稱為「控制器」,其可控制一或更多系統之各種構件或子部分。根據處理需求及∕或系統類型,控制器可被編程,以控制本文中所揭示之任何處理,包括處理氣體之輸送、溫度設定(例如,加熱及∕或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、定位及操作設定、晶圓傳遞進入與離開連接至特定系統或與特定系統接合之工具及其它傳遞工具及∕或裝載室。
廣義而言,控制器可定義為具有用以接收指令、發出指令、控制操作、使清洗操作得以進行、使終點測量得以進行、及達成類似功能之各種積體電路、邏輯、記憶體、及∕或軟體之電子元件。積體電路可包括儲存程式指令之韌體形式之晶片、數位信號處理器(DSP)、定義為特殊應用積體電路(ASIC)之晶片、及∕或一或更多微處理器、或執行程式指令(例如,軟體)之微控制器。程式指令可為以各種單獨設定(或程式檔案)之形式通訊至控制器之指令,定義了用以在半導體晶圓上、或對半導體晶圓、或對系統實施特定處理之操作參數。在一些實施例中,操作參數可為由製程工程師所定義以在晶圓之一或更多層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及∕或晶粒之製造期間內完成一或更多處理步驟之配方之一部分。
在一些實行例中,控制器可為電腦之一部分或耦接至電腦,該電腦與系統整合、耦接至系統、以其它方式網路連接至系統、或其組合。例如,控制器可在「雲端」或晶圓廠主機電腦系統之全部或一部分中,允許晶圓處理之遠端控制。電腦可使得對系統之遠端存取得以進行,以監控製造操作之當前處理、檢驗過去製造操作之歷史記錄、檢驗複數製造操作之趨勢或效能評量、改變當前處理之參數、設定在當前處理之後之處理步驟、或開始新之處理。在一些範例中,遠端電腦(例如伺服器)可透過網路而將處理配方提供至系統,網路可包括區域網路或網際網路。遠端電腦可包括使用者介面,使用者介面使得參數及∕或設定之輸入或編程得以進行,參數及∕或設定接著從遠端電腦被傳遞至該系統。在一些範例中,控制器接收數據形式之指令,指令為待於一或更多操作期間內執行之處理步驟其中每一者指定了複數參數。應當了解,該等參數可針對待執行之處理類型、以及控制器與其接合或對其進行控制之工具類型。因此,如上所述,控制器可為分散式的,例如藉由包括以網路連接在一起並朝著共同目標(例如本文中所述之處理及控制)工作之一或更多獨立控制器。用於這樣的目標之分散式控制器之範例為,與位於遠端(例如,在平台等級或做為遠端電腦之一部分)之一或更多積體電路進行通訊之腔室中之一或更多積體電路,其結合以控制腔室中之處理。
非限制性地,示例性系統可包括電漿處理腔室或模組、電漿蝕刻腔室或模組、沉積腔室或模組、旋轉清洗腔室或模組、金屬電鍍腔室或模組、清洗腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、EUV微影腔室(掃描機)或模組、乾式顯影腔室或模組、及關於或用於半導體晶圓之加工及∕或製造之任何其它半導體處理系統。
如上所述,取決於待由工具所執行之一或更多處理步驟,控制器可與下列之一或多者通訊:其它工具電路或模組、其它工具構件、叢集工具、其它工具介面、相鄰工具、鄰近工具、位於工廠各處之工具、主電腦、另一控制器、或在半導體製造工廠中將晶圓容器移入及移出工具位置及∕或裝載埠之材料傳送用工具。 實驗
圖10呈現出關於將基板暴露至不同電漿處理之影響之實驗結果。具體而言,圖10描述了不同電漿處理對水接觸角之影響。水接觸角是相關表面之親水性之度量,其亦象徵存在於基板表面上之羥基之相對濃度。較低的水接觸角表示較大的親水性以及在基板表面上較大的羥基濃度。在這些範例中,透過暴露至電漿而改質之下方層是基於碳且可灰化的硬遮罩材料。
在將基板暴露至電漿之前,基板表現出約70°之水接觸角,其表示高度疏水的表面。此數據係顯示在圖10之「之前」結果中。「CO 2之後」結果是將基板暴露至由二氧化碳所產生之電漿之後之水接觸角。在此例子中,水接觸角從約 70° 減少到約22°。「H 2O之後」結果是將基板暴露至由水所產生之電漿之後之水接觸角。在此例子中,水接觸角從約 70° 減少到 0°。兩種電漿處理皆導致水接觸角之顯著降低,表示由於在電漿暴露期間之基板表面之改質,所以表面明顯地變得更具親水性。
圖11呈現出在電漿處理之後檢視等候時間對於水接觸角之影響之實驗數據,在電漿處理中係將基板暴露至由二氧化碳所產生之電漿。在此範例中,水接觸角在大約前 35 分鐘之等候時間之過程期間下降,然後開始增加。不受限於理論或作用機制,據信,在基板暴露至基於二氧化碳的電漿之後,由於環境中之水氣吸附至基板表面之改質材料上之增加,導致水接觸角之初始減少,如關於圖4C所描述。水氣有效地將基板表面上之許多CO基團轉換為更具反應性的CO-OH基團。CO-OH 基團提供比CO基團更大的親水性,從而導致在等候時間之初始部分期間之水接觸角減少。如上所述,在某些實施例中,可在基板暴露至電漿與沉積含金屬光阻之間引入等待期間,使得在基板表面實質上被羥基飽和時進行含金屬光阻之沉積。在其它實施例中,在暴露至電漿後,可將基板暴露至水蒸氣,以使基板表面被羥基所飽和。
圖12繪示出在電漿處理之後檢視等候時間對於劑量對尺寸之影響之實驗數據,在電漿處理中係將基板暴露至由二氧化碳所產生之電漿。顯示出二不同基板之結果。在各例子中,在暴露至基於二氧化碳的電漿之後,劑量對尺寸會隨時間而降低。如關於圖11所解釋,據信,在等候時間期間基板所暴露至之大氣中存在之水氣會吸附至基板表面上,從而將許多CO基團轉換為更具反應性的CO-OH基團。因為這些基團更具反應性,所以它們促進與含金屬光阻之交聯增加,且因此減少了達成期望的交聯度所需之EUV輻射劑量。一般預期,基於水的電漿處理將具有如圖 11及12所示之相同效果,在電漿處理與含金屬光阻之沉積之間無需等待,因為基於水的電漿處理將具有使基板表面被期望的CO-OH基團所飽和之效果。一般亦預期,在基於矽的下方層材料上,將會看到相同的效果(例如,在適當的情況下,用矽取代碳)。 結論
儘管上述實施例已為了清楚理解之目的而詳細地加以描述,但顯然地,在所附申請專利範圍之範疇中,可實行某些變更及修改。應當注意,有許多替代的方式來實施本案實施例之處理、系統及設備。因此,本案實施例應被視為是用於說明的而不是限制性的,且本案實施例不應被限制於本文中所提出之細節。
1-18:感測器 101:半導體基板 102:下方層 103:黏著層 104:光阻層 104a:曝光區域 104b:未曝光區域 105:足部缺陷 106:橋接缺陷 201:基板 202:下方層 203:改質材料 204:光阻層 204a:曝光部分 204b:未曝光部分 302:下方層 304:光阻層 310:電漿 402:下方層 404:光阻層 410:電漿 411:大氣 500:處理工作站 501:反應物輸送系統 502:處理腔室本體 503:汽化點 504:混合容器 505:噴淋頭入口閥 506:噴淋頭 507:微容積 508:基座 510:加熱器 512:基板 514:射頻(RF)電源 516:匹配網路 518:蝶形閥 520:混合容器入口閥 600:處理設備 651-654:處理工作站 663:積體電路製造腔室 667:射頻輸入埠 675:基板搬運機械臂 680:裝載埠 690:系統控制器 695:RF子系統 700:多站式處理工具 702:入站裝載室 704:出站裝載室 706:機械臂 712:基座 714:處理腔室 716:腔室傳送埠 718:基座 750:系統控制器 752:處理器 754:大容量儲存裝置 756:記憶體裝置 758:系統控制軟體 790:晶圓搬運系統 800:感應耦合式電漿處理設備 801:腔室壁 802:上部子腔室 803:下部子腔室 811:窗部 817:夾盤 819:晶圓 821:匹配電路 822:開口 823:射頻(RF)電源 825:連接部 827:連接部 830:系統控制器 833:線圈 839:匹配電路 840:真空泵 841:RF電源 843:連接部 845:連接部 849:法拉第屏蔽 850:電漿柵 860:主注入口 870:側注入口 920a-920d:處理模組 922:機械臂 924:末端執行器 926:晶圓 928:模組中心 930:氣室 932:前端機械臂 934:前開式晶圓傳送盒 936:面部 938:真空傳送模組 940:大氣傳送模組 942:裝載埠模組 944:對準器
圖1A-1F顯示出進行圖案化操作之半導體基板,特別繪示出可能發生的缺陷問題。
圖2A-2F描繪出根據本文中之各種實施例之進行圖案化操作之半導體基板。
圖3A-3C顯示出根據一實施例之當基板進行圖案化操作時、可能存在於基板表面上及附近之各種化學物種,在此實施例中基板係暴露至由水所產生之電漿。
圖4A-4D顯示出根據一實施例之當基板進行圖案化操作時、可能存在於基板表面上及附近之各種化學物種,在此實施例中基板係暴露至由二氧化碳所產生之電漿。
圖5繪示出處理工作站,其可用於根據各種實施例而沉積材料及∕或使基板暴露至電漿。
圖6及7描繪出多站式處理工具,其可用於沉積材料、使基板暴露至電漿及∕或實施本文中所述之其它操作。
圖8描繪出感應耦合式電漿處理設備,其可用於使基板暴露至電漿及∕或實施本文中所述之其它操作。
圖9描繪出具有各種模組之半導體處理叢集架構,其可用於使基板暴露至電漿及∕或實施本文中所述之其它操作。
圖10繪示出檢視不同電漿處理對水接觸角之影響之實驗結果。
圖11繪示出在基於二氧化碳的電漿處理之後、檢視時間對水接觸角之影響之實驗結果。
圖12繪示出在基於二氧化碳的電漿處理之後、檢視時間對於劑量對尺寸之影響之實驗結果。
500:處理工作站
501:反應物輸送系統
502:處理腔室本體
503:汽化點
504:混合容器
505:噴淋頭入口閥
506:噴淋頭
507:微容積
508:基座
510:加熱器
512:基板
514:射頻(RF)電源
516:匹配網路
518:蝶形閥
520:混合容器入口閥

Claims (38)

  1. 一種促進基板與含金屬光阻之間黏著之方法,包括: (a) 提供該基板,該基板之一表面包含一第一材料,該第一材料包含一基於矽的材料及∕或一基於碳的材料; (b) 由一電漿產生氣體源產生一電漿,其中該電漿產生氣體源係實質上不含矽,及其中該電漿包含複數化學官能基團; (c) 使該基板暴露至該電漿,以藉由在該第一材料與來自該電漿之該等化學官能基團之間形成複數鍵結而對該基板之該表面進行改質;及 (d) 在 (c) 之後,沉積該含金屬光阻在該基板之該改質表面上,其中在該第一材料與來自該電漿之該等化學官能基團之間之該等鍵結係促進在該基板與該含金屬光阻之間之黏著。
  2. 如請求項1之促進基板與含金屬光阻之間黏著之方法,其中該電漿產生氣體源包含水蒸氣。
  3. 如請求項1之促進基板與含金屬光阻之間黏著之方法,其中該電漿產生氣體源包含至少一有機物種。
  4. 如請求項3之促進基板與含金屬光阻之間黏著之方法,其中該電漿產生氣體源包含二氧化碳。
  5. 如請求項3之促進基板與含金屬光阻之間黏著之方法,其中該電漿產生氣體源包含一氧化碳。
  6. 如請求項3之促進基板與含金屬光阻之間黏著之方法,其中該電漿產生氣體源包含一醇類蒸氣。
  7. 如請求項1之促進基板與含金屬光阻之間黏著之方法,其中該電漿產生氣體源包含一鹵素氣體。
  8. 如請求項1之促進基板與含金屬光阻之間黏著之方法,其中該電漿產生氣體源包含雙原子氧(O 2)及∕或臭氧(O 3)。
  9. 如請求項1之促進基板與含金屬光阻之間黏著之方法,其中該電漿產生氣體源包含過氧化氫(H 2O 2)。
  10. 如請求項1之促進基板與含金屬光阻之間黏著之方法,其中該電漿包含選自於一群組之一或更多化學官能基團,該群組係由O自由基、OH自由基、CO自由基、Cl自由基、Br自由基、I自由基及其組合所構成。
  11. 如請求項1-10其中任一項之促進基板與含金屬光阻之間黏著之方法,其中該電漿產生氣體源係實質上不含反應性氮。
  12. 如請求項1-10其中任一項之促進基板與含金屬光阻之間黏著之方法,其中該電漿產生氣體源更包含惰性氣體及∕或氫(H 2)。
  13. 如請求項1-10其中任一項之促進基板與含金屬光阻之間黏著之方法,其中該電漿係遠程地產生並且輸送至一反應腔室,在該反應腔室中使該基板暴露至該電漿。
  14. 如請求項1-10其中任一項之促進基板與含金屬光阻之間黏著之方法,其中該電漿係在一反應腔室中原位地產生,在該反應腔室中使該基板暴露至該電漿。
  15. 如請求項1-10其中任一項之促進基板與含金屬光阻之間黏著之方法,其中該第一材料包含非晶形碳、旋塗碳、旋塗玻璃、矽碳化物或矽碳氧化物。
  16. 如請求項1-10其中任一項之促進基板與含金屬光阻之間黏著之方法,其中該第一材料包含非晶矽、矽氧化物、矽氮化物或矽氮氧化物。
  17. 如請求項1-10其中任一項之促進基板與含金屬光阻之間黏著之方法,其中在該第一材料與來自該電漿之該等化學官能基團之間之該等鍵結係藉由當在 (d) 中沉積該含金屬光阻時促進金屬-氧鍵結在該基板之該表面上之形成,因而促進在該基板與該含金屬光阻之間之黏著。
  18. 如請求項1-10其中任一項之促進基板與含金屬光阻之間黏著之方法,其中使該基板暴露至該電漿係形成C=O鍵、C-OH鍵、C-Cl鍵、C-Br鍵、C-I鍵、Si-O鍵、Si-OH鍵、Si-Cl鍵、Si-Br鍵、Si-I 鍵或其組合。
  19. 如請求項1-10其中任一項之促進基板與含金屬光阻之間黏著之方法,其中沉積該含金屬光阻在該基板之該改質表面上係形成C-O-金屬鍵及∕或Si-O-金屬鍵。
  20. 如請求項1-10其中任一項之促進基板與含金屬光阻之間黏著之方法,其中 (c) 係在沉積該第一材料在該基板上之後、在使該第一材料沉積在該基板上之一反應腔室中發生。
  21. 如請求項1-10其中任一項之促進基板與含金屬光阻之間黏著之方法,其中 (c) 及 (d) 係發生在同一反應腔室中。
  22. 如請求項1-10其中任一項之促進基板與含金屬光阻之間黏著之方法,更包括:在 (d) 之前,使該基板暴露至一第二電漿,該第二電漿包含惰性氣體,其中使該基板暴露至該第二電漿係增加該基板之表面積。
  23. 如請求項1-10其中任一項之促進基板與含金屬光阻之間黏著之方法,其中該第一材料係一硬遮罩材料。
  24. 如請求項1-10其中任一項之促進基板與含金屬光阻之間黏著之方法,其中該第一材料係多孔性界面層。
  25. 如請求項1-10其中任一項之促進基板與含金屬光阻之間黏著之方法,其中該電漿產生氣體源包含二氧化碳,該方法更包括:在 (c) 中使該基板暴露至該電漿與在 (d) 中沉積該含金屬光阻之間,等待至少約3小時。
  26. 如請求項1-10其中任一項之促進基板與含金屬光阻之間黏著之方法,其中在 (c) 中使該基板暴露至該電漿僅僅對該第一材料之最高5 Å或更少進行改質。
  27. 如請求項1-10其中任一項之促進基板與含金屬光阻之間黏著之方法,其中在 (c) 中使該基板暴露至該電漿不會在該含金屬光阻進行顯影時造成光阻殘渣形成之增加。
  28. 如請求項1-10其中任一項之促進基板與含金屬光阻之間黏著之方法,其中該電漿產生氣體源包含二氧化碳,及其中該電漿係在介於約5-100 mTorr之間之壓力及介於約50-1,000 W之間之RF功率下產生。
  29. 如請求項1-10其中任一項之促進基板與含金屬光阻之間黏著之方法,其中該電漿產生氣體源包含水,及其中該電漿係在介於約5-300 mTorr之間之壓力及介於約100-2,000 W之間之RF功率下產生。
  30. 如請求項1-10其中任一項之促進基板與含金屬光阻之間黏著之方法,其中在 (b) 及 (c) 期間,該電漿產生氣體源係在介於約100-5000 sccm之間之速率下流動。
  31. 如請求項1-10其中任一項之促進基板與含金屬光阻之間黏著之方法,其中 (c) 係發生在介於約20-100 ℃之間之溫度下。
  32. 如請求項1-10其中任一項之促進基板與含金屬光阻之間黏著之方法,更包括:當在 (c) 中使該基板暴露至該電漿時、或當在 (c) 之前使該基板暴露至一第二電漿時,施加一偏壓在該基板上,該偏壓係上達約100 V,其中施加該偏壓在該基板上係將離子吸引至該基板之該表面,因而使該基板之該表面粗糙化。
  33. 如請求項32之促進基板與含金屬光阻之間黏著之方法,其中施加至該基板之該偏壓係介於約0-50 V之間。
  34. 一種促進基板與含金屬光阻之間黏著之系統,包括: 至少一反應腔室; 至少一電漿產生器; 至少一入口,用以提供氣體及∕或電漿至該至少一反應腔室;及 一控制器,具有至少一處理器,其中該至少一處理器係配置以控制該至少一反應腔室、該至少一電漿產生器及該至少一入口,以引起如請求項1-33其中任一項之方法。
  35. 一種促進基板與含金屬光阻之間黏著之系統,包括: 至少一反應腔室; 至少一電漿產生器; 至少一入口,用以提供氣體及∕或電漿至該至少一反應腔室;及 一控制器,具有至少一處理器,該至少一處理器係配置以控制該至少一反應腔室、該至少一電漿產生器及該至少一入口,以引起: (a) 在該至少一反應腔室中接收該基板,該基板之一表面包含一第一材料,其中該第一材料包含一基於矽的材料及∕或一基於碳的材料; (b) 由一電漿產生氣體源產生一電漿,該電漿包含複數化學官能基團; (c) 提供該電漿至該至少一反應腔室,其中藉由在該第一材料與來自該電漿之該等化學官能基團之間所形成之複數鍵結,對該基板之該表面進行改質;及 (d) 在 (c) 之後,提供該含金屬光阻至該至少一反應腔室,其中該含金屬光阻係沉積在該基板之該改質表面上,及其中在該第一材料與來自該電漿之該等化學官能基團之間之該等鍵結係促進在該基板與該含金屬光阻之間之黏著。
  36. 如請求項35之促進基板與含金屬光阻之間黏著之系統,其中該至少一處理器係控制該至少一入口,以提供該電漿及該含金屬光阻至該至少一反應腔室其中之一給定反應腔室。
  37. 如請求項35之促進基板與含金屬光阻之間黏著之系統,其中該至少一處理器係控制該至少一入口,以提供該電漿及該含金屬光阻至該至少一反應腔室其中之二不同腔室。
  38. 一種結構,包括: 一基板; 一第一材料,沉積在該基板上,該第一材料係基於矽的或基於碳的並且包括一改質表面,該改質表面包括羥基基團;及 一含金屬光阻,沉積在該第一材料之該改質表面上,其中該含金屬光阻及該改質表面係形成金屬-氧-矽鍵結及∕或金屬-氧-碳鍵結。
TW110122525A 2020-06-22 2021-06-21 用於含金屬光阻沉積的表面改質 TW202217457A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202062705335P 2020-06-22 2020-06-22
US62/705,335 2020-06-22

Publications (1)

Publication Number Publication Date
TW202217457A true TW202217457A (zh) 2022-05-01

Family

ID=79282599

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110122525A TW202217457A (zh) 2020-06-22 2021-06-21 用於含金屬光阻沉積的表面改質

Country Status (6)

Country Link
US (1) US20230230811A1 (zh)
JP (1) JP2023530299A (zh)
KR (1) KR20230041688A (zh)
CN (1) CN115702475A (zh)
TW (1) TW202217457A (zh)
WO (1) WO2021262371A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102678588B1 (ko) 2018-11-14 2024-06-27 램 리써치 코포레이션 차세대 리소그래피에서 유용한 하드 마스크들을 제조하기 위한 방법들
CN114200776A (zh) 2020-01-15 2022-03-18 朗姆研究公司 用于光刻胶粘附和剂量减少的底层
WO2024044457A1 (en) * 2022-08-26 2024-02-29 Applied Materials, Inc. Ion implantation for increased adhesion with resist material
DE102022212168A1 (de) * 2022-11-16 2024-05-16 Carl Zeiss Smt Gmbh EUV-Optik-Modul für eine EUV-Projektionsbelichtungsanlage

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090197086A1 (en) * 2008-02-04 2009-08-06 Sudha Rathi Elimination of photoresist material collapse and poisoning in 45-nm feature size using dry or immersion lithography
TW201224190A (en) * 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
JP6817692B2 (ja) * 2015-08-27 2021-01-20 東京エレクトロン株式会社 プラズマ処理方法
US10096477B2 (en) * 2017-02-15 2018-10-09 International Business Machines Corporation Method to improve adhesion of photoresist on silicon substrate for extreme ultraviolet and electron beam lithography
KR102632799B1 (ko) * 2017-12-18 2024-02-01 도쿄엘렉트론가부시키가이샤 리소그래피를 위한 표면 접착력을 강화하기 위한 플라즈마 처리 방법

Also Published As

Publication number Publication date
CN115702475A (zh) 2023-02-14
WO2021262371A1 (en) 2021-12-30
JP2023530299A (ja) 2023-07-14
KR20230041688A (ko) 2023-03-24
US20230230811A1 (en) 2023-07-20
WO2021262371A9 (en) 2022-04-14

Similar Documents

Publication Publication Date Title
US20220244645A1 (en) Photoresist development with halide chemistries
JP6415035B2 (ja) ギャップフィルのための共形膜蒸着
KR20210095218A (ko) 레지스트들의 건식 현상 (dry development)
TW202217457A (zh) 用於含金屬光阻沉積的表面改質
JP2018074145A (ja) 半導体パターニング用途のための高ドライエッチング速度材料
US20180308687A1 (en) Euv photopatterning and selective deposition for negative pattern mask
US20240145272A1 (en) Integrated dry processes for patterning radiation photoresist patterning
JP2023174888A (ja) フォトレジストのドライ除去用プロセスツール
KR20230113400A (ko) 유기 증기를 사용한 포토레지스트 현상
TWI845848B (zh) 用於圖案化輻射光阻圖案化的整合型乾式處理系統
KR20240032175A (ko) 금속-함유 포토레지스트의 재작업 (rework)
KR20240108547A (ko) 금속-함유 포토레지스트의 재작업
TW202417971A (zh) 用於蝕刻停止阻遏之基於金屬氧化物的光阻之循環顯影
KR20240056603A (ko) 에칭 정지 억제 (etch stop deterrence) 를 위한 금속 옥사이드 기반 포토레지스트의 순환적 현상
KR20240032161A (ko) 금속 함유 레지스트의 건식 현상 성능을 개선하기 위한 다단계 노출-후 처리
TW202219644A (zh) 用於在euv圖案化中減少缺陷的多層硬遮罩
TW202422244A (zh) 用於圖案化輻射光阻圖案化的整合型乾式處理系統