KR20240032161A - 금속 함유 레지스트의 건식 현상 성능을 개선하기 위한 다단계 노출-후 처리 - Google Patents

금속 함유 레지스트의 건식 현상 성능을 개선하기 위한 다단계 노출-후 처리 Download PDF

Info

Publication number
KR20240032161A
KR20240032161A KR1020247006338A KR20247006338A KR20240032161A KR 20240032161 A KR20240032161 A KR 20240032161A KR 1020247006338 A KR1020247006338 A KR 1020247006338A KR 20247006338 A KR20247006338 A KR 20247006338A KR 20240032161 A KR20240032161 A KR 20240032161A
Authority
KR
South Korea
Prior art keywords
metal
photoresist
oxygen
euv
processing
Prior art date
Application number
KR1020247006338A
Other languages
English (en)
Inventor
사만다 시암화 탄
다 리
정이 유
지 연 김
양 판
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20240032161A publication Critical patent/KR20240032161A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/36Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

본 명세서에 기술된 다양한 실시 예들은 포토레지스트의 재료 특성들을 개질하도록 금속-함유 포토레지스트를 처리하기 위한 방법들, 장치, 및 시스템들에 관한 것이다. 금속-함유 포토레지스트는 적어도 2 개의 열적 동작들을 수반하는 노출-후 소성 (post-exposure bake; PEB) 프로세스에서 처리될 수도 있다. 노출-후 소성 동작들 중 적어도 하나는 산소-풍부 분위기에서 적당히 상승된 온도에 금속-함유 포토레지스트를 노출시키는 것을 포함한다. 불활성 가스 분위기에서 매우 상승된 온도에 금속-함유 포토레지스트를 노출시키는 것을 포함하는 노출-후 소성 동작이 이어진다. 다단계 노출-후 소성 동작들은 후속하는 건식 현상 프로세스에서 에칭 선택도를 개선한다.

Description

금속 함유 레지스트의 건식 현상 성능을 개선하기 위한 다단계 노출-후 처리
본 명세서의 구현 예들은 포토레지스트 재료의 처리, 더 구체적으로, 반도체 제조시 노출 후 금속-함유 포토레지스트 재료의 처리에 관한 것이다.
집적 회로들과 같은 반도체 디바이스들의 제조는 포토리소그래피 (photolithography) 를 수반하는 다단계 프로세스이다. 일반적으로, 프로세스는 웨이퍼 상에 재료의 증착, 및 반도체 디바이스의 구조적 피처들 (예를 들어, 트랜지스터들 및 회로) 을 형성하기 위해 리소그래픽 기법들을 통해 재료를 패터닝하는 것을 포함한다. 당업계에 공지된 통상적인 포토리소그래피 프로세스의 단계들은: 기판을 준비하는 단계; 스핀 코팅에 의해서와 같이 포토레지스트를 도포하는 단계; 포토레지스트의 노출된 영역들로 하여금 현상 용액에 더 잘 또는 덜 용해성 (soluble) 이 되게 하도록, 목표된 패턴의 광에 포토레지스트를 노출시키는 단계; 포토레지스트의 노출된 영역들 또는 노출되지 않은 (unexposed) 영역들을 제거하기 위해 현상 용액을 도포함으로써 현상하는 단계; 및 에칭 또는 재료 증착에 의해서와 같이 포토레지스트가 제거된 기판의 영역들 상에 피처들을 생성하기 위한 후속 프로세싱 단계를 포함한다.
반도체 설계의 발전은 반도체 기판 재료들로 훨씬 더 작은 피처들을 생성할 필요성을 생성하였고, 생성하는 능력에 의해 구동되었다. 이 기술의 발달 (progression) 은 치밀 집적 회로들에서 트랜지스터들의 밀도가 2 년마다 2 배가 되는 (double) "Moore의 법칙"으로 특징화되었다. 사실, 칩 설계 및 제작은 최신 마이크로프로세서들이 단일 칩 상에 수십억 개의 트랜지스터들 및 다른 회로 피처들을 포함할 수도 있도록 발달되었다. 이러한 칩들 상의 개별적인 피처들은 대략 22 나노미터 (㎚) 이하, 일부 경우들에서 10 ㎚ 미만일 수도 있다.
이러한 작은 피처들을 갖는 디바이스를 제작하는 것의 일 과제는 충분한 분해능을 갖는 포토리소그래피 마스크들을 신뢰성 있고 재생 가능하게 생성하는 능력이다. 현재 포토리소그래피 프로세스들은 통상적으로 포토레지스트를 노출시키기 위해 통상적으로 193 ㎚ 자외선 광 (UV light) 을 사용한다. 광이 반도체 기판 상에서 생성될 목표된 사이즈의 피처들보다 훨씬 더 큰 파장을 갖는다는 사실은 고유의 이슈들을 생성한다. 광의 파장보다 더 작은 피처 사이즈들을 달성하는 것은 멀티패터닝과 같은 복잡한 분해능 향상 기법들의 사용을 필요로 한다. 따라서, 10 ㎚ 내지 15 ㎚, 예를 들어, 13.5 ㎚의 파장을 갖는 극자외선 (extreme ultraviolet radiation; EUV) 과 같은 더 짧은 파장 광을 사용하는 포토리소그래피 기법들의 개발에 상당한 관심 및 연구 노력이 있다.
그러나, EUV 포토리소그래피 프로세스들은 낮은 전력 출력 및 패터닝 동안 광의 손실을 포함하는 문제들을 제공할 수 있다. 193 ㎚ UV 리소그래피에 사용된 것들과 유사한 종래의 유기 화학적으로 증폭된 레지스트들 (chemically amplified resists; CARs) 은 EUV 리소그래피에 사용될 때, 특히 EUV 영역에서 낮은 흡수 계수들을 갖고, 광-활성화된 화학 종의 확산은 블러 (blur) 또는 라인 에지 거칠기를 발생시킬 수 있기 때문에 잠재적인 결점들을 갖는다. 또한, 하부 (underlying) 디바이스를 패터닝하기 위해 요구된 에칭 내성을 제공하기 위해, 종래의 CAR 재료들로 패터닝된 작은 피처들은 패턴 붕괴의 위험이 있는 고 종횡비들을 발생시킬 수 있다. 따라서, 감소된 두께, 더 큰 흡광도, 및 더 큰 에칭 내성과 같은 특성들을 갖는, 개선된 EUV 포토레지스트 재료들에 대한 필요성이 남아 있다.
본 명세서에 제공된 배경기술은 본 개시의 맥락을 일반적으로 제시할 목적들이다. 이 배경기술에 기술되는 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원 시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술 (description) 의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
참조로서 인용
PCT 신청 양식은 본 출원의 일부로서 본 명세서와 동시에 제출되었다. 본 출원이 동시에 제출된 PCT 신청 양식에서 식별된 바와 같이 우선권 또는 이익을 주장하는 출원 각각은 전체가 모든 목적들을 위해 참조로서 본 명세서에 인용된다.
금속-함유 극자외선 (extreme ultraviolet radiation; EUV) 포토레지스트를 처리하는 방법이 본 명세서에 제공된다. 방법은 프로세스 챔버 내에 기판을 제공하는 단계로서, 기판은 기판 층 및 기판 층 위에 포지셔닝된 금속-함유 EUV 포토레지스트를 포함하는 반도체 기판인, 기판을 제공하는 단계, 금속-함유 EUV 포토레지스트를 프로세스 챔버 내 산소-함유 분위기에서 제 1 상승된 온도에 노출시키는 단계, 및 금속-함유 EUV 포토레지스트를 불활성 가스 분위기에서 제 2 상승된 온도에 노출시키는 단계를 포함하고, 제 2 상승된 온도는 제 1 상승된 온도보다 더 높다.
일부 구현 예들에서, 금속-함유 EUV 포토레지스트는 EUV-노출된 부분들 및 EUV-노출되지 않은 부분들을 포함하고, 산소-함유 분위기에서 제 1 상승된 온도에 대한 노출 및 불활성 가스 분위기에서 제 2 상승된 온도에 대한 노출은 후속하는 건식 현상 프로세스에서 EUV-노출된 부분들과 EUV-노출되지 않은 부분들 사이의 에칭 선택도를 상승시킨다. 일부 구현 예들에서, 산소-함유 분위기에서 제 1 상승된 온도에 대한 노출 및 불활성 가스 분위기에서 제 2 상승된 온도에 대한 노출은 후속하는 건식 현상 프로세스에서 라인 에지 거칠기 (line edge roughness; LER) 를 감소시키고 그리고 도즈 대 사이즈 (dose to size; DtS) 를 감소시킨다. 일부 구현 예들에서, 방법은 EUV-노출된 영역들 및 EUV-노출되지 않은 영역들을 형성하기 위해 프로세스 챔버 내에 기판을 제공하는 단계 전에 금속-함유 EUV 포토레지스트를 EUV 복사선에 노출시키는 단계를 더 포함한다. 일부 구현 예들에서, EUV 복사선에 대한 노출과 제 1 상승된 온도에 대한 노출 사이의 제 1 큐 시간 (queue time) 은 약 20 분 미만이고, 그리고 제 1 상승된 온도에 대한 노출과 제 2 상승된 온도에 대한 노출 사이의 제 2 큐 시간은 약 1 시간 미만이다. 일부 구현 예들에서, 제 1 상승된 온도는 약 150 ℃ 내지 약 220 ℃이고 그리고 제 2 상승된 온도는 약 220 ℃ 내지 약 250 ℃이다. 일부 구현 예들에서, 산소-함유 분위기는 산소-함유 종을 포함하고, 산소-함유 종의 분압은 산소-함유 분위기에서 적어도 약 100 Torr이다. 일부 구현 예들에서, 산소-함유 분위기는 산소 (O2), 오존 (O3), 물 (H2O), 과산화수소 (H2O2), 일산화탄소 (CO), 이산화탄소 (CO2), 또는 이들의 조합들을 포함한다. 일부 구현 예들에서, 불활성 가스 분위기는 질소 (N2), 헬륨 (He), 네온 (Ne), 아르곤 (Ar), 크세논 (Xe), 또는 이들의 조합들을 포함한다. 일부 구현 예들에서, 산소-함유 분위기 및 불활성 가스 분위기 각각은 수분이 없거나 실질적으로 없다. 일부 구현 예들에서, 금속-함유 EUV 포토레지스트는 금속 옥사이드-함유 EUV 포토레지스트이다. 일부 구현 예들에서, 산소-함유 분위기는 산소 라디칼들 및 이온들에 금속-함유 EUV 포토레지스트를 노출시키기 위해 리모트 플라즈마 소스로부터 생성된 산소 라디칼들 및 이온들을 포함한다. 일부 구현 예들에서, 금속-함유 EUV 포토레지스트를 불활성 가스 분위기에서 제 2 상승된 온도에 노출시키는 단계는 금속-함유 EUV 레지스트를 산소-함유 분위기에서 제 1 상승된 온도에 노출시키는 단계와 동일한 프로세스 챔버에서 발생한다. 일부 구현 예들에서, 방법은 금속-함유 EUV 포토레지스트를 산소-함유 분위기에 노출시키는 단계 및 금속-함유 EUV 포토레지스트를 불활성 가스 분위기에 노출시키는 단계를 1 회 이상 반복하는 단계를 더 포함한다. 일부 구현 예들에서, 방법은 금속-함유 EUV 포토레지스트의 부분들을 선택적으로 제거하도록 금속-함유 EUV 포토레지스트를 건식 현상하는 단계를 더 포함하고, 산소-함유 분위기에서 제 1 상승된 온도에 대한 노출 및 불활성 가스 분위기에서 제 2 상승된 온도에 대한 노출은 건식 현상 전에 수행되는 노출-후 소성 (post-exposure bake; PEB) 동작들이다.
금속-함유 EUV 포토레지스트를 처리하기 위한 장치가 또한 본 명세서에 제공된다. 장치는 기판 지지부를 포함하는 프로세스 챔버로서, 기판 지지부는 기판 층 및 기판 층 위에 포지셔닝된 금속-함유 EUV 포토레지스트를 포함하는 반도체 기판을 지지하도록 구성되는, 프로세스 챔버, 프로세스 챔버 및 연관된 가스-플로우 제어 하드웨어와 연결된 프로세스 가스 소스, 기판 열적 제어 하드웨어, 및 제어기를 포함한다. 제어기는 금속-함유 EUV 포토레지스트를 상기 프로세스 챔버 내 산소-함유 분위기에서 제 1 상승된 온도에 노출시키는 동작, 및 금속-함유 EUV 포토레지스트를 불활성 가스 분위기에서 제 2 상승된 온도에 노출시키는 동작을 수행하기 위한 인스트럭션들로 구성되고, 제 2 상승된 온도는 제 1 상승된 온도보다 더 높다.
일부 구현 예들에서, 제 1 상승된 온도는 약 150 ℃ 내지 약 220 ℃이고 그리고 제 2 상승된 온도는 약 220 ℃ 내지 약 250 ℃이다. 일부 구현 예들에서, 산소-함유 분위기 및 불활성 가스 분위기 각각은 수분이 없거나 실질적으로 없다. 일부 구현 예들에서, 산소-함유 종의 분압은 산소-함유 분위기에서 적어도 약 100 Torr이다. 일부 구현 예들에서, 산소-함유 분위기는 산소-함유 종을 포함하고, 산소-함유 종의 농도는 산소-함유 분위기에서 적어도 20 체적%이고, 산소-함유 종은 산소 (O2), 오존 (O3), 물 (H2O), 과산화수소 (H2O2), 일산화탄소 (CO), 이산화탄소 (CO2), 또는 이들의 조합들이다.
도 1은 다양한 실시 예들에 따른 기판을 처리하는 방법에 대한 플로우 차트를 제공한다.
도 2는 특정한 실시 예들에 따른, 도포-후 처리가 사용되는 몇몇 프로세싱 단계들의 과정에 걸친 기판을 예시한다.
도 3은 다양한 실시 예들에 따른, 노출-후 처리가 사용되는 몇몇 프로세싱 단계들의 과정에 걸친 기판을 예시한다.
도 4는 다양한 실시 예들에 따른 다단계 노출-후 소성 (post-exposure bake; PEB) 처리에서 기판을 처리하는 방법에 대한 플로우 차트를 제공한다.
도 5a는 특정한 열-기반 단계들이 발생할 수도 있는 프로세싱 챔버를 예시한다.
도 5b는 플라즈마-기반 단계들뿐만 아니라 열-기반 단계들을 포함하는, 다양한 단계들이 발생할 수도 있는 프로세싱 챔버를 예시한다.
도 6은 본 명세서의 특정한 실시 예들에 따른, 상이한 동작들을 수행하도록 구성된 다수의 상이한 모듈들을 갖는 클러스터 툴을 도시한다.
도 7a 내지 도 7d는 노출-후 소성 프로세스 동안 온도를 제어함으로써 달성될 수 있는 포토레지스트 층의 노출된 부분과 노출되지 않은 부분 사이의 개선된 재료 콘트라스트 및 선택도를 예시하는 SEM (scanning electron microscopy) 이미지들을 도시한다.
본 개시의 특정한 실시 예들에 대한 참조가 본 명세서에서 상세히 이루어진다. 구체적인 실시 예들의 예들은 첨부된 도면들에 예시된다. 본 개시가 이들 구체적인 실시 예들과 함께 기술될 (describe) 것이지만, 이는 이러한 특정한 실시 예들로 본 개시를 제한하는 것으로 의도되지 않았다는 것이 이해될 것이다. 반대로, 이는 본 개시의 정신 및 범위 내에 포함될 수도 있는 바와 같이 대안들, 수정들, 및 등가물들을 커버하도록 의도된다. 이하의 기술에서, 본 개시의 완전한 이해를 제공하기 위해 수많은 구체적 상세들이 제시된다. 본 개시는 이들 구체적인 상세들 중 일부 또는 전부 없이 실시될 수도 있다. 다른 예들에서, 공지된 프로세스 동작들은 본 개시를 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다.
금속-함유 레지스트의 처리
반도체 프로세싱에서 박막들의 패터닝은 종종 반도체들의 제조에서 중요한 단계이다. 패터닝은 리소그래피 (lithography) 를 수반한다. 193 ㎚ 포토리소그래피와 같은 종래의 포토리소그래피에서, 패턴들은 포토마스크에 의해 규정된 선택적인 영역들의 광자들에 포토레지스트를 노출시키고, 이에 따라 노출된 포토레지스트에서 화학적 반응을 유발하고 패턴을 형성하기 위해 포토레지스트의 특정한 부분들을 제거하기 위한 현상 단계에서 활용될 (leverage) 수 있는 화학적 콘트라스트 (chemical contrast) 를 생성함으로써 감광성 포토레지스트 막 상에 인쇄된다. 이어서 패터닝되고 현상된 포토레지스트 막은 금속, 옥사이드, 등으로 구성되는 하부 (underlying) 막들 내로 패턴을 전사하기 위한 에칭 마스크로서 사용될 수 있다.
(반도체 국제 기술 로드맵 (International Technology Roadmap for Semiconductors; ITRS) 에 의해 규정된) 발전된 기술 노드들은 22 ㎚, 16 ㎚, 및 이를 넘어서는 노드들을 포함한다. 16 ㎚ 노드에서, 예를 들어, 다마신 구조체의 비아 또는 라인의 폭은 통상적으로 약 30 ㎚보다 더 크지 않다. 발전된 반도체 집적 회로들 (integrated circuits; ICs) 및 다른 디바이스들 상의 피처들의 스케일링은 분해능을 개선하기 위해 리소그래피를 구동한다.
극자외선 (extreme ultraviolet; EUV) 리소그래피는 종래의 포토리소그래피 방법들로 달성될 수 있는 것보다 더 작은 이미징 소스 파장들로 이동함으로써 리소그래피 기술 (technology) 을 확장할 수 있다. 대략 10 내지 20 ㎚, 또는 11 내지 14 ㎚ 파장, 예를 들어 13.5 ㎚ 파장의 EUV 광원들이 또한 스캐너들로 지칭되는, 최첨단 리소그래피 툴들에 사용될 수 있다. EUV 복사선은 석영 및 수증기를 포함하는 넓은 범위의 고체 (solid) 재료 및 유체 (fluid) 재료에 강하게 흡수되고, 따라서 진공에서 동작한다.
EUV 리소그래피는 하부 층들을 에칭하는 데 사용하기 위해 마스크들을 형성하도록 패터닝되는 EUV 레지스트들을 사용한다. EUV 레지스트들은 액체-기반 스핀-온 (spin-on) 기법들 (techniques) 에 의해 생성된 폴리머-기반 화학적으로 증폭된 레지스트들 (chemically amplified resists; CARs) 일 수도 있다. CAR들에 대한 일 대안은 예를 들어, 적어도 포토패터닝 가능한 금속 옥사이드-함유 막들의 개시를 위해 본 명세서에 참조로서 인용된, 미국 특허 공보 US 2017/0102612, US 2016/021660, 및 US 2016/0116839에 기술되고 그리고 Inpria Corp. (Corvallis, OR) 로부터 입수 가능한 것과 같은, 직접 포토패터닝 가능한 금속 옥사이드-함유 막들이다. 이러한 막들은 스핀-온 기법들에 의해 생성되거나 건식 기상 증착될 수도 있다. 금속 옥사이드-함유 막은 예를 들어, 2018년 6월 12일 허여되고 명칭이 EUV PHOTOPATTERNING OF VAPOR-DEPOSITED METAL OXIDE-CONTAINING HARDMASKS인 미국 특허 제 9,996,004 호, 2019년 5월 9일에 출원되고 명칭이 METHODS FOR MAKING EUV PATTERNABLE HARD MASKS인 국제 특허 출원 PCT/US2019/031618 호에 기술된 바와 같이, 30 ㎚ 이하의 패터닝 분해능을 제공하는 진공 분위기 (ambient) 에서 EUV 노출에 의해 직접 (즉, 별도의 포토레지스트를 사용하지 않고) 패터닝될 수 있고, 적어도 EUV 레지스트 마스크들을 형성하기 위해 직접 포토패터닝 가능한 금속 옥사이드 막들의 조성, 증착 및 패터닝에 관한 이의 개시들이 본 명세서에 참조로서 인용된다. 일반적으로, 패터닝은 레지스트 내에 포토패턴을 형성하기 위해 EUV 복사선으로 EUV 레지스트의 노출, 이어서 마스크를 형성하기 위해 포토패턴에 따라 레지스트의 일부를 제거하기 위한 현상을 수반한다.
이들 직접 포토패터닝 가능한 EUV 레지스트들은 고-EUV-흡광도 금속들 및 이들의 유기금속 옥사이드들/하이드록사이드들 및 다른 유도체들로 구성되거나 이를 함유할 수도 있다. EUV 노출 시, 생성된 2 차 전자들뿐만 아니라 EUV 광자들은 SnOx-기반 레지스트 (및 다른 금속 옥사이드-기반 레지스트들) 에서 베타-H 제거 반응과 같은 화학 반응들을 유도할 수 있고, 레지스트 막에서 교차 결합 (cross-linking) 및 다른 변화들을 용이하게 하는 화학적 기능성을 제공할 수 있다. 이어서 이들 화학적 변화들은 레지스트 막의 노출되거나 노출되지 않은 영역을 선택적으로 제거하고 그리고 패턴 전사를 위한 에칭 마스크를 생성하도록 현상 단계에서 활용될 수 있다.
금속 옥사이드-함유 막은 예를 들어, 2018년 6월 12일 허여되고 명칭이 "EUV PHOTOPATTERNING OF VAPOR-DEPOSITED METAL OXIDE-CONTAINING HARDMASKS"인 미국 특허 제 9,996,004 호에 기술된 바와 같이, 30 ㎚ 미만 (sub-30 ㎚) 의 패터닝 분해능을 제공하는 진공 분위기 (ambient) 에서 EUV 노출에 의해 직접 (즉, 별도의 포토레지스트를 사용하지 않고) 패터닝될 수 있고, 적어도 EUV 레지스트 마스크들을 형성하기 위해 직접 포토패터닝 가능한 금속 옥사이드 막들의 조성, 증착 및 패터닝에 관한 이의 개시들이 본 명세서에 참조로서 인용된다. 일반적으로, 패터닝은 레지스트 내에 포토패턴을 형성하기 위해 EUV 복사선으로 EUV 레지스트의 노출, 이어서 마스크를 형성하기 위해 포토패턴에 따라 레지스트의 일부를 제거하기 위한 현상을 수반한다.
본 개시가 EUV 리소그래피에 의해 예시된 리소그래피 패터닝 기법들 및 재료들에 관한 것이지만, 이는 또한 다른 차세대 리소그래피 기법들에 적용 가능하다는 것이 또한 이해되어야 한다. 현재 사용 및 현상 중인 표준 13.5 ㎚ EUV 파장을 포함하는 EUV에 더하여, 이러한 리소그래피와 가장 관련이 있는 복사선 소스들은, 일반적으로 248 ㎚ 또는 193 ㎚ 엑시머 레이저 소스들의 사용을 지칭하는 DUV (Deep-UV), X-선 범위의 더 낮은 에너지 범위의 EUV를 공식적으로 포함하는 X-선, 뿐만 아니라 넓은 에너지 범위를 커버할 수 있는 e-빔이다. 이러한 방법들은 노출된 하이드록실기를 갖는 기판이 기판의 표면 상에 이미징/PR 층으로서 하이드로카빌-종단된 SnOx 막을 형성하도록 하이드로카빌-치환된 주석 캡핑제 (capping agent) 와 콘택트되는 방법들을 포함한다. 특정한 방법들은 반도체 기판 및 궁극적인 반도체 디바이스에 사용된 특정한 재료들 및 애플리케이션들에 종속될 수도 있다. 따라서, 본 출원에 기술된 방법들은 단지 본 기술에서 사용될 수도 있는 방법들 및 재료들의 예시이다.
직접 포토패터닝 가능한 EUV 레지스트들은 유기 컴포넌트들 내에 혼합된 금속들 및/또는 금속 옥사이드들로 구성되거나 이를 함유할 수도 있다. 금속들/금속 옥사이드들은 EUV 광자 흡착을 향상시키고 2 차 전자들을 생성할 수 있고 그리고/또는 하부 막 스택 및 디바이스 층들에 대해 상승된 에칭 선택도를 나타낼 수 있다는 점에서 매우 유망하다. 현재까지, 이들 레지스트들은 웨이퍼가 현상 용매에 노출되고, 건조되고, 소성되는, 트랙으로 이동하는 것을 필요로 하는, 습식 (용매) 접근법을 사용하여 현상되었다. 습식 현상은 생산성을 제한할 뿐만 아니라 미세 피처들 사이의 용매의 증발 동안 표면 장력 효과들로 인해 라인 붕괴를 야기할 수 있다.
기판 디라미네이션 및 계면 파손들 (interface failures) 을 제거함으로써 이들 이슈들을 극복하기 위해 건식 현상 기법들이 제안되었다. 건식 현상은 습식 현상과 비교할 때 효과적인 레지스트 노출을 위해 더 높은 도즈 대 사이즈 요건을 야기할 수 있는 노출되지 않은 레지스트 재료와 EUV 노출된 레지스트 재료 사이의 에칭 선택도를 포함하여, 고유의 문제들을 갖는다. 차선의 선택도는 또한 에칭 가스 하에서 더 긴 노출들로 인해 포토레지스트 코너 라운딩을 유발할 수 있고, 이는 후속하는 전사 에칭 단계에서 라인 임계 치수 (critical dimension; CD) 변동을 증가시킬 수도 있다.
본 개시의 다양한 양태들에 따라, 증착 후 (예를 들어, 도포-후 소성 (post-application bake; PAB)) 및/또는 노출-후 (예를 들어, 노출-후 소성 (post-exposure bake; PEB)), 금속 및/또는 금속 옥사이드-기반 포토레지스트들에 대한 하나 이상의 후 처리들은 노출된 포토레지스트 (PR) 와 노출되지 않은 포토레지스트 사이의 재료 특성 차들을 증가시킬 수 있고 따라서 후속 건식 현상 후 도즈 대 사이즈 (dose to size; DtS) 를 감소시키고, PR 프로파일을 개선하고, 그리고 라인 에지 거칠기 및 라인 폭 거칠기 (LER/LWR) 를 개선할 수 있다. 이러한 프로세싱은 온도, 가스 분위기, 및 수분 중 하나 이상의 제어를 갖는 열적 프로세스를 수반할 수 있어, 이어지는 프로세싱에서 개선된 건식 현상 성능을 발생시킨다. 일부 예들에서, 리모트 플라즈마가 사용될 수도 있다.
도포-후 프로세싱 (예를 들어, PAB) 의 경우, 온도, 가스 분위기 (예를 들어, 본 명세서에 기술된 가스들 중 하나 이상을 사용함), 압력, 및 수분 중 하나 이상을 제어하는 열적 프로세스가 노출되지 않은 금속 및/또는 금속 옥사이드-함유 포토레지스트의 조성을 변화시키기 위해 증착 후 그리고 노출 전에 사용될 수 있다. 변화는 재료의 EUV 감도를 상승시킬 수 있고, 따라서 더 낮은 도즈 대 사이즈 및 라인 에지 거칠기가 노출 및 건식 현상 후에 달성될 수 있다.
노출-후 프로세싱 (예를 들어, PEB) 의 경우, 온도, 가스 분위기 (atmosphere) (예를 들어, 본 명세서에 기술된 가스들 중 하나 이상을 사용함), 압력, 및 수분 중 하나 이상을 제어하는 열적 프로세스가 노출되지 않은 포토레지스트 및 노출된 포토레지스트 모두의 조성을 변화시키기 위해 사용될 수 있다. 일부 경우들에서, 처리는 조성 및/또는 재료 특성의 변화가 노출되지 않은 포토레지스트에서보다 노출된 포토레지스트에서 더 크도록, 노출되지 않은 포토레지스트와 비교하여 노출된 포토레지스트의 조성 및/또는 재료 특성들을 우선적으로 변경할 수도 있다. 일부 다른 경우들에서, 처리는 조성 및/또는 재료 특성의 변화가 노출된 포토레지스트에서보다 노출되지 않은 포토레지스트에서 더 크도록, 노출된 포토레지스트와 비교하여 노출되지 않은 포토레지스트의 조성/재료 특성들을 우선적으로 변경할 수도 있다. 이들 우선적인 상호작용들은 EUV 노출 동안 발생하는 화학적 변화들, 예를 들어 포토레지스트 내 알킬기들의 손실로 인해 발생할 수도 있다. 처리 동안 발생하는 변화들은 노출되지 않은 포토레지스트와 노출된 포토레지스트 사이의 조성/재료 특성들의 차를 증가시킬 수 있고, 이에 따라 노출되지 않은 포토레지스트와 노출된 포토레지스트 사이의 에칭 레이트의 차를 향상시킨다. 이에 따라 (예를 들어, 포토레지스트에서 패턴의 건식 현상 동안) 더 높은 에칭 선택도가 달성될 수 있다. 개선된 선택도로 인해, 개선된 표면 거칠기, 및/또는 더 적은 포토레지스트 잔류물/스컴을 갖는 더 사각형의 (squarer) 포토레지스트 프로파일이 획득될 수 있다.
어느 경우든, 대안적인 구현 예들에서, 열적 프로세스는 리모트 플라즈마 프로세스로 대체되거나 보충될 수 있다. 리모트 플라즈마 프로세스는 반응성 종을 증가시키도록 작용할 수도 있고, 이에 따라 목표된 반응에 대한 에너지 배리어를 낮추고 생산성을 증가시킨다. 리모트 플라즈마는 더 많은 반응성 라디칼들을 생성할 수 있고 따라서 (예를 들어, 열적 에너지에만 의존하는 처리들과 비교하여) 처리를 위한 반응 온도/시간을 낮추어, 증가된 생산성으로 이어진다.
따라서, 건식 현상 선택도를 상승시키도록 포토레지스트 자체를 개질하도록 하나 또는 복수의 프로세스들이 적용될 수도 있다. 이 열적 및/또는 라디칼 개질은 노출되지 않은 재료와 노출된 재료 사이의 콘트라스트를 상승시킬 수 있고 따라서 후속하는 건식 현상 단계의 선택도를 상승시킬 수 있다. 노출되지 않은 재료와 노출된 재료의 재료 특성들 사이의 발생되는 차이는 온도, 가스 플로우, 수분, 압력, 및/또는 무선 주파수 (radio frequency; RF) 전력을 포함하는 하나 이상의 프로세스 조건들을 조정함으로써 튜닝될 수 있다. 습식 현상액 용매에서 재료 용해도에 의해 제한되지 않는, 건식 현상에 의해 가능하게 된 큰 프로세스 자유 범위 (latitude) 는 처리 동안 더 공격적인 조건들이 적용되게 하여, 달성될 수 있는 재료 콘트라스트를 더 향상시킨다. 발생되는 고 재료 콘트라스트는 건식 현상을 위해 더 넓은 프로세스 윈도우를 피드백하고 따라서 상승된 생산성, 더 낮은 비용 및 더 우수한 결함 성능을 가능하게 한다.
습식 현상된 레지스트 막들의 실질적인 한계는 제한된 온도 소성들이다. 습식 현상은 포토레지스트의 노출된 영역과 노출되지 않은 영역 사이의 재료 용해도의 차들에 의존한다. 포토레지스트를 상승된 온도들로 가열하는 것은 금속-함유 포토레지스트 막의 노출된 영역 및 노출되지 않은 영역 모두에서 교차 결합 정도를 크게 상승시킬 수 있다. 포토레지스트가 약 220 ℃ 이상의 온도로 가열되면, 포토레지스트의 노출된 영역 및 노출되지 않은 영역 모두 습식 현상 용매들에 불용성이 되어, 포토레지스트 막은 습식 현상 기법들을 사용하여 더 이상 신뢰성 있게 현상될 수 없다.
대조적으로, 포토레지스트의 노출된 영역과 노출되지 않은 영역 사이의 건식 에칭 레이트 차 (즉, 선택도) 가 레지스트의 노출된 부분만 또는 노출되지 않은 부분만의 제거에 의존하는 건식-현상된 레지스트 막들에 대해, PAB 또는 PEB에서 처리 온도는 습식 현상 용매의 용해도에 적용되는 제한들이 건식 에칭 기법들에 적용되지 않기 때문에 훨씬 더 넓은 윈도우에 걸쳐 가변될 수 있다. 이와 같이, 건식 현상의 경우, 처리 프로세스는 상대적으로 넓은 온도 범위에 걸쳐 튜닝/최적화될 수도 있다. 예를 들어, 처리 온도는 PAB에 대해 약 90 ℃ 내지 약 250 ℃, 예컨대 약 90 ℃ 내지 약 190 ℃, 그리고 PEB에 대해 약 150 ℃ 내지 약 250 ℃ 이상의 범위일 수도 있다. 감소된 에칭 레이트 및 더 큰 에칭 선택도가 언급된 범위들의 더 높은 처리 온도들에서 발생하는 것으로 밝혀졌다.
도 7a 내지 도 7d는 PEB 동안 온도를 제어함으로써 달성될 수 있는 포토레지스트 층의 노출된 부분과 노출되지 않은 부분 사이의 개선된 재료 콘트라스트 및 선택도를 도시하는 실험 결과들을 도시한다. 예 각각에서, 기판은 (예를 들어, 기판 지지부 온도를 제어함으로써) 기판의 온도가 제어되는 PEB에 노출된다. 그 후, 기판 각각 상의 포토레지스트 층은 기판 상에 일련의 포토레지스트 피처들을 형성하도록 건식 기법들을 사용하여 현상된다. 도 7a에서, 온도는 약 235 ℃로 제어된다. 도 7b에서, 온도는 약 220 ℃로 제어된다. 도 7c에서, 온도는 약 205 ℃로 제어된다. 도 7d에서, 온도는 약 190 ℃로 제어된다. 더 낮은 처리 온도들에서, 포토레지스트 프로파일은 상당한 테이퍼링/라운딩된 피처들을 나타낸다. 대조적으로, 더 높은 처리 온도들에서, 포토레지스트 프로파일은 실질적으로 개선되고, 피처들은 훨씬 덜 테이퍼링/라운딩되고, 훨씬 더 사각형이다. 더 높은 PEB 온도들은 포토레지스트의 노출된 부분과 노출되지 않은 부분 사이에 더 큰 재료 콘트라스트를 제공하고, 이에 따라 포토레지스트가 현상될 때 더 높은 선택도를 제공한다. 또한, 더 높은 PEB 온도들로 처리된 기판들은 현상 후 라인들의 더 높은 임계 치수들을 나타내고, 이는 더 낮은 도즈 대 사이즈에 대응한다. 즉, 더 높은 처리 온도들은 기판이 더 낮은 온도들에서 처리될 때 (또는 전혀 처리되지 않을 때) 동일한 임계 치수를 달성하기 위해 목표되는 것보다 더 낮은 도즈의 EUV 복사선에서 목표된 임계 치수를 달성하도록 사용될 수 있다. 상기 언급된 바와 같이, 건식 현상 기법들은 PEB 처리들 후에 사용되었다. 많은 경우들에서, 습식 현상 기법들은 상기 논의된 이유들로 인해, 예를 들어 > 180 ℃와 같은 고온들에서 PEB로 처리된 포토레지스트 층을 현상할 수 없다.
특정한 실시 예들에서, PAB 처리 및/또는 PEB 처리는 100 내지 10,000 sccm 범위의 가스 분위기 플로우로 수행될 수도 있다. 이들 또는 다른 실시 예들에서, 주변 분위기 (ambient environment) 의 수분 함량은 약 수 % 내지 최대 100 % (예를 들어, 일부 경우들에서 약 20 % 내지 50 %) 로 제어될 수도 있다. 이들 또는 다른 실시 예들에서, 처리 동안 압력은 예를 들어 대기압에서 또는 대기압 이하로 (예를 들어, 대기압 미만의 압력들을 달성하기 위해 진공을 사용하여) 제어될 수도 있다. 일부 경우들에서, 처리 동안 압력은 약 0.1 내지 760 Torr, 예를 들어 약 0.1 내지 10 Torr, 또는 일부 경우들에서 약 0.1 내지 1 Torr일 수도 있다. 이들 또는 다른 실시 예들에서, 처리의 지속 기간은 약 1 내지 15 분, 예를 들어 약 2 내지 5 분, 또는 약 2 분으로 제어될 수도 있다.
이들 발견들은 특정한 재료들 및 상황들에 대해 프로세싱을 맞춤하거나 (tailor) 최적화하도록 처리 조건들을 튜닝하는 데 사용될 수 있다. 예를 들어, 약 2 분 동안 약 20 % 습도에서 공기 중에서 220 ℃ 내지 250 ℃ PEB 열적 처리를 사용하여 미리 결정된 (given) EUV 도즈에 대해 달성된 선택도는 이러한 열적 처리 없이 약 30 % 더 높은 EUV 도즈에 대한 선택도와 유사하게 이루어질 수 있다. 따라서, 반도체 프로세싱 동작의 선택도 요건들/제약 조건들에 따라, 본 명세서에 기술된 바와 같은 열적 처리가 필요한 EUV 도즈를 낮추기 위해 사용될 수 있다. 또는, 더 높은 선택도가 요구되고 더 높은 도즈가 용인될 (tolerate) 수 있다면, 습식 현상 맥락에서 가능한 것보다 훨씬 더 높은 선택도 (예를 들어, 포토레지스트의 노출된 영역 대 노출되지 않은 영역의 최대 100:1의 건식 에칭 선택도) 가 획득될 수 있다. 리모트 플라즈마-기반 처리들은 동일하거나 유사한 이점들을 발생시킬 수도 있다.
도 1은 반도체 기판을 프로세싱하는 방법인 본 개시의 일 양태에 대한 프로세스 플로우를 도시한다. 방법 (100) 은, 블록 (101) 에서, 반도체 기판의 기판 층 상의 금속-함유 포토레지스트를 프로세스 챔버 내에 제공하는 단계를 수반한다. 기판은, 예를 들어, 임의의 적합한 방식으로 제조된 부분적으로 제조된 반도체 디바이스 막 스택일 수도 있다. 블록 (103) 에서, 후속하는 노출-후 건식 현상 프로세스에서 에칭 선택도가 상승되도록 금속-함유 포토레지스트의 재료 특성들을 개질하기 위해 금속-함유 포토레지스트가 처리된다. 예를 들어, 처리는 금속-함유 포토레지스트에서 증가된 교차 결합을 발생시킬 수도 있다.
일부 실시 예들에서, 처리는 온도, 가스 분위기, 및/또는 수분을 제어하는 열적 프로세스를 수반할 수도 있다. 가스 분위기는 반응성 가스 종, 예컨대 공기, 물 (H2O), 수소 (H2), 산소 (O2), 오존 (O3), 과산화수소 (H2O2), 일산화탄소 (CO), 이산화탄소 (CO2), 카르보닐 설파이드 (COS), 이산화황 (SO2), 염소 (Cl2), 암모니아 (NH3), 아산화질소 (N2O), 산화질소 (NO), 메탄 (CH4), 메틸아민 (CH3NH2), 디메틸아민 ((CH3)2NH), 트리메틸아민 (N(CH3)3), 에틸아민 (CH3CH2NH2), 디에틸아민 ((CH3CH2)2NH), 트리에틸아민 (N(CH2CH3)3), 피리딘 (C5H5N), 알코올들 (CnH2n+1OH, 메탄올, 에탄올, 프로판올, 및 부탄올을 포함하지만 이로 제한되지 않음), 아세틸 아세톤 (CH3COCH2COCH3), 포름산 (HCOOH), 염화옥살릴 ((COCl)2), 카르복실산 (CnH2n+1COOH), 및 다른 소분자 아민들 (NR1R2R3, 여기서 R1, R2, 및 R3 각각은 수소, 하이드록실, 지방족, 할로지방족, 할로헤테로지방족, 헤테로지방족, 방향족, 지방족-방향족, 헤테로지방족-방향족, 또는 이들의 임의의 조합들로부터 독립적으로 선택됨), 등을 포함할 수도 있다. 이들 반응성 가스들 중 임의의 반응성 가스의 치환된 형태들이 또한 사용될 수도 있다. 일부 경우들에서, 기판은 처리 동작 동안 2 개 이상의 반응성 가스들에 노출될 수도 있다.
반응성 가스가 포토레지스트를 처리하도록 사용되는 실시 예들에서, 반응성 가스는 산화 (oxidation), 배위 (coordination), 또는 산/염기 화학 작용을 통해 포토레지스트와 상호작용할 수도 있다.
다양한 실시 예들에서, 가스 분위기는 질소 (N2), 아르곤 (Ar), 헬륨 (He), 네온 (Ne), 크립톤 (Kr), 크세논 (Xe), 등과 같은 불활성 가스를 포함할 수도 있다. 일부 경우들에서, 불활성 가스는 상기 열거된 반응성 가스들 중 하나 이상과 함께 제공될 수도 있다. 다른 경우들에서, 가스 분위기는 불활성이거나 실질적으로 불활성일 수도 있다. 예를 들어, 가스 분위기는 반응성 가스들이 없거나 실질적으로 없을 수도 있다. 본 명세서에 사용된 바와 같이, 가스 분위기는 이러한 가스들이 미량들로만 존재한다면 반응성 가스들이 실질적으로 없는 것으로 간주될 수도 있다. 불활성 분위기가 사용되는 다양한 경우들에서, 불활성 분위기는 포토레지스트의 관련 영역들에서 과산화 (over-oxidation) 를 환원시킴으로써 조성 및/또는 재료 특성들의 콘트라스트를 상승시킬 수도 있다. 예를 들어, 포토레지스트가 패터닝 복사선에 노출된 후 포토레지스트가 불활성 분위기에서 열적으로 처리되는 일부 경우들에서, 불활성 분위기는 포토레지스트의 노출되지 않은 영역들 상에 존재하는 과산화를 환원시킴으로써 재료 콘트라스트 (예를 들어, 조성 및/또는 재료 특성들) 의 상승을 촉진한다.
본 명세서에 기술된 임의의 실시 예들은 포토레지스트의 산화되거나 과산화된 영역들을 환원시키도록 동작할 수도 있는 환원 단계를 포함할 수도 있다. 이러한 환원 단계는 포토레지스트 (또는 이들의 일부) 를 산화시키는 단계 후에 특히 유용할 수도 있다. 다양한 실시 예들에서, 환원 단계는 기판을 환원 분위기 또는 불활성 분위기에 노출시키는 단계를 수반할 수도 있다. 일부 경우들에서, 환원 단계는 기판을 가열하는 단계 및/또는 기판을 플라즈마에 노출시키는 단계를 수반할 수도 있다. 플라즈마는 불활성 가스 및/또는 환원 가스로부터 생성될 수도 있다.
다양한 실시 예들에서, 도 2에 도시된 바와 같이, 처리는 포토레지스트 (202a) 가 기판 (201) 에 도포된 후, 포토레지스트 (202a) 가 패터닝 복사선에 노출되기 전에 적용될 수도 있다. 예를 들어, 처리가 열적 처리인 일 예에서, 처리는 PAB (post-application bake) 로서 지칭될 수도 있다. 처리는 포토레지스트 (202b) 의 개질된 버전을 형성하도록 포토레지스트 (202a) 를 변경한다. 처리 전 포토레지스트 (202a) 와 비교하여, 포토레지스트 (202b) 의 개질된 버전은 개선된 특성들을 나타낸다. 예를 들어, 포토레지스트 (202b) 의 개질된 버전은 포토레지스트 (202a) 의 개질되지 않은 버전보다 EUV 복사선에 더 민감할 수도 있다. 이 상승된 EUV 감도의 결과로서, 포토레지스트의 개질된 버전은 EUV 노출 동안 더 낮은 도즈 대 사이즈를 나타낼 수도 있고, 그리고 현상 후 더 낮은 라인 에지 거칠기를 제공할 수도 있다.
처리는 또한 상이한 시간에 제공될 수도 있다. 다양한 실시 예들에서, 도 3에 도시된 바와 같이, 처리는 처리될 기판이 EUV 포토레지스트의 노출된 부분들 (302c) 및 노출되지 않은 부분들 (302b) 모두를 포함하도록, 포토레지스트 (302a) 가 증착되고 복사선 (예를 들어, EUV) 에 대한 부분적인 노출에 의해 패터닝된 후 적용될 수도 있다. 예를 들어, 처리가 열적 처리인 일 예에서, 처리는 PEB (post-exposure bake) 로서 지칭될 수도 있다. 처리는 EUV 포토레지스트의 노출된 부분들 (302c) 및 노출되지 않은 부분들 (302b) 모두를 개질할 수도 있고, 이에 따라 노출된 부분의 개질된 버전 (302e) 및 노출되지 않은 부분의 개질된 버전 (302d) 을 형성한다. 처리에 의해 생성된 개질들은 건식 현상 에칭 가스에서 포토레지스트 재료의 에칭 레이트를 상승시킬 수도 있다. 대안적으로 또는 이에 더하여, 처리에 의해 생성된 개질들은 포토레지스트의 노출되지 않은 부분들과 노출된 부분들 사이의 조성/재료 특성들의 차를 증가시킬 수도 있다. 즉, (1) 처리 후 포토레지스트의 노출되지 않은 부분의 개질된 버전 (302d) 과 (2) 처리 후 포토레지스트의 노출된 부분의 개질된 버전 (302e) 을 비교할 때 조성/재료 특성들 사이의 차는 (1) 처리 전 포토레지스트의 노출되지 않은 부분들 (302b) 과 (2) 처리 전 포토레지스트의 노출된 부분들 (302c) 을 비교할 때 조성/재료 특성들 사이의 차이보다 더 상당하다.
부가적으로, PAB 처리 또는 PEB 처리에서 소성 온도의 램핑 레이트 (ramping rate) 는 교차 결합/에칭 선택도 결과들을 미세-튜닝하도록 조작될 수 있는 또 다른 유용한 프로세스 파라미터이다. PAB 열적 프로세스 및 PEB 열적 프로세스는 단일 동작 또는 복수의 동작들로 행해질 수 있다. 복수의 동작들이 사용되는 경우, 상이한 프로세스 조건들이 개별 동작들 동안 제공될 수도 있다. 개별 동작들 사이에서 가변할 수도 있는 예시적인 프로세싱 조건들은 기판에 근접한 주변 가스들 또는 혼합물들의 정체 (identity) 및 농도, 수분 레벨, 온도들, 압력들, 등을 포함한다. 이들 프로세싱 조건들은 포토레지스트 특성들을 조절하고 따라서 상이한 에칭 선택도를 튜닝하도록 제어될 수도 있다.
대안적인 실시 예에서, 도포-후 처리 및 노출-후 처리 중 하나 또는 모두는 금속-함유 포토레지스트와 반응하고 이에 따라 그 재료 특성들을 개질하기 위한 라디칼들을 생성하기 위해, 열적 프로세싱과 함께, 또는 열적 프로세싱 대신에 리모트 플라즈마 프로세스를 수반할 수도 있다. 도 2를 참조하면, 일부 실시 예들에서, 포토레지스트 (202a) 가 증착된 후 그리고 EUV 복사선에 노출되기 전에 리모트 플라즈마 처리 프로세스가 발생한다. 이 경우, 처리는 도포-후 플라즈마 처리로 지칭될 수도 있다. 도 3을 참조하면, 일부 실시 예들에서 리모트 플라즈마 처리 프로세스는 포토레지스트 (302a) 가 증착되고 그리고 노출된 부분들 (302c) 및 노출되지 않은 부분들 (302b) 을 형성하기 위해 EUV 복사선에 노출된 후에 발생한다. 이 경우, 처리는 노출-후 플라즈마 처리로 지칭될 수도 있다.
리모트 플라즈마가 포토레지스트를 처리하도록 사용되는 구현 예들에서, 라디칼들은 열적 처리에 대해 본 명세서에 기술된 동일하거나 상이한 가스 종으로부터 생성될 수도 있다.
일부 실시 예들에서, 복수의 처리들이 사용될 수도 있다. 예를 들어, 제 1 처리는 (도 2에 도시된 바와 같이) 포토레지스트 증착 후 그리고 EUV 노출 전에 발생할 수도 있고, 그리고 제 2 처리는 (도 3에 도시된 바와 같이) EUV 노출 후 그리고 현상 전에 발생할 수도 있다. 프로세싱 조건들 중 하나 이상은 제 1 처리 동안 그리고/또는 제 2 처리 동안 본 명세서에 기술된 바와 같이 제어될 수도 있다.
금속 함유 레지스트의 다단계 노출-후 처리
PEB 프로세스들은 종종 노출 (예를 들어, EUV 노출) 에 이어서 금속-함유 포토레지스트의 노출된 부분과 노출되지 않은 부분 사이의 에칭 선택도의 콘트라스트를 더 상승시키도록 수행된다. 예를 들어, 금속-함유 포토레지스트는 EUV-노출된 부분들에서 교차 결합을 용이하게 하도록 화학적 종의 존재 시 열적으로 처리될 수 있다. 주석 옥사이드 포토레지스트들에 대해, 이는 EUV 노출 동안 생성되는 유기 단편들의 증발을 구동하고, EUV 노출에 의해 생성된 모든 Sn-H, Sn-Sn, 또는 Sn 라디칼 종을 금속 하이드록사이드로 산화시키고, 그리고 더 치밀하게 교차 결합된 SnO2-유사 네트워크를 형성하도록 이웃하는 Sn-OH 기들 사이의 교차 결합을 용이하게 하도록 설계된다. 그러나, 온도가 산화 분위기의 존재 시 너무 높다면, 금속-함유 포토레지스트의 EUV-노출되지 않은 부분들은 과산화될 것이다. 과산화로 인해, 후속하는 건식 현상 프로세스들에서 재료 콘트라스트가 저하되고, 거칠기가 상승하고, 그리고 결함들이 증가한다. 산화 분위기의 존재 시 온도가 너무 낮 으면, 금속-함유 포토레지스트의 EUV-노출된 부분들은 충분히 교차 결합되지 않을 것이다. 그 결과, 재료 콘트라스트는 건식 현상 에칭 가스에 대한 노출 동안 불충분하다. PEB 프로세스가 고온들의 불활성 분위기에서 수행된다면, 금속-함유 포토레지스트의 EUV-노출된 부분들은 충분한 산소를 수용하지 못할 것이다. EUV-노출된 부분들에서 더 적은 산소는 더 적은 교차 결합들을 발생시켜, EUV-노출된 부분들로 하여금 더 부드럽고 덜 치밀하게 한다. 더 부드러운 레지스트는 부가적인 거칠기를 야기하고, 이는 결국 더 큰 패턴 변형 (예를 들어, 라인 위글링 (line wiggling)) 및 결함들을 야기한다.
본 개시에서, 기판 상의 포토레지스트는 복수의 PEB 처리들 또는 PEB 처리 프로세스에서 복수의 단계들을 겪을 수도 있다. 복수의 소성 단계들은 상이한 온도들 및/또는 상이한 화학 물질들에서 수행될 수도 있다. 제 1 소성 단계는 산소-풍부 (oxygen-rich) 분위기의 적당히 상승된 소성 온도에서 수행될 수도 있다. 제 2 소성 단계는 불활성 분위기의 적당히 상승된 소성 온도보다 더 높은, 매우 상승된 소성 온도에서 수행될 수도 있다. 일부 구현 예들에서, 적당히 상승된 소성 온도는 약 150 ℃ 내지 약 220 ℃일 수도 있고 그리고 매우 상승된 소성 온도는 약 220 ℃ 내지 약 250 ℃일 수도 있다. 금속-함유 포토레지스트를 제 1 소성 단계 및 제 2 소성 단계에 순차적으로 노출함으로써, 재료 콘트라스트는 건식 현상 동안 더 높은 에칭 선택도를 달성하기 위해 개선된다.
도 4는 다양한 실시 예들에 따른 다단계 노출-후 소성 처리에서 기판을 처리하는 방법에 대한 플로우 차트를 제공한다. 프로세스 (400) 의 동작들은 상이한 순서로 그리고/또는 상이한, 더 적은 또는 부가적인 동작들과 함께 수행될 수도 있다. 프로세스 (400) 의 하나 이상의 동작들은 도 5a, 도 5b, 및 도 6 중 어느 하나에 기술된 장치를 사용하여 수행될 수도 있다. 일부 실시 예들에서, 프로세스 (400) 의 동작들은 하나 이상의 비-일시적 컴퓨터 판독 가능 매체에 저장된 소프트웨어에 따라 적어도 부분적으로 구현될 수도 있다.
프로세스 (400) 의 블록 (401) 에서, 기판이 프로세스 챔버 내에 제공되고, 여기서 기판은 반도체 기판의 기판 층 상의 금속-함유 포토레지스트를 갖는 반도체 기판이다. 일부 구현 예들에서, 기판 층은 에칭될 층이고, 여기서 기판 층은 스핀-온 탄소 (spin-on carbon; SoC), 스핀-온 유리 (spin-on glass SOG), 비정질 탄소, 실리콘, 실리콘 옥사이드, 실리콘 나이트라이드, 실리콘 카바이드, 또는 실리콘 옥시나이트라이드를 포함할 수도 있다. 금속-함유 포토레지스트는 기판 층 상에 건식 또는 습식 증착될 수도 있다. 금속-함유 포토레지스트는 EUV 노출 후 EUV-노출된 영역 및 EUV-노출되지 않은 영역을 갖는 포지티브 톤 또는 네거티브 톤 레지스트로서 제공될 수도 있다. 노출 및 선택 가능한 (optional) PEB 처리 후에, 금속-함유 포토레지스트는 기판 층 위에 패터닝된 마스크를 형성하기 위해 금속-함유 포토레지스트의 부분들 (예를 들어, EUV-노출되지 않은 부분들) 을 선택적으로 제거하도록 현상을 겪을 수도 있다. 일부 구현 예들에서, 금속-함유 포토레지스트는 금속-함유 EUV 포토레지스트이고, 여기서 금속-함유 EUV 포토레지스트는 유기-금속 옥사이드 또는 유기-금속 함유 막이다. 예를 들어, 금속-함유 EUV 포토레지스트는 Sn 원자, O 원자, 및 C 원자를 포함할 수도 있다.
일부 구현 예들에서, 프로세스 (400) 는 EUV-노출된 영역들 및 EUV-노출되지 않은 영역들을 형성하기 위해 프로세스 챔버 내에 기판을 제공하는 단계 전에 금속-함유 EUV 포토레지스트를 EUV 복사선에 노출시키는 단계를 더 포함한다. 금속-함유 포토레지스트를 습식 또는 건식 증착한 후, 금속-함유 포토레지스트는 EUV 리소그래피 챔버 (스캐너) 또는 모듈에서 포토패터닝될 수 있다. 금속-함유 포토레지스트는 EUV-감응 금속 또는 금속 옥사이드-함유 막, 예를 들어, 유기주석 옥사이드일 수도 있다. EUV-감응 금속 또는 금속 옥사이드-함유 막은 진공 분위기 (vacuum ambient) 에서 EUV 노출에 의해 직접적으로 포토패터닝될 수도 있다.
금속-함유 포토레지스트를 포토패터닝한 후, 금속-함유 포토레지스트는 PEB (post-exposure bake) 동작에서 열적으로 처리되거나 소성된다. 이는 현상을 위해 더 큰 화학적 콘트라스트를 생성한다. 단일 소성 동작을 수행하는 대신, PEB 처리는 2 단계 또는 다단계 소성 동작으로 진행될 수도 있고, 여기서 단계 각각은 금속-함유 포토레지스트에 상이한 처리 조건들을 적용한다. 이러한 처리 조건들은 이로 제한되지 않지만, 기판에 근접한 주변 가스들 또는 혼합물들의 정체 및 농도, 수분 레벨, 온도들, 압력들, 등을 포함할 수도 있다. 단계들 중 하나는 기판을 적어도 상이한 온도 및 상이한 주변 가스에 노출시킬 수도 있다. 예를 들어, 소성 단계들 중 하나는 기판을 산화 분위기에서 낮거나 적당히 상승된 온도에 노출시킬 수도 있고, 그리고 소성 단계들 중 또 다른 하나는 기판을 비-산화 분위기에서 매우 상승된 온도에 노출시킬 수도 있다. 이들 단계들은 이하의 블록들 (403 및 405) 에 예시된 바와 같이 순차적으로 수행될 수도 있다.
프로세스 (400) 의 블록 (403) 에서, 금속-함유 포토레지스트는 프로세스 챔버의 산소-함유 분위기에서 제 1 상승된 온도에 노출된다. 제 1 상승된 온도는 저온 내지 중온의 소성을 제공한다. 저온 내지 중온 소성은 금속-함유 포토레지스트의 노출되지 않은 부분들의 과산화를 방지할 수도 있다. 일부 구현 예들에서, 제 1 상승된 온도는 약 150 ℃ 내지 약 220 ℃, 또는 약 180 ℃ 내지 약 220 ℃이다. 산소-함유 분위기는 금속-함유 포토레지스트의 노출된 부분들 내로 산소의 혼입을 용이하게 할 수도 있다. 더 높은 산소 농도는 일반적으로 더 많은 산소 혼입을 야기한다. 일부 구현 예들에서, 산소-함유 분위기는 산소-함유 종 또는 산화제를 포함한다. 산소-함유 분위기에서 산소 분압은 적어도 약 100 Torr, 예컨대 약 100 Torr 내지 약 600 Torr일 수도 있다. 산소 분압에 따라, 산화제는 총 가스 농도의 특정한 농도를 점유할 수도 있다. 일부 실시 예들에서, 산화제의 농도는 산소-함유 분위기에서 적어도 20 체적%일 수도 있다. 예를 들어, 산화제의 농도는 약 25 체적% 내지 약 100 체적%, 또는 약 50 체적% 내지 약 100 체적%일 수도 있다. 일부 구현 예들에서, 산소-함유 분위기는 산소 (O2), 오존 (O3), 물 (H2O), 과산화수소 (H2O2), 일산화탄소 (CO), 이산화탄소 (CO2), 또는 이들의 조합들을 포함한다.
더 높은 소성 온도는 일반적으로 금속-함유 포토레지스트의 노출된 부분과 노출되지 않은 부분 사이에 상승된 재료 콘트라스트로 이어진다. 그러나, 소성 온도가 너무 높으면, 금속-함유 포토레지스트의 노출되지 않은 부분들의 과산화가 발생한다. 유기-금속 함유 막에 대한 어떠한 이론에도 제한되지 않고, 금속-탄소 결합 절단 (bond cleavage) 은 과도하게 높은 소성 온도들에서 발생할 수 있어, 산소-함유 분위기의 존재 시 금속 하이드록사이드들로 변환될 수 있는 금속 하이드라이드 사이트들을 남긴다. 금속 하이드록사이드들은 금속 옥사이드 모이어티들을 형성하도록 교차 결합될 수도 있다. 결과적으로, 금속-함유 포토레지스트의 노출되지 않은 부분 및 노출된 부분은 화학 구조면에서 덜 차별화되고, 이는 후속하는 건식 현상 프로세스 동안 감소된 에칭 콘트라스트를 발생시킨다. 감소된 에칭 콘트라스트는 증가된 라인 CD 변동, 포토레지스트 코너 라운딩, 및 더 높은 도즈 대 사이즈에 기인할 수 있다. 이에 더하여, 과산화로 인한 감소된 에칭 콘트라스트는 결함을 더 증가시키는 불량한 패턴 현상, 노출되지 않은 부분들에서 상승된 잔류물들 형성 가능성, 상승된 라인 에지 거칠기, 및 패터닝된 포토레지스트에서의 라인 브리징 (line bridging) 에 기인할 수 있다. 따라서, 산소-함유 분위기의 소성 온도를 금속-함유 포토레지스트의 노출되지 않은 부분들의 과산화를 방지하는 저온 또는 중간 소성 온도 (예를 들어, 약 220 ℃ 미만) 로 제한하는 것이 바람직하다.
산소-함유 종 (예를 들어, O2, O3, 등) 의 존재는 일반적으로 금속-함유 포토레지스트의 노출된 부분과 노출되지 않은 부분 사이에 상승된 재료 콘트라스트로 이어진다. 산소-풍부 소성은 산소-함유 종의 분압을 상승시키고, 이는 동일한 양의 산소를 금속-함유 포토레지스트의 노출된 부분들 내로 혼입시키는 데 필요한 온도를 낮춘다. 더 낮은 온도에서 동작함으로써, 이는 금속-함유 포토레지스트의 노출되지 않은 부분들의 과산화를 방지한다. 산소-함유 종은 금속-함유 포토레지스트의 노출된 부분들에서 교차 결합을 촉진할 것이다. 어떠한 이론에도 제한되지 않고, 산소는 금속 하이드록사이드들을 형성하도록 금속 하이드라이드 사이트들에 부착될 것이다. 금속 하이드록사이드들 (예를 들어, Sn-OH) 은 금속 옥사이드 모이어티들 (예를 들어, Sn-O-Sn) 및 물 (H2O) 을 생성하도록 교차 결합들을 형성한다. 더 치밀하게 교차 결합된 금속 옥사이드 네트워크는 금속-함유 포토레지스트의 노출된 부분과 노출되지 않은 부분 사이에 더 큰 에칭 콘트라스트를 제공한다. 상승된 에칭 콘트라스트는 상승된 에칭 선택도를 제공하고, 이는 감소된 라인 CD 변동, 더 사각형인 포토레지스트 프로파일, 및 더 낮은 도즈 대 사이즈로 이어진다. 게다가, 상승된 에칭 콘트라스트는 개선된 패턴 현상, 노출되지 않은 부분들에서 감소된 잔류물들 형성 가능성, 감소된 라인 에지 거칠기, 및 감소된 결함으로 이어진다.
산소-함유 분위기에서 제 1 상승된 온도에 대한 노출의 지속 기간은 PEB 처리를 최적화하도록 튜닝될 수도 있다. 일부 구현 예들에서, 노출 지속 기간은 약 30 초 내지 약 10 분 또는 약 1 분 내지 약 5 분일 수도 있다. 더 긴 노출 시간들은 금속-함유 포토레지스트의 노출된 부분들에 더 많은 산소 혼입을 허용할 수도 있고, 이는 재료 콘트라스트를 개선할 수 있다. 반면, 너무 긴 노출 시간들은 금속-함유 포토레지스트의 노출되지 않은 부분들에서 과산화를 야기할 수 있다.
프로세스 챔버 내의 압력은 PEB 처리를 최적화하기 위해 산소-함유 분위기에 대한 노출 동안 제어될 수 있다. 구체적으로, 산소-함유 종의 분압은 금속-함유 포토레지스트의 노출된 부분들에 목표된 양의 산소 혼입을 달성하도록 튜닝될 수 있다. 예를 들어, 산소-함유 종의 분압은 약 10 Torr 내지 약 760 Torr, 적어도 약 100 Torr, 또는 약 100 Torr 내지 약 600 Torr일 수도 있다. 산소-함유 종은 불활성 가스와 균형을 유지하며 프로세스 챔버 내로 흐를 수도 있다. 일부 구현 예들에서, 산소-함유 종의 농도는 적어도 20 체적%이고 100 체적%만큼 높을 수도 있다. 일부 경우들에서, 산소-함유 종의 분압은 총 챔버 압력과 무관하게 PEB 처리 성능을 제어할 수도 있다. 일 예로서, 20 체적%의 산소 농도를 갖는 600 Torr의 챔버 압력은 100 체적%의 산소 농도를 갖는 120 Torr의 챔버 압력과 PEB 처리 성능의 동일한 결과로 이어질 수 있다.
프로세스 챔버 내의 수분 레벨은 PEB 처리를 최적화하기 위해 산소-함유 분위기에 대한 노출 동안 튜닝될 수 있다. 일부 경우들에서, 증가된 수분은 라인 CD 감소 또는 다른 불리한 결과들을 야기한다. 어떠한 이론에도 제한되지 않고, 상승된 습도 레벨들은 금속-함유 포토레지스트의 노출된 부분들에서 교차 결합을 억제하고, 이에 따라 재료 콘트라스트를 낮춘다. 따라서, 프로세스 챔버 내 수분 레벨이 최소화된다. 일부 구현 예들에서, 프로세스 챔버는 수분이 없거나 실질적으로 없다.
포토패터닝을 위해 금속-함유 포토레지스트를 노출시키는 것과 금속-함유 포토레지스트를 산소-함유 분위기에 노출시키는 것 사이의 큐 시간 (queue time) 은 PEB 처리를 최적화하도록 최소화될 수 있다. 더 긴 큐 시간들은 더 높은 도즈 대 사이즈 및 상승된 거칠기를 야기한다. 따라서, 산소-함유 분위기에서 EUV 노출과 PEB 처리 사이의 큐 시간은 가능한 짧은 것이 바람직하다. 예를 들어, 산소-함유 분위기에서 EUV 노출과 PEB 처리 사이의 큐 시간은 약 3 시간 미만, 약 2 시간 미만, 약 1 시간 미만, 약 20 분 미만, 또는 약 10 분 미만이다.
일부 구현 예들에서, 저온 내지 중온 소성 (즉, 제 1 상승된 온도) 은 리모트 플라즈마로 대체되거나 보충될 수 있다. 리모트 플라즈마는 생산성을 상승시키기 위해 산소 라디칼들을 증가시키도록 채용될 수도 있다. 산소 라디칼들은 금속-함유 포토레지스트의 노출된 부분들에 혼입하기 위한 반응성 종을 제공한다. 산소 라디칼들은 리모트 플라즈마 소스에서 생성될 수도 있고 프로세스 챔버 내에서 기판을 향해 공급될 수도 있다.
프로세스 챔버는 온도 제어를 위한 하나 이상의 히터들을 포함할 수도 있다. 일부 구현 예들에서, 하나 이상의 히터들은 기판 온도 제어를 위해 프로세스 챔버 내에서 기판과 대면하는 가열 어셈블리에 커플링될 수도 있다. 예를 들어, 가열 어셈블리는 기판 지지부 밑에 또는 기판 지지부와 기판 사이에 포지셔닝될 수도 있다. 일부 실시 예들에서, 기판 온도는 IR 램프 또는 하나 이상의 LED들과 같은 복사 가열 어셈블리를 사용하여 제어될 수도 있다.
프로세스 (400) 의 블록 (405) 에서, 금속-함유 포토레지스트는 불활성 가스 분위기에서 제 2 상승된 온도에 노출되고, 제 2 상승된 온도는 제 1 상승된 온도보다 더 높다. 불활성 가스 분위기에 대한 노출은 산소-함유 분위기에 대한 노출과 동일한 프로세스 챔버 또는 상이한 프로세스 챔버에서 발생할 수도 있다. 제 2 상승된 온도는 고온 소성을 제공한다. 고온 소성은 금속-함유 포토레지스트의 노출된 부분들에서 교차 결합을 촉진하도록 충분한 열적 에너지를 제공한다. 일부 구현 예들에서, 제 2 상승된 온도는 약 220 ℃ 내지 약 300 ℃, 또는 약 220 ℃ 내지 약 250 ℃이다. 불활성 가스 분위기는 금속-함유 포토레지스트의 노출되지 않은 부분들의 과산화를 방지하도록 산소-함유 종이 없거나 실질적으로 없다. 일부 구현 예들에서, 불활성 가스 분위기는 질소 (N2), 헬륨 (He), 네온 (Ne), 아르곤 (Ar), 크립톤 (Kr), 크세논 (Xe), 또는 이들의 조합들을 포함한다.
제 2 상승된 온도에서 불활성 가스 분위기에 대한 노출 (또한 "제 2 소성"으로 지칭됨) 은 제 1 상승된 온도에서 산소-함유 분위기에 대한 노출 (또한 "제 1 소성"으로 지칭됨) 에 이어서 순차적으로 발생한다. 제 1 소성은 금속-함유 포토레지스트의 노출되지 않은 부분들에서 과산화를 방지하면서 노출된 부분들 내로 산소 혼입을 제공한다. 불활성 가스 분위기에서 수행된 제 2 소성은 금속-함유 포토레지스트의 노출된 부분들에서 혼입된 산소와 금속 중심들 사이의 반응을 용이하게 하고, 이에 따라 더 치밀하게 교차 결합된 금속 옥사이드 네트워크들을 형성하도록 교차 결합을 촉진한다. 더욱이, 불활성 가스 분위기는 금속-함유 포토레지스트의 노출되지 않은 부분들에서 과산화를 방지한다. 제 2 소성은 후속하는 건식 현상 프로세스 동안 상승된 에칭 콘트라스트를 위해 금속-함유 포토레지스트의 노출되지 않은 부분과 노출된 부분 사이에 더 큰 차별화를 제공한다. 상승된 에칭 콘트라스트 및 건식 현상 선택도는 생산성, 더 낮은 비용, 더 낮은 도즈 대 사이즈, 및 더 우수한 결함 성능을 개선할 수 있는 건식 현상을 위해 더 넓은 프로세스 윈도우를 피드백한다.
일부 구현 예들에서, 프로세스 (400) 는 제 1 소성 및 제 2 소성을 복수 회 반복하는 것을 더 포함한다. 제 1 소성과 제 2 소성의 복수의 사이클들은 에칭 콘트라스트를 더 상승시킬 수도 있다.
불활성 가스 분위기에서 제 2 상승된 온도에 대한 노출의 지속 기간은 PEB 처리를 최적화하도록 튜닝될 수도 있다. 일부 구현 예들에서, 노출 지속 기간은 약 30 초 내지 약 10 분 또는 약 1 분 내지 약 5 분일 수도 있다. 더 긴 노출 시간들은 재료 콘트라스트를 개선하기 위해 금속-함유 포토레지스트의 노출된 부분들에서 더 많은 교차 결합을 가능하게 한다. 그러나, 너무 긴 노출 시간들은 결국 금속-함유 포토레지스트의 노출되지 않은 부분들에 교차 결합된 금속 옥사이드 네트워크들을 형성할 수 있다.
불활성 가스 분위기는 반응성 종의 양을 최소화하도록 제어될 수 있다. 불활성 가스 분위기에서 산소-함유 종을 포함하는 반응성 종의 농도는 과산화를 방지하도록 제한될 수도 있다. 산소 분압은 약 20 Torr 이하, 약 10 Torr 이하, 또는 약 5 Torr 이하일 수도 있다. 일부 구현 예들에서, 산소-함유 종의 농도는 약 10 체적% 이하, 약 5 체적% 이하, 약 1 체적% 이하, 또는 약 0.5 이하이다. 반응성 종은 불활성 가스 분위기에서 불활성 가스 종에 비해 미량들로 존재할 수도 있다.
프로세스 챔버 내의 수분 레벨은 PEB 처리를 최적화하기 위해 불활성 가스 분위기에 대한 노출 동안 튜닝될 수 있다. 상기 논의된 바와 같이, 상승된 습도는 감소된 에칭 콘트라스트를 야기할 수 있다. 따라서, 제 2 소성을 수행하기 위한 프로세스 챔버는 수분이 없거나 실질적으로 없을 수도 있다.
금속-함유 포토레지스트를 산소-함유 분위기에 노출시키는 것과 금속-함유 포토레지스트를 불활성 가스 분위기에 노출시키는 것 사이의 큐 시간은 PEB 처리를 최적화하도록 최소화될 수 있다. 더 긴 큐 시간들은 증가된 라인 CD 및 상승된 거칠기를 야기한다. 도즈 대 사이즈는 더 긴 큐 시간들에 민감하지 않다. 그럼에도 불구하고, 일반적으로 제 1 소성과 제 2 소성 사이의 큐 시간이 짧은 것이 바람직하다. 예를 들어, 제 1 소성과 제 2 소성 사이의 큐 시간은 약 3 시간 미만, 약 2 시간 미만, 약 1 시간 미만, 약 20 분 미만, 또는 약 10 분 미만이다.
전반적으로, 제 1 소성, 이어서 제 2 소성의 시퀀스를 수행하는 것은 단일 소성 동작과 비교하여 PEB 처리 성능을 개선한다. 제 1 소성 및 제 2 소성을 수행하는 것은 후속하는 건식 현상 프로세스에서 EUV-노출된 부분들과 EUV-노출되지 않은 부분들 사이의 개선된 에칭 선택도를 위해 에칭 콘트라스트를 개선한다. 또한, 제 1 소성 및 제 2 소성을 수행하는 것은 라인 에지 거칠기를 감소시킬 수 있고 후속하는 건식 현상 프로세스에서 도즈 대 사이즈를 감소시킬 수 있다.
장치
도 5a 및 도 5b는 본 명세서에 기술된 처리들을 수행하기 위해 사용될 수도 있는 프로세스 스테이션들의 상이한 실시 예들의 개략적인 예시들을 도시한다. 도 5a에 도시된 프로세스 스테이션 (580) 은 도포-후 소성 또는 노출-후 소성과 같은 열-기반 처리들을 위해 사용될 수도 있다. 도 5b에 도시된 프로세스 스테이션 (500) 은 열-기반 처리들, 리모트 플라즈마 처리들, 또는 둘 모두를 위해 사용될 수도 있다. 이들 처리들은 도포-후 처리들뿐만 아니라 노출-후 처리들을 포함할 수 있다. 이들 처리들은 상기 기술된 바와 같이 다단계 노출-후 처리들을 더 포함할 수 있다. 도 5a 및 도 5b에 도시된 프로세스 스테이션들은 또한 본 명세서에 기술된 다른 프로세스들을 위해 사용될 수도 있다. 플라즈마가 요구되는 단계들을 위해, 도 5b의 프로세스 스테이션 (500) 이 사용될 수도 있다. 플라즈마가 필요하지 않은 단계들을 위해, 도 5b의 프로세스 스테이션 (500) 또는 도 5a의 프로세스 스테이션 (580) 이 사용될 수도 있다.
도 5a는 일 실시 예에 따른 프로세싱 챔버 (580) 의 간략화된 도면을 제시한다. 이 예에서, 프로세싱 챔버 (580) 는 제어 가능한 분위기를 갖는 폐쇄된 챔버이다. 기판 (581) 은 또한 기판을 가열 및/또는 냉각할 수도 있는 기판 지지부 (582) 상에 포지셔닝될 수도 있다. 일부 경우들에서 대안적이거나 부가적인 가열 엘리먼트들 및 냉각 엘리먼트들이 제공될 수도 있다. 프로세싱 가스들은 유입구 (583) 를 통해 프로세싱 챔버 (580) 로 들어간다. 재료들은 진공 소스 (미도시) 에 연결될 수도 있는 유출구 (584) 를 통해 프로세싱 챔버 (580) 로부터 제거된다. 프로세싱 챔버 (580) 의 동작은 제어기 (586) 에 의해 제어될 수도 있고, 이는 이하에 더 논의된다. 또한, 센서 (585) 가 예를 들어, 프로세싱 챔버 (580) 내의 온도 및/또는 분위기의 조성을 모니터링하도록 제공될 수도 있다. 센서 (585) 로부터의 판독들은 능동 피드백 루프에서 제어기 (586) 에 의해 사용될 수도 있다. 다양한 구현 예들에서, 프로세싱 챔버 (580) 는 프로세싱 챔버 (580) 와 유체로 연통하는 (in fluidic communication) 리모트 플라즈마 챔버 (미도시) 를 포함함으로써 수정될 수도 있다. 이러한 경우들에서, 플라즈마는 프로세싱 챔버 (580) 로 전달되기 전에 리모트 플라즈마 챔버 내에서 생성될 수도 있다.
처리가 발생하는 챔버는 다수의 방식들로 구성될 수도 있다. 일부 실시 예들에서, 챔버는 포토레지스트를 증착하도록 사용되는 동일한 챔버, 및/또는 포토레지스트를 EUV 복사선에 노출시키도록 사용되는 동일한 챔버, 및/또는 포토레지스트를 현상하도록 사용되는 동일한 챔버이다. 일부 실시 예들에서, 챔버는 증착, 에칭, EUV 노출, 또는 포토레지스트 현상과 같은 다른 프로세스들을 위해 사용되지 않는 전용 소성 또는 리모트 플라즈마 처리 챔버이다. 챔버는 독립형 챔버일 수도 있고, 또는 포토레지스트를 증착하도록 사용된 증착 툴, 포토레지스트를 EUV 복사선에 노출시키도록 사용된 EUV 노출 툴, 및/또는 포토레지스트를 현상하기 위해 사용된 현상 툴과 같은, 더 큰 프로세싱 툴에 통합될 수도 있다. 포토레지스트를 처리하기 위해 사용된 챔버는 특정한 적용 예를 위해 목표되는 바와 같이, 예를 들어 클러스터 툴에서 이들 툴들 중 임의의 하나 이상과 조합될 수도 있다. 일부 경우들에서, 챔버는 복수의 챔버들에 저압을 제공하는 공통 저압 프로세스 툴 환경에 제공될 수도 있다.
도 5b는 증기 (건식) 증착, 본 명세서에 기술된 바와 같은 열적 처리, 본 명세서에 기술된 바와 같은 플라즈마 처리, 건식 현상 및/또는 에칭과 같은 실시 예들의 양태들 또는 특정한 실시 예들을 구현하기 위해 적합한 유도 커플링 플라즈마 (inductively coupled plasma) 장치 (500) 의 단면도를 개략적으로 도시하고, 이의 예는 CA, Fremont 소재의 Lam Research Corporation에 의해 생산된 Kiyo® 반응기이다. 다른 실시 예들에서, 본 명세서에 기술된 건식 증착, (열적 또는 리모트 플라즈마) 처리, 현상 및/또는 에칭 프로세스들 중 하나 이상의 동작들을 수행하는 기능을 갖는 다른 툴들 또는 툴 타입들이 구현을 위해 사용될 수도 있다.
유도 커플링 플라즈마 장치 (500) 는 챔버 벽들 (501) 및 윈도우 (511) 에 의해 구조적으로 규정된 전체 프로세스 챔버 (524) 를 포함한다. 챔버 벽들 (501) 은 스테인리스 스틸 또는 알루미늄으로 제조될 수도 있다. 윈도우 (511) 는 석영 또는 다른 유전체 재료로 제조될 수도 있다. 선택 가능한 내부 플라즈마 그리드 (550) 가 전체 프로세스 챔버를 상부 서브챔버 (502) 및 하부 서브챔버 (503) 로 분할한다. 특정한 실시 예들에서, 플라즈마 그리드 (550) 가 제거될 수도 있고, 이에 따라 서브 챔버들 (502 및 503) 로 이루어진 챔버 공간을 활용한다. 플라즈마 그리드 (550) 가 존재하는 장소들에서, 플라즈마 그리드 (550) 는 기판이 하부 서브챔버 (503) 내에서 리모트 플라즈마로 프로세싱되도록, 상부 서브챔버 (502) 에서 직접 생성된 플라즈마로부터 기판을 차폐하도록 사용될 수도 있다. 이 예에서, 하부 서브챔버 (503) 내에 존재하는 플라즈마는 기판이 플라즈마로 처리되는 곳 (예를 들어, 하부 서브챔버 (503)) 으로부터 업스트림 (upstream) 인 위치 (예를 들어, 상부 서브챔버 (502)) 에서 처음 생성되기 때문에 리모트 플라즈마로 간주될 수도 있다.
척 (517) 이 하단 내측 표면 근방의 하부 서브챔버 (503) 내에 포지셔닝된다. 척 (517) 은 에칭 프로세스 및 증착 프로세스가 수행되는 반도체 웨이퍼 (519) 를 수용하고 홀딩하도록 구성된다. 척 (517) 은 존재한다면 웨이퍼 (519) 를 지지하기 위한 정전 척일 수 있다. 일부 실시 예들에서, 에지 링 (미도시) 이 척 (517) 을 둘러싸고, 척 (517) 위에 존재한다면 웨이퍼 (519) 의 상단 표면과 거의 평면인 상부 표면을 갖는다. 척 (517) 은 또한 웨이퍼 (519) 를 척킹 (chucking) 및 디척킹하기 (dechucking) 위한 정전 전극들을 포함한다. 필터 및 DC 클램프 전력 공급부 (미도시) 가 이 목적을 위해 제공될 수도 있다. 척 (517) 으로부터 웨이퍼 (519) 를 리프팅하기 위한 다른 제어 시스템들이 또한 제공될 수 있다. 척 (517) 은 RF 전력 공급부 (523) 를 사용하여 전기적으로 대전될 수 있다. RF 전력 공급부 (523) 는 연결부 (527) 를 통해 매칭 회로 (521) 에 연결된다. 매칭 회로 (521) 는 연결부 (525) 를 통해 척 (517) 에 연결된다. 이러한 방식으로, RF 전력 공급부 (523) 는 척 (517) 에 연결된다. 다양한 실시 예들에서, 정전 척의 바이어스 전력은 약 50 V로 설정될 수도 있고, 또는 개시된 실시 예들에 따라 수행된 프로세스에 따라 상이한 바이어스 전력으로 설정될 수도 있다. 예를 들어, 바이어스 전력은 약 20 Vb 내지 약 100 V, 또는 약 30 V 내지 약 150 V일 수도 있다.
플라즈마 생성을 위한 엘리먼트들은 윈도우 (511) 위에 포지셔닝된 코일 (533) 을 포함한다. 일부 실시 예들에서, 코일은 사용되지 않는다. 일부 이러한 실시 예들에서, 플라즈마를 생성하기 위한 대안적인 메커니즘은 예를 들어 용량 커플링 플라즈마 (capacitively coupled plasma), 마이크로파 플라즈마, 등을 제공하기 위해 제공될 수도 있다. 유도 커플링 플라즈마가 사용되는 경우들에서, 코일 (533) 은 전기적으로 전도성 재료로 제조되고 그리고 적어도 하나의 완전한 턴을 포함한다. 도 5b에 도시된 코일 (533) 의 예는 3 번의 턴들을 포함한다. 코일 (533) 의 단면들은 심볼들로 도시되고, "X"를 갖는 코일들은 페이지 내로 회전하여 연장하는 한편, "●"를 갖는 코일들은 페이지 밖으로 회전하여 연장한다. 플라즈마 생성을 위한 엘리먼트들은 또한 코일 (533) 에 RF 전력을 공급하도록 구성된 RF 전력 공급부 (541) 를 포함한다. 일반적으로, RF 전력 공급부 (541) 는 연결부 (545) 를 통해 매칭 회로 (539) 에 연결된다. 매칭 회로 (539) 는 연결부 (543) 를 통해 코일 (533) 에 연결된다. 이러한 방식으로, RF 전력 공급부 (541) 는 코일 (533) 에 연결된다.
선택 가능한 패러데이 차폐부 (549a) 가 코일 (533) 과 윈도우 (511) 사이에 포지셔닝된다. 패러데이 차폐부 (549a) 는 코일 (533) 에 대해 이격된 관계로 유지될 수도 있다. 일부 실시 예들에서, 패러데이 차폐부 (549a) 는 윈도우 (511) 바로 위에 배치된다. 일부 실시 예들에서, 패러데이 차폐부 (549b) 는 윈도우 (511) 와 척 (517) 사이에 있다. 일부 실시 예들에서, 패러데이 차폐부 (549b) 는 코일 (533) 에 대해 이격된 관계로 유지되지 않는다. 예를 들어, 패러데이 차폐부 (549b) 는 갭 없이 윈도우 (511) 바로 아래에 있을 수도 있다. 코일 (533), 패러데이 차폐부 (549a), 및 윈도우 (511) 는 각각 서로 실질적으로 평행하도록 구성된다. 패러데이 차폐부 (549a) 는 금속 또는 다른 종이 프로세스 챔버 (524) 의 윈도우 (511) 상에 증착되는 것을 방지할 수도 있다.
프로세스 가스들은 상부 서브챔버 (502) 내에 포지셔닝된 하나 이상의 주 가스 플로우 유입구들 (560) 을 통해 그리고/또는 하나 이상의 측면 가스 플로우 유입구들 (570) 을 통해 프로세스 챔버 내로 흐를 수도 있다. 유사하게, 명시적으로 도시되지 않지만, 유사한 가스 플로우 유입구들이 용량 커플링 플라즈마 프로세싱 챔버에 프로세스 가스들을 공급하도록 사용될 수도 있다. 진공 펌프, 예를 들어, 1 단계 또는 2 단계 기계적 건조 펌프 및/또는 터보분자 펌프 (540) 가, 프로세스 챔버 (524) 밖으로 프로세스 가스들을 인출하고 프로세스 챔버 (524) 내의 압력을 유지하도록 사용될 수도 있다. 예를 들어, 진공 펌프는 퍼지 동작 동안 전체 프로세스 챔버 (524) 또는 하부 서브챔버 (503) 를 배기하도록 사용될 수도 있다. 밸브-제어된 도관이 진공 펌프에 의해 제공된 진공 분위기의 적용을 선택적으로 제어하기 위해 진공 펌프를 프로세스 챔버 (524) 에 유체적으로 연결하도록 사용될 수도 있다. 이는 동작 중인 플라즈마 프로세싱 동안 스로틀 밸브 (미도시) 또는 펜듈럼 (pendulum) 밸브 (미도시) 와 같은 폐루프-제어된 플로우 제한 디바이스를 채용하여 이루어질 수도 있다. 유사하게, 진공 펌프 및 용량 커플링 플라즈마 프로세싱 챔버로의 밸브 제어된 유체 연결이 또한 채용될 수도 있다.
장치 (500) 의 동작 동안, 하나 이상의 프로세스 가스들은 가스 플로우 유입구들 (560 및/또는 570) 을 통해 공급될 수도 있다. 특정한 실시 예들에서, 프로세스 가스는 주 가스 플로우 유입구 (560) 를 통해서만, 또는 측면 가스 플로우 유입구 (570) 를 통해서만 공급될 수도 있다. 일부 경우들에서, 도면에 도시된 가스 플로우 유입구들은 더 복잡한 가스 플로우 유입구들, 예를 들어 하나 이상의 샤워헤드들로 대체될 수도 있다. 패러데이 차폐부 (549a) 및/또는 선택 가능한 그리드 (550) 는 프로세스 챔버 (524) 로의 프로세스 가스들의 전달을 허용하는 내부 채널들 및 홀들을 포함할 수도 있다. 패러데이 차폐부 (549a) 및 선택 가능한 그리드 (550) 중 하나 또는 모두는 프로세스 가스들의 전달을 위한 샤워헤드로서 역할할 (serve) 수도 있다. 일부 실시 예들에서, 액체 기화 및 전달 시스템은 액체 반응 물질 또는 전구체가 기화되면, 기화된 반응 물질 또는 전구체가 가스 플로우 유입구 (560 및/또는 570) 를 통해 프로세스 챔버 (524) 내로 도입되도록, 프로세스 챔버 (524) 의 업스트림에 놓일 수도 있다.
일부 실시 예들에서, 리모트 플라즈마 생성 유닛은 프로세스 챔버 (524) 의 업스트림에 제공될 수도 있고, 그리고 리모트 플라즈마에 의해 형성된 라디칼들은 가스 플로우 유입구 (560 및/또는 570) 를 통해 프로세스 챔버에 제공될 수도 있다.
RF 전류로 하여금 코일 (533) 을 통해 흐르게 하도록, RF 전력 공급부 (541) 로부터 코일 (533) 로 무선 주파수 전력이 공급된다. 코일 (533) 을 통해 흐르는 RF 전류는 코일 (533) 주위에 전자기장을 생성한다. 전자기장은 상부 서브챔버 (502) 내에 유도 전류를 생성한다. 웨이퍼 (519) 와 다양한 생성된 이온들 및 라디칼들의 물리적 상호작용 및 화학적 상호작용은 웨이퍼 (519) 의 피처들을 에칭하고 웨이퍼 (519) 상의 층들을 선택적으로 증착한다.
상부 서브챔버 (502) 및 하부 서브챔버 (503) 모두가 있도록 플라즈마 그리드 (550) 가 사용된다면, 유도 전류는 상부 서브챔버 (502) 내에 전자-이온 플라즈마를 생성하기 위해 상부 서브챔버 (502) 내에 존재하는 가스에 작용한다. 선택 가능한 내부 플라즈마 그리드 (550) 는 하부 서브챔버 (503) 내의 핫 (hot) 전자들의 양을 제한한다. 일부 실시 예들에서, 장치 (500) 는 하부 서브챔버 (503) 내에 존재하는 플라즈마가 이온-이온 플라즈마이도록 설계되고 동작된다.
상부 전자-이온 플라즈마 및 하부 이온-이온 플라즈마 모두는 양이온 및 음이온을 함유할 수도 있지만, 이온-이온 플라즈마는 양이온들에 대해 더 큰 비의 음이온들을 가질 것이다. 휘발성 에칭 및/또는 증착 부산물들은 포트 (522) 를 통해 하부 서브챔버 (503) 로부터 제거될 수도 있다. 본 명세서에 개시된 척 (517) 은 약 10 ℃ 내지 약 250 ℃ 이상의 범위의 상승된 온도들에서 동작할 수도 있다. 온도는 프로세스 동작 및 특정한 레시피에 종속될 것이다.
장치 (500) 는 클린 룸 또는 제조 설비 내에 설치될 때 설비들 (미도시) 에 커플링될 수도 있다. 설비들은 프로세싱 가스들, 진공, 온도 제어, 및 분위기 입자 제어를 제공하는 배관을 포함한다. 이들 설비들은 타깃 제조 설비 내에 설치될 때 장치 (500) 에 커플링된다. 부가적으로, 장치 (500) 는 로봇들로 하여금 통상적인 자동화를 사용하여 장치 (500) 내외로 반도체 웨이퍼들을 이송하게 하는 이송 챔버에 커플링될 수도 있다.
일부 실시 예들에서, (하나 이상의 물리적 또는 논리적 제어기들을 포함할 수도 있는) 시스템 제어기 (530) 가 프로세스 챔버 (524) 의 일부 또는 모든 동작들을 제어한다. 시스템 제어기 (530) 는 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 수도 있다. 일부 실시 예들에서, 장치 (500) 는 개시된 실시 예들이 수행될 때 플로우 레이트들 및 지속 기간들을 제어하기 위한 스위칭 시스템을 포함한다. 일부 실시 예들에서, 장치 (500) 는 최대 약 500 ㎳, 또는 최대 약 750 ㎳의 스위칭 시간을 가질 수도 있다. 스위칭 시간은 플로우 화학 물질, 선택된 레시피, 반응기 아키텍처, 및 다른 인자들에 종속될 수도 있다.
일부 구현 예들에서, 시스템 제어기 (530) 는 상기 기술된 예들의 일부일 수도 있는, 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자 장치에 통합될 수도 있다. 전자 장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는, 시스템 제어기 (530) 로 통합될 수도 있다. 프로세싱 파라미터들 및/또는 시스템의 타입에 따라, 시스템 제어기 (530) 는 프로세싱 가스들의 전달, 온도 설정들 (예를 들어, 가열 및/또는 냉각), 압력 설정들, 진공 설정들, 전력 설정들, 무선 주파수 (RF) 생성기 설정들, RF 매칭 회로 설정들, 주파수 설정들, 플로우 레이트 설정들, 유체 전달 설정들, 포지션 설정 및 동작 설정, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 시스템 제어기 (530) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 가능하게 하고, 엔드 포인트 측정들을 가능하게 하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자 장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), 주문형 반도체들 (application specific integrated circuits; ASICs) 로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 수행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 또는 제거 동안 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
시스템 제어기 (530) 는, 일부 구현 예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하거나, 과거 제조 동작들의 이력을 조사하거나, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하거나, 현 프로세싱의 파라미터들을 변경하거나, 현 프로세싱을 따르는 프로세싱 단계들을 설정하거나, 새로운 프로세스를 시작하기 위해서, 시스템으로의 원격 액세스를 가능하게 할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 가 로컬 네트워크 또는 인터넷을 포함할 수도 있는, 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달되는 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기 (530) 는 하나 이상의 동작들 동안 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성되는 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 시스템 제어기 (530) 는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공동의 목적을 향해 함께 네트워킹되고 작동되는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 일 예는 챔버 상의 프로세스를 제어하도록 조합되는 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
제한 없이, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, 물리적 기상 증착 (physical vapor deposition; PVD) 챔버 또는 모듈, 화학적 기상 증착 (chemical vapor deposition; CVD) (예를 들어, 플라즈마 강화된 CVD; plasma enhanced CVD) 챔버 또는 모듈, 원자 층 증착 (atomic layer deposition; ALD) 챔버 또는 모듈, 원자 층 에칭 (atomic layer etching; ALE) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 챔버 또는 모듈, EUV 리소그래피 챔버 (스캐너) 또는 모듈, 건식 현상 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상기 주지된 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 주 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.
EUVL 패터닝은 종종 스캐너로 지칭되는 임의의 적합한 툴, 예를 들어 네덜란드, 펠트호번 소재의 ASML에 의해 공급된 TWINSCAN NXE: 3300B® 플랫폼을 사용하여 수행될 수도 있다. EUVL 패터닝 툴은 기판이 본 명세서에 기술된 바와 같이 증착 및 에칭을 위해 내외로 이동되는 독립형 디바이스일 수도 있다. 또는 이하에 기술된 바와 같이, EUVL 패터닝 툴은 더 큰 멀티-컴포넌트 툴 상의 모듈일 수도 있다. 도 6은 본 명세서에 기술된 프로세스들의 구현에 적합한, 진공 이송 모듈과 인터페이싱하는 진공-통합된 증착, EUV 패터닝 및 건식 현상/에칭 모듈들을 갖는 반도체 프로세스 클러스터 툴 아키텍처를 도시한다. 프로세스들이 이러한 진공 통합된 장치 없이 수행될 수도 있지만, 이러한 장치는 일부 구현 예들에서 유리할 수도 있다.
도 6은 본 명세서에 기술된 실시 예들의 구현에 적합한 진공-통합된 증착 모듈 및 패터닝 모듈을 갖는 반도체 프로세스 클러스터 툴 아키텍처를 도시한다. 이러한 클러스터 프로세스 툴 아키텍처는 본 명세서에 기술된 바와 같이, PR 및 하부층 증착 모듈들, 레지스트 노출 (EUV 스캐너) 모듈들, 및/또는 레지스트 건식 현상 및 에칭 모듈들을 포함할 수 있다. 일부 실시 예들에서, 본 명세서에 상세히 논의된 것들을 포함하는, 프로세스 스테이션의 하나 이상의 하드웨어 파라미터들이 하나 이상의 컴퓨터 제어기들에 의해 프로그램적으로 조정될 수도 있다.
일부 실시 예들에서, 특정한 프로세싱 기능들은 동일한 모듈에서, 예를 들어 레지스트 막 기상 증착, 처리, 노출 및/또는 건식 현상 및 에칭이 연속적으로 수행될 수 있다. 그리고 본 개시의 실시 예들은 기판을 프로세싱하기 위한 장치, 예컨대 금속-함유 포토레지스트를 처리하기 위한 장치에 관한 것이다. 장치는 기판 층 및 기판 층 위에 포지셔닝된 금속-함유 포토레지스트를 갖는 반도체 기판을 지지하도록 구성된 기판 지지부를 포함하는 프로세스 챔버를 갖는다. 장치는 프로세스 챔버 및 연관된 플로우-제어 하드웨어와 연결된 프로세스 가스 소스, 열적 제어 하드웨어, 프로세스 챔버와 연결된 기판 핸들링 하드웨어, 및 프로세서 및 메모리를 갖는 제어기를 더 포함할 수 있다. 일부 구현 예들에서, 프로세서 및 메모리는 서로 통신 가능하게 연결되고, 프로세서는 적어도 플로우 제어 하드웨어 및 기판 핸들링 하드웨어와 동작 가능하게 연결되고, 그리고 메모리는 본 명세서에 기술된 패터닝 구조체를 제조하는 방법들의 동작들을 수행하기 위한 컴퓨터-실행 가능 인스트럭션들을 저장한다.
일부 구현 예들에서, 프로세서 및 메모리를 갖는 제어기는 금속-함유 EUV 포토레지스트를 프로세스 챔버 내 산소-함유 분위기에서 제 1 상승된 온도에 노출시키는 동작, 및 금속-함유 EUV 포토레지스트를 불활성 가스 분위기에서 제 2 상승된 온도에 노출시키는 동작을 수행하기 위한 컴퓨터-실행 가능 인스트럭션들로 구성될 수도 있고, 여기서 제 2 상승된 온도는 제 1 상승된 온도보다 더 높다. 일부 구현 예들에서, 제 1 상승된 온도는 약 150 ℃ 내지 약 220 ℃이고 그리고 제 2 상승된 온도는 약 220 ℃ 내지 약 250 ℃이다.
상기 주지된 바와 같이, 도 6은 본 명세서에 기술된 프로세스들의 구현에 적합한, 진공 이송 모듈과 인터페이싱하는 진공-통합된 증착 모듈 및 패터닝 모듈을 갖는 반도체 프로세스 클러스터 툴 아키텍처를 도시한다. 복수의 저장 설비들 및 프로세싱 모듈들 사이에서 웨이퍼들을 "이송"하기 위한 이송 모듈들의 배치 (arrangement) 는 "클러스터 툴 아키텍처" 시스템으로 지칭될 수도 있다. 증착 모듈 및 패터닝 모듈은 특정한 프로세스의 요건들에 따라 진공-통합된다. 에칭을 위한 모듈과 같은 다른 모듈들이 또한 클러스터 상에 포함될 수도 있다. 본 명세서에 기술된 처리 단계들은 이들 모듈들 중 임의의 하나 이상의 모듈들에서, 또는 이러한 처리들 전용의 별도의 모듈에서 수행될 수도 있다.
진공 이송 모듈 (vacuum transport module; VTM) (638) 이 다양한 제조 프로세스들을 수행하도록 개별적으로 최적화될 수도 있는 4 개의 프로세싱 모듈들 (620a 내지 620d) 과 인터페이싱한다. 예로서, 프로세싱 모듈들 (620a 내지 620d) 은 증착, 증발, 열적 처리 및/또는 플라즈마 처리, 무전해 증착, 건식 현상, 에칭, 스트립 (strip), 및/또는 다른 반도체 프로세스들을 수행하도록 구현될 수도 있다. 예를 들어, 모듈 (620a) 은 본 명세서에 기술된 금속-함유 포토레지스트 또는 다른 재료들을 형성하기 위해 비-플라즈마, 열적 원자 층 증착들을 수행하도록 동작될 수도 있는 ALD 반응기일 수도 있다. 일 예에서, 모듈 (620a) 은 CA, Fremont 소재의 Lam Research Corporation으로부터 입수 가능한 Vector® 툴이다. 이들 또는 다른 실시 예들에서, 모듈 (620b) 은 Lam Vector®와 같은 PECVD 툴일 수도 있다. 도면이 반드시 축척대로 도시된 것은 아니라는 것이 이해되어야 한다.
로드록들 또는 이송 모듈들로 또한 공지된 에어록들 (airlocks) (642 및 646) 은 VTM (638) 및 패터닝 모듈 (640) 과 인터페이싱한다. 예를 들어, 상기 주지된 바와 같이, 적합한 패터닝 모듈은 네덜란드, 펠트호번 소재의 ASML에 의해 공급된 TWINSCAN NXE: 3300B® 플랫폼일 수도 있다. 이 툴 아키텍처는 반도체 기판들 또는 웨이퍼들과 같은 워크피스들로 하여금 노출 전에 반응하지 않도록 진공 하에서 이송되게 한다. 리소그래피 툴과 증착 모듈들의 통합은 EUV 리소그래피가 또한 H2O, O2, 등과 같은 주변 가스들에 의한 입사 광자들의 강한 광 흡수를 고려하면 상당히 감소된 압력을 필요로 한다는 사실에 의해 용이해진다.
상기 주지된 바와 같이, 이 통합된 아키텍처는 단지 기술된 프로세스들의 구현을 위한 툴의 일 가능한 실시 예이다. 프로세스들은 또한 예를 들어, 도 6을 참조하여 기술된 바와 같지만 통합된 패터닝 모듈 없는 모듈들과 같이, 독립형 또는 다른 툴들, 예컨대 에칭, 스트립, 등 (예를 들어, Lam Kiyo 또는 Gamma 툴들) 과 함께 클러스터 아키텍처에 통합된, Lam Vector 툴과 같은 더 통상적인 독립형 EUV 리소그래피 스캐너 및 증착 반응기로 구현될 수도 있다.
에어록 (642) 은 증착 모듈 (620a) 을 서비스하는 VTM (638) 으로부터 패터닝 모듈 (640) 로의 기판의 이송을 지칭하는 "인출 (outgoing)" 로드록일 수도 있고, 에어록 (646) 은 패터닝 모듈 (640) 로부터 VTM (638) 으로 다시 기판의 이송을 지칭하는 "인입 (ingoing)" 로드록일 수도 있다. 인입 로드록 (646) 은 또한 기판들의 액세스 및 진출 (egress) 을 위해 툴의 외부로의 인터페이스를 제공할 수도 있다. 프로세스 모듈 각각은 모듈을 VTM (638) 에 인터페이싱하는 패싯 (facet) 을 갖는다. 예를 들어, 증착 프로세스 모듈 (620a) 은 패싯 (636) 을 갖는다. 패싯 각각의 내부에서, 센서들, 예를 들어, 도시된 바와 같이 센서 1 내지 센서 18은 각각의 스테이션들 사이에서 이동할 때 웨이퍼 (626) 의 통과를 검출하도록 사용된다. 패터닝 모듈 (640) 및 에어록들 (642 및 646) 은 도시되지 않은 부가적인 패싯들 및 센서들을 유사하게 구비할 수도 있다.
메인 VTM 로봇 (622) 은 에어록들 (642 및 646) 을 포함하는 모듈들 사이에서 웨이퍼 (626) 를 이송한다. 일 실시 예에서, 로봇 (622) 은 하나의 암을 갖고, 또 다른 실시 예에서, 로봇 (622) 은 2 개의 암들을 갖고, 암 각각은 이송을 위해 웨이퍼 (626) 와 같은 웨이퍼들을 픽킹하기 (pick) 위한 엔드 이펙터 (624) 를 갖는다. 프론트 엔드 로봇 (644) 은 인출 에어록 (642) 으로부터 패터닝 모듈 (640) 내로, 패터닝 모듈 (640) 로부터 인입 에어록 (646) 내로 웨이퍼들 (626) 을 이송하도록 사용된다. 프론트 엔드 로봇 (644) 은 또한 기판들의 액세스 및 진출을 위해 인입 로드록과 툴의 외부 사이에서 웨이퍼들 (626) 을 이송할 수도 있다. 인입 에어록 모듈 (646) 이 대기와 진공 사이의 분위기를 매칭하는 능력을 갖기 때문에, 웨이퍼 (626) 는 손상되지 않고 2 개의 압력 분위기들 사이에서 이동할 수 있다.
EUV 리소그래피 툴은 통상적으로 증착 툴보다 더 높은 진공 (예를 들어, 더 낮은 압력) 에서 동작한다는 것을 주의해야 한다. 이러한 경우라면, 기판으로 하여금 EUV 리소그래피 툴로 들어가기 전에 가스를 제거하도록 (degas) 증착 툴과 EUV 리소그래피 툴 사이의 이송 동안 기판의 진공 분위기를 증가시키는 것 (예를 들어, 기판이 더 낮은 압력에 노출되도록 더 큰 진공을 인가하는 것) 이 바람직하다.
인출 에어록 (642) 은 패터닝 툴 (640) 의 광학계 (optics) 가 기판으로부터 가스 배출 (off-gassing) 에 의해 오염되지 않도록, 일정 기간 동안 패터닝 모듈 (640) 내의 압력보다 더 높지 않은, 더 낮은 압력으로 이송된 웨이퍼들을 홀딩하고 모든 가스 배출을 배기함으로써 이 기능을 제공할 수도 있다. 인출, 가스 배출 에어록을 위한 적합한 압력은 약 1E-8 Torr 이하이다.
일부 실시 예들에서, (하나 이상의 물리적 또는 논리적 제어기들을 포함할 수도 있는) 시스템 제어기 (650) 가 클러스터 툴 및/또는 이의 분리된 모듈들의 일부 또는 모든 동작들을 제어한다. 예시적인 시스템 제어기는 도 5b와 관련하여 상기에 더 논의된다. 제어기가 클러스터 아키텍처에 국부적일 수 있거나, 제작 현장에서 클러스터 아키텍처 외부에, 또는 원격 위치에 위치될 수 있고 그리고 네트워크를 통해 클러스터 아키텍처에 연결될 수 있다는 것을 주의해야 한다. 시스템 제어기 (650) 는 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 수도 있다. 프로세서는 CPU (central processing unit) 또는 컴퓨터, 아날로그 입력/출력 연결부들 및/또는 디지털 입력/출력 연결부들, 스텝퍼 (stepper) 모터 제어기 보드들, 및 다른 유사한 컴포넌트들을 포함할 수도 있다. 적절한 제어 동작들을 구현하기 위한 인스트럭션들이 프로세서 상에서 실행된다. 이들 인스트럭션들은 제어기와 연관된 메모리 디바이스들 상에 저장될 수도 있고, 또는 이들이 네트워크를 통해 제공될 수도 있다. 특정한 실시 예들에서, 시스템 제어기는 시스템 제어 소프트웨어를 실행한다.
시스템 제어 소프트웨어는 툴 또는 모듈 동작의 임의의 양태의 적용의 타이밍 및/또는 크기를 제어하기 위한 인스트럭션들을 포함할 수도 있다. 시스템 제어 소프트웨어는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들은 다양한 프로세스 툴 프로세스들을 수행하기 위해 필요한 프로세스 툴 컴포넌트들의 동작들을 제어하도록 작성될 수도 있다. 시스템 제어 소프트웨어가 임의의 적합한 컴퓨터 판독 가능 프로그래밍 언어로 코딩될 수도 있다. 일부 실시 예들에서, 시스템 제어 소프트웨어는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC 시퀀싱 인스트럭션들을 포함한다. 예를 들어, 반도체 제조 프로세스의 페이즈 각각은 시스템 제어기에 의한 실행을 위한 하나 이상의 인스트럭션들을 포함할 수도 있다. 응결, 증착, 증발, 패터닝 및/또는 에칭 페이즈를 위한 프로세스 조건들을 설정하기 위한 인스트럭션들은 예를 들어, 대응하는 레시피 페이즈에 포함될 수도 있다.
다양한 실시 예들에서, 네거티브 패턴 마스크를 형성하기 위한 장치가 제공된다. 장치는 패터닝, 증착 및/또는 에칭을 위한 하나 이상의 프로세싱 챔버들, 및 네거티브 패턴 마스크를 형성하기 위한 인스트럭션들을 포함하는 제어기를 포함할 수도 있다. 프로세싱 챔버들 중 하나 이상은 본 명세서에 기술된 처리 단계들 중 하나 이상을 수행하도록 구성될 수도 있다. 인스트럭션들은 관련된 프로세싱 챔버 또는 챔버들에서, 본 명세서에 기술된 바와 같은 처리, 건식 증착에 의한 반도체 기판 상의 금속-옥사이드 레지스트의 피처 패터닝, 기판의 표면을 노출시키기 위한 EUV 노출, 포토패터닝된 레지스트 건식 현상, 및/또는 패터닝된 레지스트를 마스크로서 사용하여 하부 층 또는 층 스택 에칭을 위한 코드를 포함할 수도 있다.
웨이퍼 이동을 제어하는 컴퓨터는 클러스터 아키텍처에 국부적일 수 있거나, 제작 현장에서 클러스터 아키텍처 외부에, 또는 원격 위치에 위치될 수 있고 그리고 네트워크를 통해 클러스터 아키텍처에 연결될 수 있다는 것을 주의해야 한다. 도 5b에 대해 상기 기술된 바와 같은 제어기가 도 6의 툴을 사용하여 구현될 수도 있다.
결론
금속-함유 EUV 레지스트의 EUV-리소그래피 건식 현상 성능을 향상시키기 위한 처리 전략들 (예를 들어, 도포-후 소성, 노출-후 소성, 도포-후 리모트 플라즈마 처리, 및 노출-후 리모트 플라즈마 처리) 이 개시된다.
전술한 기술에서, 제시된 실시 예들의 완전한 이해를 제공하기 위해 수많은 구체적 상세들이 제시되었다. 개시된 실시 예들은 이들 구체적인 상세들 일부 또는 전부 없이 실시될 수도 있다. 다른 예들에서, 잘 공지된 프로세스 동작들은 개시된 실시 예들을 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다. 개시된 실시 예들이 구체적인 실시 예들과 함께 기술되지만, 이는 개시된 실시 예들을 제한하는 것으로 의도되지 않았다는 것이 이해될 것이다.
전술한 실시 예들이 이해의 명확성의 목적들을 위해 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수도 있다는 것이 자명할 것이다. 본 실시 예들의 프로세스들, 시스템들 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 따라서, 본 실시 예들은 예시적이고, 제한적이지 않은 것으로 간주될 것이며, 실시 예들은 본 명세서에 주어진 상세들로 제한되지 않을 것이다.
이하의 샘플 청구항들은 본 개시의 특정한 실시 예들의 추가 예시를 위해 제공된다. 본 개시는 이들 실시 예들로 반드시 제한되지 않는다.

Claims (20)

  1. 금속-함유 극자외선 (extreme ultraviolet radiation; EUV) 포토레지스트를 처리하는 방법에 있어서,
    프로세스 챔버 내에 기판을 제공하는 단계로서, 상기 기판은 기판 층 및 상기 기판 층 위에 포지셔닝된 금속-함유 EUV 포토레지스트를 포함하는 반도체 기판인, 상기 기판을 제공하는 단계;
    상기 금속-함유 EUV 포토레지스트를 상기 프로세스 챔버 내 산소-함유 분위기에서 제 1 상승된 온도에 노출시키는 단계; 및
    상기 금속-함유 EUV 포토레지스트를 불활성 가스 분위기에서 제 2 상승된 온도에 노출시키는 단계를 포함하고, 상기 제 2 상승된 온도는 상기 제 1 상승된 온도보다 더 높은, 금속-함유 EUV 포토레지스트 처리 방법.
  2. 제 1 항에 있어서,
    상기 금속-함유 EUV 포토레지스트는 EUV-노출된 부분들 및 EUV-노출되지 않은 부분들을 포함하고, 상기 산소-함유 분위기에서 상기 제 1 상승된 온도에 대한 노출 및 상기 불활성 가스 분위기에서 상기 제 2 상승된 온도에 대한 노출은 후속하는 건식 현상 프로세스에서 상기 EUV-노출된 부분들과 상기 EUV-노출되지 않은 부분들 사이의 에칭 선택도를 상승시키는, 금속-함유 EUV 포토레지스트 처리 방법.
  3. 제 2 항에 있어서,
    상기 산소-함유 분위기에서 상기 제 1 상승된 온도에 대한 노출 및 상기 불활성 가스 분위기에서 상기 제 2 상승된 온도에 대한 노출은 상기 후속하는 건식 현상 프로세스에서 라인 에지 거칠기 (line edge roughness; LER) 를 감소시키고 그리고 도즈 대 사이즈 (dose to size; DtS) 를 감소시키는, 금속-함유 EUV 포토레지스트 처리 방법.
  4. 제 2 항에 있어서,
    상기 EUV-노출된 영역들 및 상기 EUV-노출되지 않은 영역들을 형성하기 위해 상기 프로세스 챔버 내에 상기 기판을 제공하는 단계 전에 상기 금속-함유 EUV 포토레지스트를 EUV 복사선에 노출시키는 단계를 더 포함하는, 금속-함유 EUV 포토레지스트 처리 방법.
  5. 제 4 항에 있어서,
    상기 EUV 복사선에 대한 노출과 상기 제 1 상승된 온도에 대한 노출 사이의 제 1 큐 시간 (queue time) 은 약 20 분 미만이고, 그리고 상기 제 1 상승된 온도에 대한 노출과 상기 제 2 상승된 온도에 대한 노출 사이의 제 2 큐 시간은 약 1 시간 미만인, 금속-함유 EUV 포토레지스트 처리 방법.
  6. 제 1 항에 있어서,
    상기 제 1 상승된 온도는 약 150 ℃ 내지 약 220 ℃이고 그리고 상기 제 2 상승된 온도는 약 220 ℃ 내지 약 250 ℃인, 금속-함유 EUV 포토레지스트 처리 방법.
  7. 제 1 항에 있어서,
    상기 산소-함유 분위기는 산소-함유 종을 포함하고, 상기 산소-함유 종의 분압은 상기 산소-함유 분위기에서 적어도 약 100 Torr인, 금속-함유 EUV 포토레지스트 처리 방법.
  8. 제 1 항에 있어서,
    상기 산소-함유 분위기는 산소 (O2), 오존 (O3), 물 (H2O), 과산화수소 (H2O2), 일산화탄소 (CO), 이산화탄소 (CO2), 또는 이들의 조합들을 포함하는, 금속-함유 EUV 포토레지스트 처리 방법.
  9. 제 1 항에 있어서,
    상기 불활성 가스 분위기는 질소 (N2), 헬륨 (He), 네온 (Ne), 아르곤 (Ar), 크세논 (Xe), 또는 이들의 조합들을 포함하는, 금속-함유 EUV 포토레지스트 처리 방법.
  10. 제 1 항에 있어서,
    상기 산소-함유 분위기 및 상기 불활성 가스 분위기 각각은 수분이 없거나 실질적으로 없는, 금속-함유 EUV 포토레지스트 처리 방법.
  11. 제 1 항에 있어서,
    상기 금속-함유 EUV 포토레지스트는 금속 옥사이드-함유 EUV 포토레지스트인, 금속-함유 EUV 포토레지스트 처리 방법.
  12. 제 1 항에 있어서,
    상기 산소-함유 분위기는 산소 라디칼들 및 이온들에 상기 금속-함유 EUV 포토레지스트를 노출시키기 위해 리모트 플라즈마 소스로부터 생성된 상기 산소 라디칼들 및 이온들을 포함하는, 금속-함유 EUV 포토레지스트 처리 방법.
  13. 제 1 항에 있어서,
    상기 금속-함유 EUV 포토레지스트를 상기 불활성 가스 분위기에서 상기 제 2 상승된 온도에 노출시키는 단계는 상기 금속-함유 EUV 레지스트를 상기 산소-함유 분위기에서 상기 제 1 상승된 온도에 노출시키는 단계와 동일한 프로세스 챔버에서 발생하는, 금속-함유 EUV 포토레지스트 처리 방법.
  14. 제 1 항에 있어서,
    상기 금속-함유 EUV 포토레지스트를 상기 산소-함유 분위기에 노출시키는 단계 및 상기 금속-함유 EUV 포토레지스트를 상기 불활성 가스 분위기에 노출시키는 단계를 1 회 이상 반복하는 단계를 더 포함하는, 금속-함유 EUV 포토레지스트 처리 방법.
  15. 제 1 항에 있어서,
    상기 금속-함유 EUV 포토레지스트의 부분들을 선택적으로 제거하도록 상기 금속-함유 EUV 포토레지스트를 건식 현상하는 단계를 더 포함하고, 상기 산소-함유 분위기에서 상기 제 1 상승된 온도에 대한 노출 및 상기 불활성 가스 분위기에서 상기 제 2 상승된 온도에 대한 노출은 건식 현상 전에 수행되는 노출-후 소성 (post-exposure bake; PEB) 동작들인, 금속-함유 EUV 포토레지스트 처리 방법.
  16. 금속-함유 EUV 포토레지스트를 처리하기 위한 장치에 있어서,
    기판 지지부를 포함하는 프로세스 챔버로서, 상기 기판 지지부는 기판 층 및 상기 기판 층 위에 포지셔닝된 금속-함유 EUV 포토레지스트를 포함하는 반도체 기판을 지지하도록 구성되는, 상기 프로세스 챔버;
    상기 프로세스 챔버 및 연관된 가스-플로우 제어 하드웨어와 연결된 프로세스 가스 소스;
    기판 열적 제어 하드웨어; 및
    제어기를 포함하고, 상기 제어기는,
    상기 금속-함유 EUV 포토레지스트를 상기 프로세스 챔버 내 산소-함유 분위기에서 제 1 상승된 온도에 노출시키는 동작; 및
    상기 금속-함유 EUV 포토레지스트를 불활성 가스 분위기에서 제 2 상승된 온도에 노출시키는 동작을 수행하기 위한 인스트럭션들로 구성되고, 상기 제 2 상승된 온도는 상기 제 1 상승된 온도보다 더 높은, 금속-함유 EUV 포토레지스트 처리 장치.
  17. 제 16 항에 있어서,
    상기 제 1 상승된 온도는 약 150 ℃ 내지 약 220 ℃이고 그리고 상기 제 2 상승된 온도는 약 220 ℃ 내지 약 250 ℃인, 금속-함유 EUV 포토레지스트 처리 장치.
  18. 제 16 항에 있어서,
    상기 산소-함유 분위기 및 상기 불활성 가스 분위기 각각은 수분이 없거나 실질적으로 없는, 금속-함유 EUV 포토레지스트 처리 장치.
  19. 제 16 항에 있어서,
    산소-함유 종의 분압은 상기 산소-함유 분위기에서 적어도 약 100 Torr인, 금속-함유 EUV 포토레지스트 처리 장치.
  20. 제 16 항에 있어서,
    상기 산소-함유 분위기는 산소-함유 종을 포함하고, 상기 산소-함유 종의 농도는 상기 산소-함유 분위기에서 적어도 20 체적%이고, 상기 산소-함유 종은 산소 (O2), 오존 (O3), 물 (H2O), 과산화수소 (H2O2), 일산화탄소 (CO), 이산화탄소 (CO2), 또는 이들의 조합들인, 금속-함유 EUV 포토레지스트 처리 장치.
KR1020247006338A 2021-07-26 2022-07-15 금속 함유 레지스트의 건식 현상 성능을 개선하기 위한 다단계 노출-후 처리 KR20240032161A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202163203507P 2021-07-26 2021-07-26
US63/203,507 2021-07-26
PCT/US2022/037393 WO2023009336A1 (en) 2021-07-26 2022-07-15 Multi-step post-exposure treatment to improve dry development performance of metal-containing resist

Publications (1)

Publication Number Publication Date
KR20240032161A true KR20240032161A (ko) 2024-03-08

Family

ID=85087190

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020247006338A KR20240032161A (ko) 2021-07-26 2022-07-15 금속 함유 레지스트의 건식 현상 성능을 개선하기 위한 다단계 노출-후 처리

Country Status (4)

Country Link
KR (1) KR20240032161A (ko)
CN (1) CN117730281A (ko)
TW (1) TW202314365A (ko)
WO (1) WO2023009336A1 (ko)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002134402A (ja) * 2000-08-15 2002-05-10 Tokyo Electron Ltd 基板処理方法及び基板処理装置
US7682659B1 (en) * 2006-04-10 2010-03-23 The Regents Of The University Of California Fabrication of suspended carbon micro and nanoscale structures
US10520821B2 (en) * 2016-11-29 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography process with enhanced etch selectivity
US10838304B2 (en) * 2018-08-13 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Priming material for organometallic resist
US11782345B2 (en) * 2019-08-05 2023-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Bottom antireflective coating materials

Also Published As

Publication number Publication date
WO2023009336A1 (en) 2023-02-02
TW202314365A (zh) 2023-04-01
CN117730281A (zh) 2024-03-19

Similar Documents

Publication Publication Date Title
US20220244645A1 (en) Photoresist development with halide chemistries
KR20210095218A (ko) 레지스트들의 건식 현상 (dry development)
US20230031955A1 (en) Post application/exposure treatments to improve dry development performance of metal-containing euv resist
JP2022538554A (ja) フォトレジスト膜のチャンバ乾式洗浄
TWI811842B (zh) 光阻乾式移除用的處理工具
JP7382512B2 (ja) 照射フォトレジストパターニングのための統合乾式プロセス
JP2023531102A (ja) フォトレジストの乾式裏面及びベベルエッジ洗浄
KR20240032161A (ko) 금속 함유 레지스트의 건식 현상 성능을 개선하기 위한 다단계 노출-후 처리
TWI837391B (zh) 利用鹵化物化學品的光阻顯影
WO2024006938A1 (en) Cyclic development of metal oxide based photoresist for etch stop deterrence
TW202407467A (zh) 含金屬光阻的重工
TW202414121A (zh) 光阻乾式移除用的處理工具
WO2024076679A1 (en) Dry chamber clean using thermal and plasma processes

Legal Events

Date Code Title Description
A302 Request for accelerated examination