JP6796559B2 - エッチング方法および残渣除去方法 - Google Patents

エッチング方法および残渣除去方法 Download PDF

Info

Publication number
JP6796559B2
JP6796559B2 JP2017133039A JP2017133039A JP6796559B2 JP 6796559 B2 JP6796559 B2 JP 6796559B2 JP 2017133039 A JP2017133039 A JP 2017133039A JP 2017133039 A JP2017133039 A JP 2017133039A JP 6796559 B2 JP6796559 B2 JP 6796559B2
Authority
JP
Japan
Prior art keywords
gas
etching
sio
residue
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017133039A
Other languages
English (en)
Other versions
JP2019016698A (ja
Inventor
小林 典之
典之 小林
俊憲 出張
俊憲 出張
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2017133039A priority Critical patent/JP6796559B2/ja
Priority to TW107122749A priority patent/TWI757516B/zh
Priority to US16/026,589 priority patent/US10818506B2/en
Priority to SG10201805798UA priority patent/SG10201805798UA/en
Priority to KR1020180077691A priority patent/KR102181910B1/ko
Priority to CN201810731781.XA priority patent/CN109216186B/zh
Publication of JP2019016698A publication Critical patent/JP2019016698A/ja
Application granted granted Critical
Publication of JP6796559B2 publication Critical patent/JP6796559B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Robotics (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Non-Volatile Memory (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)

Description

本発明は、酸化シリコン膜を化学的エッチング処理するエッチング方法および残渣を除去する残渣除去方法に関する。
近時、半導体デバイスの製造過程で、プラズマエッチングに代わる微細化エッチングが可能な方法として、チャンバー内でプラズマを生成することなく化学的にエッチングを行う化学的酸化物除去処理(Chemical Oxide Removal;COR)と呼ばれる手法が注目されている。
CORとしては、真空に保持されたチャンバー内で、被処理基板である半導体ウエハの表面に存在するシリコン酸化膜(SiO膜)に、フッ化水素(HF)ガスとアンモニア(NH)ガスを吸着させ、これらをシリコン酸化膜と反応させてフルオロケイ酸アンモニウム((NHSiF;AFS)を生成させ、次工程で加熱によりこのフルオロケイ酸アンモニウムを昇華させることにより、SiO膜をエッチングするプロセスが知られている(例えば、特許文献1、2参照)。
一方、例えば、3D−NAND型不揮発性半導体装置の製造過程においては、化学蒸着法(CVD)により成膜されたSiO膜と窒化シリコン膜(SiN膜)を多層積層した後、SiN膜を熱リン酸(HPO)を用いてウエットエッチングにより除去し、タングステン電極を形成するための空洞を形成する工程が存在する。このとき、SiN膜を除去した後に、CVDにより成膜されたTEOS膜に代表されるSiO膜(CVD−SiO膜)の先端部に不純物(P、N、S、Si等)を含む低密度でポーラスなシリカ(SiO)系残渣物が析出する。
このようなSiO系の残渣物を、特許文献1、2のようにHFガスとNHガスにより除去しようとすると、CVD−SiO膜もエッチングされてしまう。これに対し、特許文献3には、HFガス、およびアルコールガスまたは水蒸気により、相対的に密度の高い熱酸化膜に対して、相対的に密度の低いCVD−SiO膜を高選択比でエッチングできる技術が示されており、この技術を利用して、同じSiO膜でも密度が低いシリカ(SiO)系残渣物を、CVD−SiO膜に対して選択的に除去することが考えられる。
特開2005−39185号公報 特開2008−160000号公報 特開2016−25195号公報
しかし、この技術を利用して、CVD−SiO膜の先端部に存在するシリカ系残渣物を除去する処理を行った後、加熱処理により残渣除去を行うと、CVD−SiO膜の奥に存在するCVD−SiO膜よりも密度が低いブロックオキサイド膜(ブロックSiO膜)にダメージが生じてしまうことが判明した。
したがって、本発明は、シリカ系残渣物をエッチング後にエッチング残渣除去を行っても、残存しているSiO膜へのダメージが生じ難いエッチング方法および残渣除去方法を提供することを目的とする。
上記課題を解決するため、本発明の第1の観点は、SiO膜に形成された、塩基成分を含むシリカ系残渣物をエッチングするエッチング方法であって、前記シリカ系残渣物が形成された前記SiO膜を有する被処理基板にHFガスと、HOガスまたはアルコールガスとを供給してシリカ系残渣物を選択的にエッチングする第1段階と、前記第1段階の後、前記第1段階によるエッチング残渣を除去する第2段階とを有し、前記第2段階は、前記被処理基板にHOガスまたはアルコールガスを供給する第1工程と、前記第1工程後の被処理基板を加熱する第2工程とを有することを特徴とするエッチング方法を提供する。
上記エッチング方法において、前記塩基成分を含むシリカ系残渣物は、前記被処理基板に形成されていた窒化シリコン膜を熱リン酸によるウエットエッチングにより除去する際に形成されたものであってよい。前記SiO膜は、CVDにより成膜されたCVD−SiO膜であってよい。前記被処理基板は、前記CVD−SiO膜を支持する、前記CVD−SiO膜より密度が低くCVDにより形成されたブロックSiO膜をさらに有し、前記CVD−SiO膜は、前記ブロックSiO膜から間隔をあけて複数延びているものであってよい。
前記第1段階は、前記被処理基板が配置されたチャンバー内に、HFガスと、HOガスまたはアルコールガスを供給することに行われ、前記第2段階の前記第1工程は、前記被処理基板を前記チャンバー内に配置したままの状態で、HFガスを停止し、HOガスまたはアルコールガスのみを前記チャンバーに供給することにより行われることが好ましい。
前記第1段階は、圧力が133〜666Pa、温度が0〜30℃の条件で行うことができる。また、前記第1段階は、HFガス+HOガスまたはアルコールガスの合計量に対するHOガスまたはアルコールガスの流量比率(体積比率)が10〜50%の範囲とすることができる。
前記第2段階の前記第1工程は、HOガスまたはアルコールガスの流量が100〜1000sccmの範囲とすることができる。前記第2段階の前記第1工程は、10〜30℃の範囲の温度で行うことができる。前記第2段階の前記第1工程は、時間を5〜60secの範囲とすることができる。
前記第2段階の前記第2工程は、150〜230℃の範囲の温度で行うことができる。前記第2段階の前記第2工程は、時間を30〜600secの範囲とすることができる。
本発明の第2の観点は、SiO膜に付着したフッ素成分と塩基成分とを含むエッチング残渣を除去するエッチング残渣の除去方法であって、前記SiO膜を有する被処理基板にHOガスまたはアルコールガスを供給してフッ素成分を除去する第1工程と、前記第1工程後の被処理基板を加熱して、前記エッチング残渣の残部を除去する第2工程とを有することを特徴とする残渣除去方法を提供する。
本発明によれば、HFガスと、HOガスまたはアルコールガスとを供給してシリカ系残渣物を選択的にエッチングした後、エッチング残渣を除去する際に、被処理基板にHOガスまたはアルコールガスを供給する第1工程と、前記第1工程後の被処理基板を加熱する第2工程とを行うので、第1工程でフッ素成分が除去され、その後の加熱によって被処理基板に残存するSiO膜へのダメージを抑制することができる。
3D−NAND型不揮発性半導体装置の製造過程におけるSiN膜を除去する前の状態を示す断面図である。 図1の状態からウエットエッチングによりSiN膜をエッチングした際にCVD−SiO膜にシリカ系残渣物が成長した状態を模式的に示す図である。 CVD−SiO膜に形成されたシリカ系残渣物をCOR処理によりエッチングしたときのエッチング残渣が存在する状態を模式的に示す図である。 エッチング残渣を加熱処理により除去した際のブロックオキサイドのダメージを示す模式図である。 エッチング残渣の成分を説明する模式図である。 エッチング残渣除去の第1工程において、HOガスによりブロックオキサイド膜の表面に結合していたFを除去するメカニズムを説明する図である。 エッチング残渣除去の第1工程におけるHOガス処理の後のエッチング残渣の状態を示す模式図である。 エッチング残渣除去の第2工程の加熱処理を行った後の状態を示す模式図である。 本発明の一実施形態に係るエッチング方法に用いる処理システムの一例を示す概略構成図である。 図9の処理システムに搭載されたCOR処理装置を示す断面図である。 図9の処理システムに搭載された熱処理装置を示す断面図である。
以下、図面を参照しながら、本発明の実施の形態について説明する。
<エッチング方法>
最初に、本発明の一実施形態に係るエッチング方法について説明する。
ここでは、3D−NAND型不揮発性半導体装置の製造過程におけるSiN膜除去後の、CVD−SiO膜の先端部に存在するシリカ系残渣物をエッチング除去する方法について説明する。
図1は、3D−NAND型不揮発性半導体装置の製造過程におけるSiN膜を除去する前の状態を示す断面図であり、CVD−SiO膜10とCVD−SiN膜12とが交互に積層された構造を有し、これらの膜に垂直に、先に形成された溝に埋め込まれたブロックオキサイド膜(ブロックSiO膜)14および溝16が形成されている。
CVD−SiO膜としては、Siプリカーサとして、テトラエトキシシラン(TEOS)を用いて形成されたTEOS膜を用いることができる。また、ブロックオキサイド膜14も同様に、CVDにより成膜されたSiO膜であるが、CVD−SiO膜10よりも不純物が多く低密度である。
この状態で、熱リン酸(HPO)を用いてCVD−SiN膜12をウエットエッチングにより除去し、図2に示すように、タングステン電極を形成するための空洞18を形成する。
このとき、CVD−SiO膜10の先端部に、不純物(P、N、S、Si等)を含む低密度でポーラスなシリカ(SiO)系残渣物20が再成長する。本実施形態では、このシリカ系残渣物20をCOR処理によりエッチング除去する。
CVD−SiO膜10とシリカ系残渣物20は、いずれもSiOを主成分とするものであるが、このエッチングにおいては、シリカ系残渣物20をCVD−SiO膜10に対して高選択比でエッチングする必要がある。シリカ系残渣物20は、CVD−SiO膜10よりも低密度であるため、上記特許文献3に記載された方法に基づいて、シリカ系残渣物20をCVD−SiO膜10に対して高選択比でエッチング除去することができる。
すなわち、本実施形態においては、図2に示すようなCVD−SiO膜10の先端部にシリカ系残渣物20が形成された、被処理基板である半導体ウエハ(以下、単にウエハとも記す)をチャンバー内に収容し、HFガス、およびHOガス(水蒸気)またはアルコールガスをチャンバー内に導入してCOR処理を行うことにより、シリカ系残渣物20を高選択比でエッチングする。これらのガスに、さらに不活性ガスを加えてもよい。不活性ガスとしては、Nガスや、Ar、He等の希ガスを用いることができる。
Oガスまたはアルコールガスは、いずれもOH基を含み、このOH基がHFガスとともにエッチング作用を及ぼす。このときのOH基の作用は、相対的に密度が高いCVD−SiO膜10に対してよりも、相対的に密度が低いシリカ系残渣物20に対してのほうが大きく、したがって、シリカ系残渣物20をCVD−SiO膜10に対して選択的にエッチングすることができる。
なお、ブロックオキサイド膜14もCVDで成膜されているため、シリカ系残渣物20よりは高密度であり、シリカ系残渣物20はブロックオキサイド膜14に対しても選択的にエッチングすることができる。
このエッチングの際の圧力は、133〜666Pa(1〜5Torr)の範囲であることが好ましく、266〜533Pa(2〜4Torr)の範囲であることがより好ましい。また、この際のウエハ温度は、10〜30℃の範囲が好ましい。
また、HFガス+HOガスまたはアルコールガスの合計量に対するHOガスまたはアルコールガスの流量比率(体積比率)は、10〜50%の範囲が好ましく、15〜30%の範囲がより好ましい。
アルコールガスとしては、メタノール(CHOH)、エタノール(COH)、プロパノール(COH)、ブタノール(COH)等の一価のアルコールが好ましく、これらの中ではエタノールが好ましい。
このようなエッチング処理の後、図3に示すように、ブロックオキサイド膜14の表面にエッチング残渣22が生じるため、このエッチング残渣22を除去する。
この種のエッチング残渣の除去は、一般にウエハを加熱することにより行っている。しかし、本例の場合、シリカ系残渣物20のエッチング処理後、直接加熱処理を行うと、図4に示すように、主にブロックオキサイド膜14の表面に凹部24が生じることが判明した。
このようにブロックオキサイド膜14の表面に凹部24が生じる原因について検討した結果、以下のメカニズムが想定された。
再成長したシリカ系残渣物20内には不純物として塩基成分、例えばNHが含まれており、シリカ系残渣物20のエッチング処理の後、そのNHがブロックオキサイド膜14の表面に残存する。また、HFガス、およびHOガスまたはアルコールガスによりエッチング処理(COR処理)を行うと、ブロックオキサイド膜14の表面にはフッ素成分(F成分)が残存する。したがって、図5に示すように、エッチング残渣22には、塩基成分およびF成分が含まれていることとなる。この状態で加熱処理を行うと、SiOからなるブロックオキサイド膜14の表面で、例えば以下の反応が生じ、主にブロックオキサイド膜14がエッチングされる。また、この影響により、CVD−SiO膜10の先端部も部分的にエッチングされる場合もある。
SiO+4HF+4NH → SiF+2HO+4NH
そこで、本実施形態においては、HFガス、およびHOガスまたはアルコールガスを用いたCOR処理が終了後のエッチング残渣の除去処理として、HOガスまたはアルコールガスを供給する工程を行った後、加熱処理工程を行う。これにより、ブロックオキサイド膜14のエッチングを抑制することができる。
そのメカニズムについて図6および図7を参照して説明する。
図6(a)に示すように、SiOからなるブロックオキサイド膜14の表面のSiには、OHやH以外に、Fが結合しており、この状態で例えばHOを供給すると、図6(b)に示すように、FがHOと反応し、HFとなって揮発し、Fが結合していたSiの結合手にはOHが結合する。これにより、ブロックオキサイド膜14の表面のエッチング残渣22は、図7に示すように、F成分が除去された状態となる。この状態で加熱処理を行っても、ブロックオキサイド膜14の表面からFが除去されているので、SiOをエッチングする成分が発生せずに残渣除去を行うことができ、ブロックオキサイド膜14へのダメージを防止することができる。また、CVD−SiO膜10にF成分や塩基成分が存在していても、同様に、ダメージを防止することができる。なお、HOの代わりにアルコールを用いても同様のメカニズムでブロックオキサイド膜14のダメージを防止することができる。
残渣除去処理の第1工程である、HOガスまたはアルコールガスを供給する工程は、COR処理を行ったチャンバー内で、HFガスの供給を停止し、HOガスまたはアルコールガスを流し続けることにより行うことができる。このとき、HOガスまたはアルコールガスの流量を多くすることにより短時間でブロックオキサイド膜14の表面のFを除去することができる。HOガスまたはアルコールガスの流量は100〜1000sccmの範囲が好ましく、処理時間は30〜600secの範囲が好ましい。また、圧力および温度は、COR処理と同様の条件とすることができる。
残渣除去処理の第2工程である加熱処理工程は、NガスやArガス等の不活性ガス雰囲気で、好ましくは、150〜230℃の範囲で行われる。これにより、第1工程では除去されなかった残渣成分、例えばNHのような塩基成分等が除去され、図8に示すように、ブロックオキサイド膜14にダメージを与えることなくエッチング残渣22を除去することができる。このときの第2工程の時間は、30〜600secの範囲が好ましい。
実際に、上述したように、COR処理の後に2段階の残渣除去を行った結果、SiO膜であるブロックオキサイド膜14やCVD−SiO膜10にダメージを生じさせずに、エッチング残渣22が除去されていることが確認された。
<本実施形態のエッチング方法に用いる処理システムの一例>
次に、本実施形態のエッチング方法に用いる処理システムの一例について説明する。
図9は、本実施形態のエッチング方法に用いる処理システムの一例を示す概略構成図である。この処理システム100は、上述したような、SiN膜除去後の、CVD−SiO膜10の先端部にシリカ系残渣物20が形成された構造のウエハWを搬入出する搬入出部102と、搬入出部102に隣接させて設けられた2つのロードロック室103と、各ロードロック室103にそれぞれ隣接して設けられた、ウエハWに対して熱処理を行なう熱処理装置104と、各熱処理装置104にそれぞれ隣接して設けられた、ウエハWに対してエッチング(COR処理)を行うCOR処理装置105と、制御部106とを備えている。
搬入出部102は、ウエハWを搬送する第1ウエハ搬送機構111が内部に設けられた搬送室112を有している。第1ウエハ搬送機構111は、ウエハWを略水平に保持する2つの搬送アーム111a,111bを有している。搬送室112の長手方向の側部には、載置台113が設けられており、この載置台113には、FOUP等の複数枚のウエハWを収容するキャリアCが例えば3つ接続できるようになっている。また、搬送室112に隣接して、ウエハWのアライメントを行うアライメントチャンバ114が設けられている。
搬入出部102において、ウエハWは、搬送アーム111a,111bによって保持され、第1ウエハ搬送機構111の駆動により略水平面内で直進移動、また昇降させられることにより、所望の位置に搬送させられる。そして、載置台113上のキャリアC、アライメントチャンバ114、ロードロック室103に対してそれぞれ搬送アーム111a,111bが進退することにより、搬入出させられるようになっている。
各ロードロック室103は、搬送室112との間にそれぞれゲートバルブ116が介在された状態で、搬送室112にそれぞれ連結されている。各ロードロック室103内には、ウエハWを搬送する第2ウエハ搬送機構117が設けられている。また、ロードロック室103は、所定の真空度まで真空引き可能に構成されている。
第2ウエハ搬送機構117は、多関節アーム構造を有しており、ウエハWを略水平に保持するピックを有している。この第2ウエハ搬送機構117においては、多関節アームを縮めた状態でピックがロードロック室103内に位置し、多関節アームを伸ばすことにより、ピックが熱処理装置104に到達し、さらに伸ばすことによりCOR処理装置105に到達することが可能となっており、ウエハWをロードロック室103、熱処理装置104、およびCOR処理装置105間で搬送することが可能となっている。
制御部106は、典型的にはコンピュータからなり、処理システム100の各構成部を制御するCPUを有する主制御部と、入力装置(キーボード、マウス等)、出力装置(プリンタ等)、表示装置(ディスプレイ等)、記憶装置(記憶媒体)を有している。制御部106の主制御部は、例えば、記憶装置に内蔵された記憶媒体、または記憶装置にセットされた記憶媒体に記憶された処理レシピに基づいて、処理システム100に、所定の動作を実行させる。
このような処理システム100では、図2に示すような、SiN膜除去後の、CVD−SiO膜10の先端部にシリカ系残渣物20が形成された構造のウエハWを複数枚キャリアC内に収納して処理システム100に搬送する。処理システム100においては、大気側のゲートバルブ116を開いた状態で搬入出部102のキャリアCから第1ウエハ搬送機構111の搬送アーム111a、111bのいずれかによりウエハWを1枚ロードロック室103に搬送し、ロードロック室103内の第2ウエハ搬送機構117のピックに受け渡す。
その後、大気側のゲートバルブ116を閉じてロードロック室103内を真空排気し、次いでゲートバルブ154を開いて、ピックをCOR処理装置105まで伸ばしてウエハWをCOR処理装置105へ搬送する。
その後、ピックをロードロック室103に戻し、ゲートバルブ154を閉じ、COR処理装置105において上述したCOR処理によりシリカ系残渣を除去する。COR処理が終了した後、COR処理装置105により上述した残渣除去の第1工程を行う。
残渣除去の第1工程が終了した後、ゲートバルブ122、154を開き、第2ウエハ搬送機構117のピックによりエッチング処理後のウエハWを熱処理装置104に搬送し、残渣除去の第2工程の加熱処理を行う。
熱処理装置104における加熱処理が終了した後、第1ウエハ搬送機構111の搬送アーム111a、111bのいずれかによりキャリアCに戻す。これにより、一枚のウエハの処理が完了する。
<COR処理装置>
次に、処理システム100に搭載されたCOR処理装置105の一例について詳細に説明する。
図10はCOR処理装置105の一例を示す断面図である。図10に示すように、COR処理装置105は、密閉構造のチャンバー140を備えており、チャンバー140の内部には、ウエハWを略水平にした状態で載置させる載置台142が設けられている。また、COR処理装置105は、チャンバー140に処理ガスを供給するガス供給機構143、チャンバー140内を排気する排気機構144を備えている。
チャンバー140は、チャンバー本体151と蓋部152とによって構成されている。チャンバー本体151は、略円筒形状の側壁部151aと底部151bとを有し、上部は開口となっており、この開口が蓋部152で閉止される。側壁部151aと蓋部152とは、シール部材(図示せず)により密閉されて、チャンバー140内の気密性が確保される。
蓋部152は、外側を構成する蓋部材155と、蓋部材155の内側に嵌め込まれ、載置台142に臨むように設けられたシャワーヘッド156とを有している。シャワーヘッド156は円筒状をなす側壁157aと上部壁157bとを有する本体157と、本体157の底部に設けられたシャワープレート158とを有している。本体157とシャワープレート158との間には空間159が形成されている。
蓋部材155および本体157の上部壁157bには空間159まで貫通してガス導入路161が形成されており、このガス導入路161には後述するガス供給機構143のHFガス供給配管171が接続されている。
シャワープレート158には複数のガス吐出孔162が形成されており、ガス供給配管171およびガス導入路161を経て空間159に導入されたガスがガス吐出孔162からチャンバー140内の空間に吐出される。
側壁部151aには、熱処理装置104との間でウエハWを搬入出する搬入出口153が設けられており、この搬入出口153はゲートバルブ154により開閉可能となっている。
載置台142は、平面視略円形をなしており、チャンバー140の底部151bに固定されている。載置台142の内部には、載置台142の温度を調節する温度調節器165が設けられている。温度調節器165は、例えば温度調節用媒体(例えば水など)が循環する管路を備えており、このような管路内を流れる温度調節用媒体と熱交換が行なわれることにより、載置台142の温度が調節され、載置台142上のウエハWの温度制御がなされる。
ガス供給機構143は、HFガスを供給するHFガス供給源175、HOガスを供給するHOガス供給源176、およびNガスやArガス等の不活性ガスを供給する不活性ガス供給源177を有しており、これらにはそれぞれHFガス供給配管171、HOガス供給配管172、および不活性ガス供給配管173の一端が接続されている。HFガス供給配管171、HOガス供給配管172、および不活性ガス供給配管173には、流路の開閉動作および流量制御を行う流量制御器179が設けられている。流量制御器179は例えば開閉弁およびマスフローコントローラにより構成されている。HFガス供給配管171の他端は、上述したように、ガス導入路161に接続されている。また、HOガス供給配管172および不活性ガス供給配管173の他端はHFガス供給配管171に接続されている。
これらガスは、シャワーヘッド156に供給され、シャワーヘッド156のガス吐出孔162からチャンバー140内のウエハWに向けて吐出される。
なお、上述したように、HOガスの代わりにアルコールガスを供給してもよい。また、不活性ガスは、希釈ガスおよびチャンバー140内をパージするパージガスとして用いられる。処理に際しては、希釈ガスを用いなくてもよい。
排気機構144は、チャンバー140の底部151bに形成された排気口181に繋がる排気配管182を有しており、さらに、排気配管182に設けられた、チャンバー140内の圧力を制御するための自動圧力制御弁(APC)183およびチャンバー140内を排気するための真空ポンプ184を有している。
チャンバー140の側壁には、チャンバー140内の圧力を計測するための圧力計として2つのキャパシタンスマノメータ186a,186bが、チャンバー140内に挿入されるように設けられている。キャパシタンスマノメータ186aは高圧力用、キャパシタンスマノメータ186bは低圧力用となっている。載置台142に載置されたウエハWの近傍には、ウエハWの温度を検出する温度センサ(図示せず)が設けられている。
このようなCOR処理装置105においては、上述したような、SiN膜除去後の、CVD−SiO膜10の先端部にシリカ系残渣物20が形成された構造のウエハWをチャンバー140内に搬入し、載置台142に載置する。そして、チャンバー140内の圧力を、好ましくは133〜666Pa(1〜5Torr)、より好ましくは266〜533Pa(2〜4Torr)とし、ウエハW温度を好ましくは10〜30℃とし、HFガスおよびHOガスを、それぞれ、好ましくは500〜1500sccmおよび100〜1000sccmの流量で供給し、希釈ガスである不活性ガスを0〜500sccmとしてシリカ系残渣物をエッチング除去する。
シリカ系残渣物を除去した後、ウエハWをチャンバー140内の載置台142上に保持したまま、HFガスを停止し、HOガスを100〜1000sccm、希釈ガスである不活性ガスを0〜500sccmとして、好ましくは30〜600secの間、シリカ系残渣物をエッチング除去した後のエッチング残渣除去の第1工程を実施する。これによりエッチング残渣の中のF成分が除去される。
<熱処理装置>
次に、処理システム100に搭載された熱処理装置104の一例について詳細に説明する。
図11は熱処理装置104の一例を示す断面図である。図11に示すように、熱処理装置104は、真空引き可能なチャンバー120と、その中でウエハWを載置する載置台123を有し、載置台123にはヒーター124が埋設されており、このヒーター124によりエッチング残渣除去の第1工程が施された後のウエハWを加熱してウエハWに残存するエッチング残渣を気化して除去する。
チャンバー120のロードロック室103側には、ロードロック室103との間でウエハを搬送する搬入出口120aが設けられており、この搬入出口120aはゲートバルブ122によって開閉可能となっている。また、チャンバー120のCOR処理装置105側にはCOR処理装置105との間でウエハWを搬送する搬入出口120bが設けられており、この搬入出口120bはゲートバルブ154により開閉可能となっている。
チャンバー120の側壁上部にはガス供給路125が接続され、ガス供給路125は不活性ガスとしてのNガスを供給するNガス供給源130に接続されている。また、チャンバー120の底壁には排気路127が接続され、排気路127は真空ポンプ133に接続されている。ガス供給路125には流量調節弁131が設けられており、排気路127には圧力調整弁132が設けられていて、これら弁を調整することにより、チャンバー120内を所定圧力のNガス雰囲気にして熱処理が行われる。Arガス等、Nガス以外の不活性ガスを用いてもよい。
このような熱処理装置104においては、COR処理装置105でのエッチング残渣除去の第1工程が施されたウエハWをチャンバー120内に搬入し、載置台123に載置する。そして、Nガスを所定流量で供給しつつ、チャンバー120内の圧力を、好ましくは133〜666Pa(1〜5Torr)とし、ヒーター124によりウエハW温度を好ましくは150〜230℃として、エッチング残渣除去の第1工程が施された後のウエハWに熱処理を施してウエハWに残存するエッチング残渣を気化して除去する。
この場合に、エッチング残渣除去の第1工程によりエッチング残渣のF成分が除去されているため、加熱処理によりブロックオキサイド膜のダメージを防止することができる。
<他の適用>
以上、本発明の実施の形態について説明したが、本発明は、上記実施形態に限定されることはなく、その要旨を逸脱しない範囲で種々変形可能である。
例えば、上記実施の形態では、3D−NAND型不揮発性半導体装置の製造過程におけるSiN膜除去後の、CVD−SiO膜の先端部に存在するシリカ系残渣物をエッチング除去する際に本発明を適用したが、SiO膜に形成されている塩基性成分を含むシリカ系残渣物を除去する場合であれば適用可能であり、SiO膜はCVD−SiO膜に限らず熱酸化膜であってもよい。また、本発明は、エッチングの形態によらず、CVDによるSiO膜に付着している塩基性成分およびF成分のエッチング残渣を除去する場合であれば適用可能である。さらに、本発明において、CVDによるSiO膜としては原子層堆積法(ALD)により成膜されたものも含まれる。また、上記実施形態では、CVD−SiO膜としてTEOS膜を例示したが、他のSiプリカーサを用いたSiO膜であってもよい。
また、上記処理システムや個別的な装置の構造についても例示に過ぎず、種々の構成のシステムや装置により本発明のエッチング方法を実現することができる。
10;CVD−SiO
14;ブロックオキサイド膜(SiO膜)
18;空洞
20;シリカ系残渣物
22;エッチング残渣
100;処理システム
104;熱処理装置
105;COR処理装置
W;ウエハ

Claims (18)

  1. SiO膜に形成された、塩基成分を含むシリカ系残渣物をエッチングするエッチング方法であって、
    前記シリカ系残渣物が形成された前記SiO膜を有する被処理基板にHFガスと、HOガスまたはアルコールガスとを供給してシリカ系残渣物を選択的にエッチングする第1段階と、
    前記第1段階の後、前記第1段階によるエッチング残渣を除去する第2段階と
    を有し、
    前記第2段階は、前記被処理基板にHOガスまたはアルコールガスを供給する第1工程と、前記第1工程後の被処理基板を加熱する第2工程と
    を有することを特徴とするエッチング方法。
  2. 前記塩基成分を含むシリカ系残渣物は、前記被処理基板に形成されていた窒化シリコン膜を熱リン酸によるウエットエッチングにより除去する際に形成されたものであることを特徴とする請求項1に記載のエッチング方法。
  3. 前記SiO膜は、CVDにより成膜されたCVD−SiO膜であることを特徴とする請求項1または請求項2に記載のエッチング方法。
  4. 前記被処理基板は、前記CVD−SiO膜を支持する、前記CVD−SiO膜より密度が低くCVDにより形成されたブロックSiO膜をさらに有し、前記CVD−SiO膜は、前記ブロックSiO膜から間隔をあけて複数延びていることを特徴とする請求項3に記載のエッチング方法。
  5. 前記第1段階は、前記被処理基板が配置されたチャンバー内に、HFガスと、HOガスまたはアルコールガスを供給することに行われ、前記第2段階の前記第1工程は、前記被処理基板を前記チャンバー内に配置したままの状態で、HFガスを停止し、HOガスまたはアルコールガスのみを前記チャンバーに供給することにより行われることを特徴とする請求項1から請求項4のいずれか1項に記載のエッチング方法。
  6. 前記第1段階は、圧力が133〜666Pa、温度が0〜30℃の条件で行われることを特徴とする請求項1から請求項5のいずれか1項に記載のエッチング方法。
  7. 前記第1段階は、HFガス+HOガスまたはアルコールガスの合計量に対するHOガスまたはアルコールガスの流量比率(体積比率)が10〜50%の範囲であることを特徴とする請求項1から請求項6のいずれか1項に記載のエッチング方法。
  8. 前記第2段階の前記第1工程は、HOガスまたはアルコールガスの流量が100〜1000sccmの範囲であることを特徴とする請求項1から請求項7のいずれか1項に記載のエッチング方法。
  9. 前記第2段階の前記第1工程は、10〜30℃の範囲の温度で行われることを特徴とする請求項1から請求項8のいずれか1項に記載のエッチング方法。
  10. 前記第2段階の前記第1工程は、時間が5〜60secの範囲であることを特徴とする請求項1から請求項9のいずれか1項に記載のエッチング方法。
  11. 前記第2段階の前記第2工程は、150〜230℃の範囲の温度で行われることを特徴とする請求項1から請求項10のいずれか1項に記載のエッチング方法。
  12. 前記第2段階の前記第2工程は、時間が30〜600secの範囲であることを特徴とする請求項1から請求項11のいずれか1項に記載のエッチング方法。
  13. 前記エッチング残渣はフッ素成分と塩基成分とを含み、前記第2段階の前記第1工程で前記エッチング残渣のうち前記フッ素成分を除去し、前記第2段階の前記第2工程で前記エッチング残渣の残部を除去することを特徴とする請求項1から請求項12のいずれか1項に記載のエッチング方法。
  14. SiO膜に付着したフッ素成分と塩基成分とを含むエッチング残渣を除去するエッチング残渣の除去方法であって、
    前記SiO膜を有する被処理基板にHOガスまたはアルコールガスを供給してフッ素成分を除去する第1工程と、
    前記第1工程後の被処理基板を加熱して、前記エッチング残渣の残部を除去する第2工程と
    を有することを特徴とする残渣除去方法。
  15. 前記第1工程は、10〜30℃の範囲の温度で行われることを特徴とする請求項14に記載の残渣除去方法。
  16. 前記第1工程は、時間が5〜60secの範囲であることを特徴とする請求項14または請求項15に記載の残渣除去方法。
  17. 前記第2工程は、150〜230℃の範囲の温度で行われることを特徴とする請求項14から請求項16のいずれか1項に記載の残渣除去方法。
  18. 前記第2工程は、時間が30〜600secの範囲であることを特徴とする請求項14から請求項17のいずれか1項に記載の残渣除去方法。
JP2017133039A 2017-07-06 2017-07-06 エッチング方法および残渣除去方法 Active JP6796559B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2017133039A JP6796559B2 (ja) 2017-07-06 2017-07-06 エッチング方法および残渣除去方法
TW107122749A TWI757516B (zh) 2017-07-06 2018-07-02 蝕刻方法及殘渣去除方法
US16/026,589 US10818506B2 (en) 2017-07-06 2018-07-03 Etching method and residue removal method
SG10201805798UA SG10201805798UA (en) 2017-07-06 2018-07-04 Etching method and residue removal method
KR1020180077691A KR102181910B1 (ko) 2017-07-06 2018-07-04 에칭 방법 및 잔사 제거 방법
CN201810731781.XA CN109216186B (zh) 2017-07-06 2018-07-05 蚀刻方法和残渣去除方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2017133039A JP6796559B2 (ja) 2017-07-06 2017-07-06 エッチング方法および残渣除去方法

Publications (2)

Publication Number Publication Date
JP2019016698A JP2019016698A (ja) 2019-01-31
JP6796559B2 true JP6796559B2 (ja) 2020-12-09

Family

ID=64902908

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017133039A Active JP6796559B2 (ja) 2017-07-06 2017-07-06 エッチング方法および残渣除去方法

Country Status (6)

Country Link
US (1) US10818506B2 (ja)
JP (1) JP6796559B2 (ja)
KR (1) KR102181910B1 (ja)
CN (1) CN109216186B (ja)
SG (1) SG10201805798UA (ja)
TW (1) TWI757516B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102700329B1 (ko) * 2021-07-19 2024-08-30 주식회사 히타치하이테크 반도체 제조 장치 및 반도체 제조 장치의 클리닝 방법

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10741495B2 (en) * 2018-01-18 2020-08-11 Globalfoundries Inc. Structure and method to reduce shorts and contact resistance in semiconductor devices
JP7160642B2 (ja) * 2018-11-16 2022-10-25 株式会社Screenホールディングス 基板処理方法、3次元メモリデバイスの製造方法および基板処理装置
JP7209556B2 (ja) * 2019-02-05 2023-01-20 東京エレクトロン株式会社 基板処理方法および基板処理装置
JP7193731B2 (ja) * 2019-03-29 2022-12-21 東京エレクトロン株式会社 エッチング方法及びエッチング装置
US10692730B1 (en) * 2019-08-30 2020-06-23 Mattson Technology, Inc. Silicon oxide selective dry etch process
JP7345334B2 (ja) * 2019-09-18 2023-09-15 東京エレクトロン株式会社 エッチング方法及び基板処理システム
JP7349861B2 (ja) * 2019-09-24 2023-09-25 東京エレクトロン株式会社 エッチング方法、ダメージ層の除去方法、および記憶媒体
JP7030858B2 (ja) * 2020-01-06 2022-03-07 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US11295758B2 (en) * 2020-03-20 2022-04-05 Seagate Technology Llc Trusted listening
WO2021205632A1 (ja) * 2020-04-10 2021-10-14 株式会社日立ハイテク エッチング方法
KR20220087623A (ko) * 2020-12-17 2022-06-27 삼성전자주식회사 기판 처리 장치
US20240191348A1 (en) * 2021-07-19 2024-06-13 Hitachi High-Tech Corporation Semiconductor manufacturing apparatus and cleaning method of semiconductor manufacturing apparatus
WO2024049699A1 (en) * 2022-08-31 2024-03-07 Lam Research Corporation Nitride thermal atomic layer etch

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6162370A (en) 1998-08-28 2000-12-19 Ashland Inc. Composition and method for selectively etching a silicon nitride film
JP4833512B2 (ja) 2003-06-24 2011-12-07 東京エレクトロン株式会社 被処理体処理装置、被処理体処理方法及び被処理体搬送方法
JP2005019787A (ja) 2003-06-27 2005-01-20 Sony Corp ウエハの洗浄方法
CN100449709C (zh) * 2005-02-14 2009-01-07 东京毅力科创株式会社 基板处理方法、清洗方法、电子设备的制造方法和程序
JP4476196B2 (ja) 2005-08-23 2010-06-09 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
JP2007266490A (ja) 2006-03-29 2007-10-11 Toshiba Corp 基板の処理方法および半導体装置の製造方法
US7718032B2 (en) * 2006-06-22 2010-05-18 Tokyo Electron Limited Dry non-plasma treatment system and method of using
JP5084250B2 (ja) 2006-12-26 2012-11-28 東京エレクトロン株式会社 ガス処理装置およびガス処理方法ならびに記憶媒体
TW200842971A (en) * 2007-04-18 2008-11-01 Philtech Inc Semiconductor device, its manufacturing method, dry etching method, method for manufacturing wiring material and dry etching device
CN101388341B (zh) * 2007-09-07 2011-07-27 应用材料股份有限公司 在hdp-cvd沉积/蚀刻/沉积工艺中的杂质控制
JP5374039B2 (ja) * 2007-12-27 2013-12-25 東京エレクトロン株式会社 基板処理方法、基板処理装置及び記憶媒体
JP5637212B2 (ja) * 2010-08-27 2014-12-10 東京エレクトロン株式会社 基板処理方法、パターン形成方法、半導体素子の製造方法、および半導体素子
KR102045851B1 (ko) 2012-08-27 2019-12-04 삼성전자주식회사 수직형 반도체 소자 및 그 제조 방법
JP6060460B2 (ja) * 2012-11-22 2017-01-18 アーゼット・エレクトロニック・マテリアルズ(ルクセンブルグ)ソシエテ・ア・レスポンサビリテ・リミテ シリカ質膜の形成方法及び同方法で形成されたシリカ質膜
JP2016025195A (ja) 2014-07-18 2016-02-08 東京エレクトロン株式会社 エッチング方法
JP6494226B2 (ja) * 2014-09-16 2019-04-03 東京エレクトロン株式会社 エッチング方法
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9349605B1 (en) * 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102700329B1 (ko) * 2021-07-19 2024-08-30 주식회사 히타치하이테크 반도체 제조 장치 및 반도체 제조 장치의 클리닝 방법

Also Published As

Publication number Publication date
TWI757516B (zh) 2022-03-11
US10818506B2 (en) 2020-10-27
SG10201805798UA (en) 2019-02-27
US20190013207A1 (en) 2019-01-10
CN109216186B (zh) 2023-08-18
KR20190005760A (ko) 2019-01-16
JP2019016698A (ja) 2019-01-31
KR102181910B1 (ko) 2020-11-23
CN109216186A (zh) 2019-01-15
TW201920749A (zh) 2019-06-01

Similar Documents

Publication Publication Date Title
JP6796559B2 (ja) エッチング方法および残渣除去方法
TWI648791B (zh) Etching method
CN110581067B (zh) 蚀刻方法及蚀刻装置
TWI675420B (zh) 蝕刻方法
KR102441239B1 (ko) 에칭 방법
KR101802580B1 (ko) 에칭 방법 및 기억 매체
TWI806835B (zh) 蝕刻方法及dram電容器之製造方法
TWI608536B (zh) Etching method and memory medium
JP6110848B2 (ja) ガス処理方法
TWI756425B (zh) 蝕刻方法
TW201941283A (zh) 蝕刻方法
WO2015186461A1 (ja) エッチング方法
JP2015073035A (ja) エッチング方法
JP7313201B2 (ja) エッチング方法およびエッチング装置
JP2022066687A (ja) エッチング方法およびエッチング装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200116

TRDD Decision of grant or rejection written
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20201015

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20201020

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20201116

R150 Certificate of patent or registration of utility model

Ref document number: 6796559

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250