KR20190005760A - 에칭 방법 및 잔사 제거 방법 - Google Patents

에칭 방법 및 잔사 제거 방법 Download PDF

Info

Publication number
KR20190005760A
KR20190005760A KR1020180077691A KR20180077691A KR20190005760A KR 20190005760 A KR20190005760 A KR 20190005760A KR 1020180077691 A KR1020180077691 A KR 1020180077691A KR 20180077691 A KR20180077691 A KR 20180077691A KR 20190005760 A KR20190005760 A KR 20190005760A
Authority
KR
South Korea
Prior art keywords
gas
etching
film
sio
residue
Prior art date
Application number
KR1020180077691A
Other languages
English (en)
Other versions
KR102181910B1 (ko
Inventor
노리유키 고바야시
토시노리 데바리
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20190005760A publication Critical patent/KR20190005760A/ko
Application granted granted Critical
Publication of KR102181910B1 publication Critical patent/KR102181910B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Robotics (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

본 발명은, 실리카계 잔사물을 에칭 후에 에칭 잔사 제거를 행해도, 잔존하고 있는 SiO2막에의 대미지가 발생하기 어려운 에칭 방법 및 잔사 제거 방법을 제공한다. SiO2막에 형성된, 염기 성분을 포함하는 실리카계 잔사물을 에칭하는 에칭 방법은, 실리카계 잔사물이 형성된 SiO2막을 갖는 피처리 기판에 HF 가스와, H2O 가스 또는 알코올 가스를 공급해서 실리카계 잔사물을 선택적으로 에칭하는 제1 단계와, 제1 단계 후, 제1 단계에 의한 에칭 잔사를 제거하는 제2 단계를 갖고, 제2 단계는, 피처리 기판에 H2O 가스 또는 알코올 가스를 공급하는 제1 공정과, 제1 공정 후의 피처리 기판을 가열하는 제2 공정을 갖는다.

Description

에칭 방법 및 잔사 제거 방법{ETCHING METHOD AND RESIDUE REMOVAL METHOD}
본 발명은, 산화 실리콘막을 화학적 에칭 처리하는 에칭 방법 및 잔사를 제거하는 잔사 제거 방법에 관한 것이다.
최근 들어, 반도체 디바이스의 제조 과정에서, 플라스마 에칭을 대신하는 미세화 에칭이 가능한 방법으로서, 챔버 내에서 플라스마를 생성하지 않고 화학적으로 에칭을 행하는 화학적 산화물 제거 처리(Chemical Oxide Removal; COR)라고 불리는 방법이 주목받고 있다.
COR로서는, 진공으로 유지된 챔버 내에서, 피처리 기판인 반도체 웨이퍼의 표면에 존재하는 실리콘 산화막(SiO2막)에, 불화수소(HF) 가스와 암모니아(NH3) 가스를 흡착시키고, 이들을 실리콘 산화막과 반응시켜 플루오로규산암모늄((NH4)2SiF6; AFS)을 생성시키고, 다음 공정에서 가열에 의해 이 플루오로규산암모늄을 승화시킴으로써, SiO2막을 에칭하는 프로세스가 알려져 있다(예를 들어, 특허문헌 1, 2 참조).
한편, 예를 들어 3D-NAND형 불휘발성 반도체 장치의 제조 과정에서는, 화학 증착법(CVD)에 의해 성막된 SiO2막과 질화실리콘막(SiN막)을 다층 적층한 후, SiN막을 열인산(H3PO4)을 사용해서 습식 에칭에 의해 제거하여, 텅스텐 전극을 형성하기 위한 공동을 형성하는 공정이 존재한다. 이때, SiN막을 제거한 후에, CVD에 의해 성막된 TEOS막으로 대표되는 SiO2막(CVD-SiO2막)의 선단부에 불순물(P, N, S, Si 등)을 포함하는 저밀도이고 다공성의 실리카(SiO2)계 잔사물이 석출된다.
이러한 SiO2계 잔사물을, 특허문헌 1, 2와 같이 HF 가스와 NH3 가스에 의해 제거하고자 하면, CVD-SiO2막도 에칭되어버린다. 이에 반해, 특허문헌 3에는, HF 가스 및 알코올 가스 또는 수증기에 의해, 상대적으로 밀도가 높은 열산화막에 대하여 상대적으로 밀도가 낮은 CVD-SiO2막을 고선택비로 에칭할 수 있는 기술이 개시되어 있으며, 이 기술을 이용하여, 동일한 SiO2막이라도 밀도가 낮은 실리카(SiO2)계 잔사물을, CVD-SiO2막에 대하여 선택적으로 제거하는 것을 생각할 수 있다.
일본 특허 공개 제2005-39185호 공보 일본 특허 공개 제2008-160000호 공보 일본 특허 공개 제2016-25195호 공보
그러나, 이 기술을 이용하여, CVD-SiO2막의 선단부에 존재하는 실리카계 잔사물을 제거하는 처리를 행한 후, 가열 처리에 의해 잔사 제거를 행하면, CVD-SiO2막의 안쪽에 존재하는 CVD-SiO2막보다도 밀도가 낮은 블록 옥시드막(블록 SiO2막)에 대미지가 발생해버리는 것으로 판명되었다.
따라서, 본 발명은, 실리카계 잔사물을 에칭 후에 에칭 잔사 제거를 행해도, 잔존하고 있는 SiO2막에의 대미지가 발생하기 어려운 에칭 방법 및 잔사 제거 방법을 제공하는 것을 목적으로 한다.
상기 과제를 해결하기 위해서, 본 발명의 제1 관점은, SiO2막에 형성된, 염기 성분을 포함하는 실리카계 잔사물을 에칭하는 에칭 방법이며, 상기 실리카계 잔사물이 형성된 상기 SiO2막을 갖는 피처리 기판에 HF 가스와, H2O 가스 또는 알코올 가스를 공급해서 실리카계 잔사물을 선택적으로 에칭하는 제1 단계와, 상기 제1 단계 후, 상기 제1 단계에 의한 에칭 잔사를 제거하는 제2 단계를 갖고, 상기 제2 단계는, 상기 피처리 기판에 H2O 가스 또는 알코올 가스를 공급하는 제1 공정과, 상기 제1 공정 후의 피처리 기판을 가열하는 제2 공정을 갖는 것을 특징으로 하는 에칭 방법을 제공한다.
상기 에칭 방법에 있어서, 상기 염기 성분을 포함하는 실리카계 잔사물은, 상기 피처리 기판에 형성되어 있던 질화실리콘막을 열인산에 의한 습식 에칭에 의해 제거할 때 형성된 것이어도 된다. 상기 SiO2막은, CVD에 의해 성막된 CVD-SiO2막이면 된다. 상기 피처리 기판은, 상기 CVD-SiO2막을 지지하는, 상기 CVD-SiO2막보다 밀도가 낮고 CVD에 의해 형성된 블록 SiO2막을 더 갖고, 상기 CVD-SiO2막은, 상기 블록 SiO2막으로부터 간격을 두고 복수 연장되어 있는 것이어도 된다.
상기 제1 단계는, 상기 피처리 기판이 배치된 챔버 내에, HF 가스와, H2O 가스 또는 알코올 가스를 공급함으로써 행하여지고, 상기 제2 단계의 상기 제1 공정은, 상기 피처리 기판을 상기 챔버 내에 배치한 상태에서, HF 가스를 정지하고, H2O 가스 또는 알코올 가스만을 상기 챔버에 공급함으로써 행하여지는 것이 바람직하다.
상기 제1 단계는, 압력이 133 내지 666Pa, 온도가 0 내지 30℃의 조건에서 행할 수 있다. 또한, 상기 제1 단계는, HF 가스+H2O 가스 또는 알코올 가스의 합계량에 대한 H2O 가스 또는 알코올 가스의 유량 비율(체적 비율)이 10 내지 50%의 범위로 할 수 있다.
상기 제2 단계의 상기 제1 공정은, H2O 가스 또는 알코올 가스의 유량을 100 내지 1000sccm의 범위로 할 수 있다. 상기 제2 단계의 상기 제1 공정은, 10 내지 30℃의 범위의 온도에서 행할 수 있다. 상기 제2 단계의 상기 제1 공정은, 시간을 5 내지 60sec의 범위로 할 수 있다.
상기 제2 단계의 상기 제2 공정은, 150 내지 230℃의 범위의 온도에서 행할 수 있다. 상기 제2 단계의 상기 제2 공정은, 시간을 30 내지 600sec의 범위로 할 수 있다.
본 발명의 제2 관점은, SiO2막에 부착된 불소 성분과 염기 성분을 포함하는 에칭 잔사를 제거하는 에칭 잔사의 제거 방법이며, 상기 SiO2막을 갖는 피처리 기판에 H2O 가스 또는 알코올 가스를 공급해서 불소 성분을 제거하는 제1 공정과, 상기 제1 공정 후의 피처리 기판을 가열하여, 상기 에칭 잔사의 잔부를 제거하는 제2 공정을 갖는 것을 특징으로 하는 잔사 제거 방법을 제공한다.
본 발명에 따르면, HF 가스와, H2O 가스 또는 알코올 가스를 공급해서 실리카계 잔사물을 선택적으로 에칭한 후, 에칭 잔사를 제거할 때, 피처리 기판에 H2O 가스 또는 알코올 가스를 공급하는 제1 공정과, 상기 제1 공정 후의 피처리 기판을 가열하는 제2 공정을 행하므로, 제1 공정에서 불소 성분이 제거되어, 그 후의 가열에 의해 피처리 기판에 잔존하는 SiO2막에의 대미지를 억제할 수 있다.
도 1은 3D-NAND형 불휘발성 반도체 장치의 제조 과정에서의 SiN막을 제거하기 전의 상태를 도시하는 단면도이다.
도 2는 도 1의 상태로부터 습식 에칭에 의해 SiN막을 에칭했을 때 CVD-SiO2막에 실리카계 잔사물이 성장한 상태를 모식적으로 도시하는 도이다.
도 3은 CVD-SiO2막에 형성된 실리카계 잔사물을 COR 처리에 의해 에칭했을 때의 에칭 잔사가 존재하는 상태를 모식적으로 도시하는 도이다.
도 4는 에칭 잔사를 가열 처리에 의해 제거했을 때의 블록 옥시드의 대미지를 도시하는 모식도이다.
도 5는 에칭 잔사의 성분을 설명하는 모식도이다.
도 6은 에칭 잔사 제거의 제1 공정에서, H2O 가스에 의해 블록 옥시드막의 표면에 결합하고 있던 F를 제거하는 메커니즘을 설명하는 도면이다.
도 7은 에칭 잔사 제거의 제1 공정에서의 H2O 가스 처리 후의 에칭 잔사의 상태를 도시하는 모식도이다.
도 8은 에칭 잔사 제거의 제2 공정의 가열 처리를 행한 후의 상태를 도시하는 모식도이다.
도 9는 본 발명의 일 실시 형태에 관한 에칭 방법에 사용하는 처리 시스템의 일례를 나타내는 개략 구성도이다.
도 10은 도 9의 처리 시스템에 탑재된 COR 처리 장치를 도시하는 단면도이다.
도 11은 도 9의 처리 시스템에 탑재된 열처리 장치를 도시하는 단면도이다.
이하, 도면을 참조하면서 본 발명의 실시 형태에 대해서 설명한다.
<에칭 방법>
우선, 본 발명의 일 실시 형태에 관한 에칭 방법에 대해서 설명한다.
*여기에서는, 3D-NAND형 불휘발성 반도체 장치의 제조 과정에서의 SiN막 제거 후의, CVD-SiO2막의 선단부에 존재하는 실리카계 잔사물을 에칭 제거하는 방법에 대해서 설명한다.
도 1은, 3D-NAND형 불휘발성 반도체 장치의 제조 과정에서의 SiN막을 제거하기 전의 상태를 도시하는 단면도이며, CVD-SiO2막(10)과 CVD-SiN막(12)이 교대로 적층된 구조를 갖고, 이들 막에 수직으로, 먼저 형성된 홈에 매립된 블록 옥시드막(블록 SiO2막)(14) 및 홈(16)이 형성되어 있다.
CVD-SiO2막으로서는, Si 전구체로서, 테트라에톡시실란(TEOS)을 사용해서 형성된 TEOS막을 사용할 수 있다. 또한, 블록 옥시드막(14)도 마찬가지로, CVD에 의해 성막된 SiO2막인데, CVD-SiO2막(10)보다도 불순물이 많고 저밀도이다.
이 상태에서, 열인산(H3PO4)을 사용해서 CVD-SiN막(12)을 습식 에칭에 의해 제거하여, 도 2에 도시한 바와 같이, 텅스텐 전극을 형성하기 위한 공동(18)을 형성한다.
이때, CVD-SiO2막(10)의 선단부에, 불순물(P, N, S, Si 등)을 포함하는 저밀도이고 다공성의 실리카(SiO2)계 잔사물(20)이 재성장한다. 본 실시 형태에서는, 이 실리카계 잔사물(20)을 COR 처리에 의해 에칭 제거한다.
CVD-SiO2막(10)과 실리카계 잔사물(20)은, 모두 SiO2를 주성분으로 하는 것인데, 이 에칭에 있어서는, 실리카계 잔사물(20)을 CVD-SiO2막(10)에 대하여 고선택비로 에칭할 필요가 있다. 실리카계 잔사물(20)은, CVD-SiO2막(10)보다도 저밀도이기 때문에, 상기 특허문헌 3에 기재된 방법에 기초하여, 실리카계 잔사물(20)을 CVD-SiO2막(10)에 대하여 고선택비로 에칭 제거할 수 있다.
즉, 본 실시 형태에서는, 도 2에 도시한 바와 같은 CVD-SiO2막(10)의 선단부에 실리카계 잔사물(20)이 형성된, 피처리 기판인 반도체 웨이퍼(이하, 간단히 웨이퍼라고도 기재함)를 챔버 내에 수용하고, HF 가스 및 H2O 가스(수증기) 또는 알코올 가스를 챔버 내에 도입해서 COR 처리를 행함으로써, 실리카계 잔사물(20)을 고선택비로 에칭한다. 이들 가스에, 또한 불활성 가스를 첨가해도 된다. 불활성 가스로서는, N2 가스나, Ar, He 등의 희가스를 사용할 수 있다.
H2O 가스 또는 알코올 가스는, 모두 OH기를 포함하여, 이 OH기가 HF 가스와 함께 에칭 작용을 미친다. 이때의 OH기의 작용은, 상대적으로 밀도가 높은 CVD-SiO2막(10)에 대해서보다도, 상대적으로 밀도가 낮은 실리카계 잔사물(20)에 대한 쪽이 더 크고, 따라서, 실리카계 잔사물(20)을 CVD-SiO2막(10)에 대하여 선택적으로 에칭할 수 있다.
또한, 블록 옥시드막(14)도 CVD로 성막되어 있기 때문에, 실리카계 잔사물(20)보다는 고밀도이며, 실리카계 잔사물(20)은 블록 옥시드막(14)에 대해서도 선택적으로 에칭할 수 있다.
이 에칭 시의 압력은, 133 내지 666Pa(1 내지 5Torr)의 범위인 것이 바람직하고, 266 내지 533Pa(2 내지 4Torr)의 범위인 것이 보다 바람직하다. 또한, 이 때의 웨이퍼 온도는, 10 내지 30℃의 범위가 바람직하다.
또한, HF 가스+H2O 가스 또는 알코올 가스의 합계량에 대한 H2O 가스 또는 알코올 가스의 유량 비율(체적 비율)은 10 내지 50%의 범위가 바람직하고, 15 내지 30%의 범위가 보다 바람직하다.
알코올 가스로서는, 메탄올(CH3OH), 에탄올(C2H5OH), 프로판올(C3H7OH), 부탄올(C4H9OH) 등의 1가의 알코올이 바람직하고, 이들 중에서는 에탄올이 바람직하다.
이러한 에칭 처리 후, 도 3에 도시한 바와 같이, 블록 옥시드막(14)의 표면에 에칭 잔사(22)가 발생하기 때문에, 이 에칭 잔사(22)를 제거한다.
이러한 종류의 에칭 잔사의 제거는, 일반적으로 웨이퍼를 가열함으로써 행하고 있다. 그러나, 본 예의 경우, 실리카계 잔사물(20)의 에칭 처리 후, 직접 가열 처리를 행하면, 도 4에 도시한 바와 같이, 주로 블록 옥시드막(14)의 표면에 오목부(24)가 발생하는 것으로 판명되었다.
이렇게 블록 옥시드막(14)의 표면에 오목부(24)가 발생하는 원인에 대해서 검토한 결과, 이하의 메커니즘이 상정되었다.
재성장한 실리카계 잔사물(20) 내에는 불순물로서 염기 성분, 예를 들어 NH3가 포함되어 있고, 실리카계 잔사물(20)의 에칭 처리 후, 그 NH3가 블록 옥시드막(14)의 표면에 잔존한다. 또한, HF 가스 및 H2O 가스 또는 알코올 가스에 의해 에칭 처리(COR 처리)를 행하면, 블록 옥시드막(14)의 표면에는 불소 성분(F 성분)이 잔존한다. 따라서, 도 5에 도시한 바와 같이, 에칭 잔사(22)에는, 염기 성분 및 F 성분이 포함되어 있게 된다. 이 상태에서 가열 처리를 행하면, SiO2로 이루어지는 블록 옥시드막(14)의 표면에서, 예를 들어 이하의 반응이 발생하여, 주로 블록 옥시드막(14)이 에칭된다. 또한, 이 영향에 의해, CVD-SiO2막(10)의 선단부도 부분적으로 에칭되는 경우도 있다.
SiO2+4HF+4NH3→ SiF4+2H2O+4NH3
그래서, 본 실시 형태에서는, HF 가스 및 H2O 가스 또는 알코올 가스를 사용한 COR 처리가 종료 후의 에칭 잔사의 제거 처리로서, H2O 가스 또는 알코올 가스를 공급하는 공정을 행한 후, 가열 처리 공정을 행한다. 이에 의해, 블록 옥시드막(14)의 에칭을 억제할 수 있다.
그 메커니즘에 대해서 도 6 및 도 7을 참조하여 설명한다.
도 6의 (a)에 도시하는 바와 같이, SiO2로 이루어지는 블록 옥시드막(14)의 표면의 Si에는, OH나 H 이외에 F가 결합하고 있어, 이 상태에서 예를 들어 H2O를 공급하면, 도 6의 (b)에 도시하는 바와 같이, F가 H2O와 반응하여, HF가 되어서 휘발하고, F가 결합하고 있던 Si의 결합손에는 OH가 결합한다. 이에 의해, 블록 옥시드막(14)의 표면의 에칭 잔사(22)는, 도 7에 도시하는 바와 같이, F 성분이 제거된 상태가 된다. 이 상태에서 가열 처리를 행해도, 블록 옥시드막(14)의 표면으로부터 F가 제거되어 있으므로, SiO2를 에칭하는 성분이 발생하지 않고 잔사 제거를 행할 수 있어, 블록 옥시드막(14)에의 대미지를 방지할 수 있다. 또한, CVD-SiO2막(10)에 F 성분이나 염기 성분이 존재하고 있어도, 마찬가지로 대미지를 방지할 수 있다. 또한, H2O 대신에 알코올을 사용해도 마찬가지의 메커니즘으로 블록 옥시드막(14)의 대미지를 방지할 수 있다.
잔사 제거 처리의 제1 공정인, H2O 가스 또는 알코올 가스를 공급하는 공정은, COR 처리를 행한 챔버 내에서, HF 가스의 공급을 정지하고, H2O 가스 또는 알코올 가스를 계속해서 흘림으로써 행할 수 있다. 이때, H2O 가스 또는 알코올 가스의 유량을 많게 함으로써 단시간에 블록 옥시드막(14)의 표면의 F를 제거할 수 있다. H2O 가스 또는 알코올 가스의 유량은 100 내지 1000sccm의 범위가 바람직하고, 처리 시간은 30 내지 600sec의 범위가 바람직하다. 또한, 압력 및 온도는, COR 처리와 마찬가지의 조건으로 할 수 있다.
잔사 제거 처리의 제2 공정인 가열 처리 공정은, N2 가스나 Ar 가스 등의 불활성 가스 분위기에서, 바람직하게는 150 내지 230℃의 범위에서 행하여진다. 이에 의해, 제1 공정에서는 제거되지 않은 잔사 성분, 예를 들어 NH3와 같은 염기 성분 등이 제거되어, 도 8에 도시하는 바와 같이, 블록 옥시드막(14)에 대미지를 끼치지 않고 에칭 잔사(22)를 제거할 수 있다. 이때의 제2 공정의 시간은, 30 내지 600sec의 범위가 바람직하다.
실제로, 상술한 바와 같이, COR 처리 후에 2단계의 잔사 제거를 행한 결과, SiO2막인 블록 옥시드막(14)이나 CVD-SiO2막(10)에 대미지를 발생시키지 않고, 에칭 잔사(22)가 제거되어 있는 것이 확인되었다.
<본 실시 형태의 에칭 방법에 사용하는 처리 시스템의 일례>
이어서, 본 실시 형태의 에칭 방법에 사용하는 처리 시스템의 일례에 대해서 설명한다.
도 9는, 본 실시 형태의 에칭 방법에 사용하는 처리 시스템의 일례를 나타내는 개략 구성도이다. 이 처리 시스템(100)은, 상술한 바와 같은, SiN막 제거 후의, CVD-SiO2막(10)의 선단부에 실리카계 잔사물(20)이 형성된 구조의 웨이퍼(W)를 반출입하는 반출입부(102)와, 반출입부(102)에 인접시켜 설치된 2개의 로드 로크실(103)과, 각 로드 로크실(103)에 각각 인접해서 설치된, 웨이퍼(W)에 대하여 열처리를 행하는 열처리 장치(104)와, 각 열처리 장치(104)에 각각 인접해서 설치된, 웨이퍼(W)에 대하여 에칭(COR 처리)을 행하는 COR 처리 장치(105)와, 제어부(106)를 구비하고 있다.
반출입부(102)는, 웨이퍼(W)를 반송하는 제1 웨이퍼 반송 기구(111)가 내부에 마련된 반송실(112)을 갖고 있다. 제1 웨이퍼 반송 기구(111)는, 웨이퍼(W)를 대략 수평으로 유지하는 2개의 반송 아암(111a, 111b)을 갖고 있다. 반송실(112)의 길이 방향의 측부에는, 적재대(113)가 설치되어 있고, 이 적재대(113)에는, FOUP 등의 복수매의 웨이퍼(W)를 수용하는 캐리어(C)가, 예를 들어 3개 접속할 수 있게 되어 있다. 또한, 반송실(112)에 인접하여, 웨이퍼(W)의 얼라인먼트를 행하는 얼라인먼트 챔버(114)가 마련되어 있다.
반출입부(102)에 있어서, 웨이퍼(W)는, 반송 아암(111a, 111b)에 의해 유지되고, 제1 웨이퍼 반송 기구(111)의 구동에 의해 대략 수평면 내에서 직진 이동, 또한 승강됨으로써, 원하는 위치에 반송된다. 그리고, 적재대(113) 상의 캐리어(C), 얼라인먼트 챔버(114), 로드 로크실(103)에 대하여 각각 반송 아암(111a, 111b)이 진퇴함으로써, 반출입되도록 되어 있다.
각 로드 로크실(103)은, 반송실(112)과의 사이에 각각 게이트 밸브(116)가 개재된 상태에서, 반송실(112)에 각각 연결되어 있다. 각 로드 로크실(103) 내에는, 웨이퍼(W)를 반송하는 제2 웨이퍼 반송 기구(117)가 설치되어 있다. 또한, 로드 로크실(103)은, 소정의 진공도까지 진공화 가능하게 구성되어 있다.
제2 웨이퍼 반송 기구(117)는, 다관절 아암 구조를 갖고 있으며, 웨이퍼(W)를 대략 수평으로 유지하는 피크를 갖고 있다. 이 제2 웨이퍼 반송 기구(117)에서는, 다관절 아암을 오므린 상태에서 피크가 로드 로크실(103) 내에 위치하고, 다관절 아암을 신장시킴으로써 피크가 열처리 장치(104)에 도달하고, 더 신장시킴으로써 COR 처리 장치(105)에 도달하는 것이 가능하게 되어 있어, 웨이퍼(W)를 로드 로크실(103), 열처리 장치(104) 및 COR 처리 장치(105) 사이에서 반송하는 것이 가능하게 되어 있다.
제어부(106)는, 전형적으로는 컴퓨터로 이루어지고, 처리 시스템(100)의 각 구성부를 제어하는 CPU를 갖는 주 제어부와, 입력 장치(키보드, 마우스 등), 출력 장치(프린터 등), 표시 장치(디스플레이 등), 기억 장치(기억 매체)를 갖고 있다. 제어부(106)의 주 제어부는, 예를 들어 기억 장치에 내장된 기억 매체 또는 기억 장치에 세트된 기억 매체에 기억된 처리 레시피에 기초하여, 처리 시스템(100)에 소정의 동작을 실행시킨다.
이러한 처리 시스템(100)에서는, 도 2에 도시한 바와 같은, SiN막 제거 후의, CVD-SiO2막(10)의 선단부에 실리카계 잔사물(20)이 형성된 구조의 웨이퍼(W)를 복수매 캐리어(C) 내에 수납해서 처리 시스템(100)으로 반송한다. 처리 시스템(100)에서는, 대기측의 게이트 밸브(116)를 개방한 상태에서 반출입부(102)의 캐리어(C)로부터 제1 웨이퍼 반송 기구(111)의 반송 아암(111a, 111b)의 어느 하나에 의해 웨이퍼(W)를 1매 로드 로크실(103)로 반송하고, 로드 로크실(103) 내의 제2 웨이퍼 반송 기구(117)의 피크에 전달한다.
그 후, 대기측의 게이트 밸브(116)를 폐쇄해서 로드 로크실(103) 내를 진공 배기하고, 계속해서 게이트 밸브(154)를 개방하여, 피크를 COR 처리 장치(105)까지 신장시켜 웨이퍼(W)를 COR 처리 장치(105)로 반송한다.
그 후, 피크를 로드 로크실(103)로 되돌리고, 게이트 밸브(154)를 폐쇄하고, COR 처리 장치(105)에서 상술한 COR 처리에 의해 실리카계 잔사를 제거한다. COR 처리가 종료된 후, COR 처리 장치(105)에 의해 상술한 잔사 제거의 제1 공정을 행한다.
잔사 제거의 제1 공정이 종료된 후, 게이트 밸브(122, 154)를 개방하고, 제2 웨이퍼 반송 기구(117)의 피크에 의해 에칭 처리 후의 웨이퍼(W)를 열처리 장치(104)로 반송하여, 잔사 제거의 제2 공정의 가열 처리를 행한다.
열처리 장치(104)에서의 가열 처리가 종료된 후, 제1 웨이퍼 반송 기구(111)의 반송 아암(111a, 111b)의 어느 하나에 의해 캐리어(C)로 되돌린다. 이에 의해, 1매의 웨이퍼 처리가 완료된다.
<COR 처리 장치>
이어서, 처리 시스템(100)에 탑재된 COR 처리 장치(105)의 일례에 대해서 상세하게 설명한다.
도 10은 COR 처리 장치(105)의 일례를 나타내는 단면도이다. 도 10에 도시하는 바와 같이, COR 처리 장치(105)는, 밀폐 구조의 챔버(140)를 구비하고 있고, 챔버(140)의 내부에는, 웨이퍼(W)를 대략 수평으로 한 상태에서 적재시키는 적재대(142)가 설치되어 있다. 또한, COR 처리 장치(105)는, 챔버(140)에 처리 가스를 공급하는 가스 공급 기구(143), 챔버(140) 내를 배기하는 배기 기구(144)를 구비하고 있다.
챔버(140)는, 챔버 본체(151)와 덮개부(152)에 의해 구성되어 있다. 챔버 본체(151)는, 대략 원통 형상의 측벽부(151a)와 저부(151b)를 갖고, 상부는 개구로 되어 있고, 이 개구가 덮개부(152)에 의해 닫힌다. 측벽부(151a)와 덮개부(152)는, 시일 부재(도시하지 않음)에 의해 밀폐되어, 챔버(140) 내의 기밀성이 확보된다.
덮개부(152)는, 외측을 구성하는 덮개 부재(155)와, 덮개 부재(155)의 내측에 끼워져, 적재대(142)에 면하도록 설치된 샤워 헤드(156)를 갖고 있다. 샤워 헤드(156)는 원통형을 이루는 측벽(157a)과 상부 벽(157b)을 갖는 본체(157)와, 본체(157)의 저부에 설치된 샤워 플레이트(158)를 갖고 있다. 본체(157)와 샤워 플레이트(158)의 사이에는 공간(159)이 형성되어 있다.
덮개 부재(155) 및 본체(157)의 상부 벽(157b)에는 공간(159)까지 관통해서 가스 도입로(161)가 형성되어 있고, 이 가스 도입로(161)에는 후술하는 가스 공급 기구(143)의 HF 가스 공급 배관(171)이 접속되어 있다.
샤워 플레이트(158)에는 복수의 가스 토출 구멍(162)이 형성되어 있어, 가스 공급 배관(171) 및 가스 도입로(161)를 거쳐서 공간(159)에 도입된 가스가 가스 토출 구멍(162)으로부터 챔버(140) 내의 공간으로 토출된다.
측벽부(151a)에는, 열처리 장치(104)와의 사이에서 웨이퍼(W)를 반출입하는 반출입구(153)가 마련되어 있고, 이 반출입구(153)는 게이트 밸브(154)에 의해 개폐 가능하게 되어 있다.
적재대(142)는, 평면에서 보아 대략 원형을 이루고 있고, 챔버(140)의 저부(151b)에 고정되어 있다. 적재대(142)의 내부에는, 적재대(142)의 온도를 조절하는 온도 조절기(165)가 설치되어 있다. 온도 조절기(165)는, 예를 들어 온도 조절용 매체(예를 들어 물 등)가 순환하는 관로를 구비하고 있고, 이러한 관로 내를 흐르는 온도 조절용 매체와 열교환이 행하여짐으로써, 적재대(142)의 온도가 조절되어, 적재대(142) 상의 웨이퍼(W)의 온도 제어가 이루어진다.
가스 공급 기구(143)는, HF 가스를 공급하는 HF 가스 공급원(175), H2O 가스를 공급하는 H2O 가스 공급원(176), 및 N2 가스나 Ar 가스 등의 불활성 가스를 공급하는 불활성 가스 공급원(177)을 갖고 있으며, 이들에는 각각 HF 가스 공급 배관(171), H2O 가스 공급 배관(172) 및 불활성 가스 공급 배관(173)의 일단이 접속되어 있다. HF 가스 공급 배관(171), H2O 가스 공급 배관(172) 및 불활성 가스 공급 배관(173)에는, 유로의 개폐 동작 및 유량 제어를 행하는 유량 제어기(179)가 설치되어 있다. 유량 제어기(179)는, 예를 들어 개폐 밸브 및 매스 플로우 컨트롤러에 의해 구성되어 있다. HF 가스 공급 배관(171)의 타단은, 상술한 바와 같이, 가스 도입로(161)에 접속되어 있다. 또한, H2O 가스 공급 배관(172) 및 불활성 가스 공급 배관(173)의 타단은 HF 가스 공급 배관(171)에 접속되어 있다.
이들 가스는, 샤워 헤드(156)에 공급되어, 샤워 헤드(156)의 가스 토출 구멍(162)으로부터 챔버(140) 내의 웨이퍼(W)를 향해서 토출된다.
또한, 상술한 바와 같이, H2O 가스 대신에 알코올 가스를 공급해도 된다. 또한, 불활성 가스는, 희석 가스 및 챔버(140) 내를 퍼지하는 퍼지 가스로서 사용된다. 처리 시에는, 희석 가스를 사용하지 않아도 된다.
배기 기구(144)는, 챔버(140)의 저부(151b)에 형성된 배기구(181)에 연결되는 배기 배관(182)을 갖고 있으며, 또한 배기 배관(182)에 설치된, 챔버(140) 내의 압력을 제어하기 위한 자동 압력 제어 밸브(APC)(183) 및 챔버(140) 내를 배기하기 위한 진공 펌프(184)를 갖고 있다.
챔버(140)의 측벽에는, 챔버(140) 내의 압력을 계측하기 위한 압력계로서 2개의 캐패시턴스 마노미터(186a, 186b)가, 챔버(140) 내에 삽입되도록 설치되어 있다. 캐패시턴스 마노미터(186a)는 고압력용, 캐패시턴스 마노미터(186b)는 저압력용으로 되어 있다. 적재대(142)에 적재된 웨이퍼(W)의 근방에는, 웨이퍼(W)의 온도를 검출하는 온도 센서(도시하지 않음)가 설치되어 있다.
이러한 COR 처리 장치(105)에서는, 상술한 바와 같은, SiN막 제거 후의, CVD-SiO2막(10)의 선단부에 실리카계 잔사물(20)이 형성된 구조의 웨이퍼(W)를 챔버(140) 내에 반입하여, 적재대(142)에 적재한다. 그리고, 챔버(140) 내의 압력을, 바람직하게는 133 내지 666Pa(1 내지 5Torr), 보다 바람직하게는 266 내지 533Pa(2 내지 4Torr)로 하고, 웨이퍼(W)의 온도를 바람직하게는 10 내지 30℃로 하고, HF 가스 및 H2O 가스를, 각각 바람직하게는 500 내지 1500sccm 및 100 내지 1000sccm의 유량으로 공급하고, 희석 가스인 불활성 가스를 0 내지 500sccm으로 해서 실리카계 잔사물을 에칭 제거한다.
실리카계 잔사물을 제거한 후, 웨이퍼(W)를 챔버(140) 내의 적재대(142) 상에 유지한 채, HF 가스를 정지하고, H2O 가스를 100 내지 1000sccm, 희석 가스인 불활성 가스를 0 내지 500sccm으로 하고, 바람직하게는 30 내지 600sec 동안에, 실리카계 잔사물을 에칭 제거한 후의 에칭 잔사 제거의 제1 공정을 실시한다. 이에 의해 에칭 잔사 중 F 성분이 제거된다.
<열처리 장치>
이어서, 처리 시스템(100)에 탑재된 열처리 장치(104)의 일례에 대해서 상세하게 설명한다.
도 11은 열처리 장치(104)의 일례를 나타내는 단면도이다. 도 11에 도시한 바와 같이, 열처리 장치(104)는, 진공화 가능한 챔버(120)와, 그 안에서 웨이퍼(W)를 적재하는 적재대(123)를 갖고, 적재대(123)에는 히터(124)가 매설되어 있어, 이 히터(124)에 의해 에칭 잔사 제거의 제1 공정이 실시된 후의 웨이퍼(W)를 가열해서 웨이퍼(W)에 잔존하는 에칭 잔사를 기화해서 제거한다.
챔버(120)의 로드 로크실(103)측에는, 로드 로크실(103)과의 사이에서 웨이퍼를 반송하는 반출입구(120a)가 마련되어 있고, 이 반출입구(120a)는 게이트 밸브(122)에 의해 개폐 가능하게 되어 있다. 또한, 챔버(120)의 COR 처리 장치(105)측에는 COR 처리 장치(105)와의 사이에서 웨이퍼(W)를 반송하는 반출입구(120b)가 마련되어 있고, 이 반출입구(120b)는 게이트 밸브(154)에 의해 개폐 가능하게 되어 있다.
챔버(120)의 측벽 상부에는 가스 공급로(125)가 접속되고, 가스 공급로(125)는 불활성 가스로서의 N2 가스를 공급하는 N2 가스 공급원(130)에 접속되어 있다. 또한, 챔버(120)의 저벽에는 배기로(127)가 접속되고, 배기로(127)는 진공 펌프(133)에 접속되어 있다. 가스 공급로(125)에는 유량 조절 밸브(131)가 설치되어 있고, 배기로(127)에는 압력 조정 밸브(132)가 설치되어 있고, 이들 밸브를 조정함으로써, 챔버(120) 내를 소정 압력의 N2 가스 분위기로 해서 열처리가 행하여진다. Ar 가스 등, N2 가스 이외의 불활성 가스를 사용해도 된다.
이러한 열처리 장치(104)에서는, COR 처리 장치(105)에서의 에칭 잔사 제거의 제1 공정이 실시된 웨이퍼(W)를 챔버(120) 내로 반입하고, 적재대(123)에 적재한다. 그리고, N2 가스를 소정 유량으로 공급하면서, 챔버(120) 내의 압력을, 바람직하게는 133 내지 666Pa(1 내지 5Torr)로 하고, 히터(124)에 의해 웨이퍼(W) 온도를 바람직하게는 150 내지 230℃로 해서, 에칭 잔사 제거의 제1 공정이 실시된 후의 웨이퍼(W)에 열처리를 실시해서 웨이퍼(W)에 잔존하는 에칭 잔사를 기화해서 제거한다.
이 경우에, 에칭 잔사 제거의 제1 공정에 의해 에칭 잔사의 F 성분이 제거되어 있기 때문에, 가열 처리에 의해 블록 옥시드막의 대미지를 방지할 수 있다.
<다른 적용>
이상, 본 발명의 실시 형태에 대해서 설명했지만, 본 발명은 상기 실시 형태에 한정되지 않고, 그 요지를 일탈하지 않는 범위에서 다양하게 변형 가능하다.
예를 들어, 상기 실시 형태에서는, 3D-NAND형 불휘발성 반도체 장치의 제조 과정에서의 SiN막 제거 후의, CVD-SiO2막의 선단부에 존재하는 실리카계 잔사물을 에칭 제거할 때 본 발명을 적용했지만, SiO2막에 형성되어 있는 염기성 성분을 포함하는 실리카계 잔사물을 제거하는 경우라면 적용 가능하며, SiO2막은 CVD-SiO2막에 한하지 않고 열산화막이어도 된다. 또한, 본 발명은 에칭의 형태에 상관없이, CVD에 의한 SiO2막에 부착되어 있는 염기성 성분 및 F 성분의 에칭 잔사를 제거하는 경우라면 적용 가능하다. 또한, 본 발명에서, CVD에 의한 SiO2막으로서는 원자층 퇴적법(ALD)에 의해 성막된 것도 포함된다. 또한, 상기 실시 형태에서는, CVD-SiO2막으로서 TEOS막을 예시했지만, 다른 Si 전구체를 사용한 SiO2막이어도 된다.
또한, 상기 처리 시스템이나 개별적인 장치의 구조에 대해서도 예시에 지나지 않고, 다양한 구성의 시스템이나 장치에 의해 본 발명의 에칭 방법을 실현할 수 있다.
10; CVD-SiO2막 14; 블록 옥시드막(SiO2막)
18; 공동 20; 실리카계 잔사물
22; 에칭 잔사 100; 처리 시스템
104; 열처리 장치 105; COR 처리 장치
W; 웨이퍼

Claims (18)

  1. SiO2막에 형성된, 염기 성분을 포함하는 실리카계 잔사물을 에칭하는 에칭 방법이며,
    상기 실리카계 잔사물이 형성된 상기 SiO2막을 갖는 피처리 기판에 HF 가스와, H2O 가스 또는 알코올 가스를 공급해서 실리카계 잔사물을 선택적으로 에칭하는 제1 단계와,
    상기 제1 단계 후, 상기 제1 단계에 의한 에칭 잔사를 제거하는 제2 단계
    를 포함하고,
    상기 제2 단계는, 상기 피처리 기판에 H2O 가스 또는 알코올 가스를 공급하는 제1 공정과, 상기 제1 공정 후의 피처리 기판을 가열하는 제2 공정
    을 포함하는 에칭 방법.
  2. 제1항에 있어서,
    상기 염기 성분을 포함하는 실리카계 잔사물은, 상기 피처리 기판에 형성되어 있던 질화 실리콘막을 열인산에 의한 습식 에칭에 의해 제거할 때 형성된 것인 에칭 방법.
  3. 제1항 또는 제2항에 있어서,
    상기 SiO2막은, CVD에 의해 성막된 CVD-SiO2막인 에칭 방법.
  4. 제3항에 있어서,
    상기 피처리 기판은, 상기 CVD-SiO2막을 지지하는, 상기 CVD-SiO2막보다 밀도가 낮고 CVD에 의해 형성된 블록 SiO2막을 더 갖고, 상기 CVD-SiO2막은, 상기 블록 SiO2막으로부터 간격을 두고 복수 연장되어 있는 에칭 방법.
  5. 제1항 또는 제2항에 있어서,
    상기 제1 단계는, 상기 피처리 기판이 배치된 챔버 내에, HF 가스와, H2O 가스 또는 알코올 가스를 공급함으로써 행하여지고, 상기 제2 단계의 상기 제1 공정은, 상기 피처리 기판을 상기 챔버 내에 배치한 상태에서, HF 가스를 정지하고, H2O 가스 또는 알코올 가스만을 상기 챔버에 공급함으로써 행하여지는 에칭 방법.
  6. 제1항 또는 제2항에 있어서,
    상기 제1 단계는, 압력이 133 내지 666Pa, 온도가 0 내지 30℃의 조건에서 행하여지는 에칭 방법.
  7. 제1항 또는 제2항에 있어서,
    상기 제1 단계는, HF 가스+H2O 가스 또는 알코올 가스의 합계량에 대한 H2O 가스 또는 알코올 가스의 유량 비율(체적 비율)이 10 내지 50%의 범위인 에칭 방법.
  8. 제1항 또는 제2항에 있어서,
    상기 제2 단계의 상기 제1 공정은, H2O 가스 또는 알코올 가스의 유량이 100 내지 1000sccm의 범위인 에칭 방법.
  9. 제1항 또는 제2항에 있어서,
    상기 제2 단계의 상기 제1 공정은, 10 내지 30℃의 범위의 온도에서 행하여지는 에칭 방법.
  10. 제1항 또는 제2항에 있어서,
    상기 제2 단계의 상기 제1 공정은, 시간이 5 내지 60sec의 범위인 에칭 방법.
  11. 제1항 또는 제2항에 있어서,
    상기 제2 단계의 상기 제2 공정은, 150 내지 230℃의 범위의 온도에서 행하여지는 에칭 방법.
  12. 제1항 또는 제2항에 있어서,
    상기 제2 단계의 상기 제2 공정은, 시간이 30 내지 600sec의 범위인 에칭 방법.
  13. 제1항 또는 제2항에 있어서,
    상기 에칭 잔사는 불소 성분과 염기 성분을 포함하고, 상기 제2 단계의 상기 제1 공정에서 상기 에칭 잔사 중 상기 불소 성분을 제거하고, 상기 제2 단계의 상기 제2 공정에서 상기 에칭 잔사의 잔부를 제거하는 에칭 방법.
  14. SiO2막에 부착된 불소 성분과 염기 성분을 포함하는 에칭 잔사를 제거하는 에칭 잔사의 제거 방법이며,
    상기 SiO2막을 갖는 피처리 기판에 H2O 가스 또는 알코올 가스를 공급해서 불소 성분을 제거하는 제1 공정과,
    상기 제1 공정 후의 피처리 기판을 가열하여, 상기 에칭 잔사의 잔부를 제거하는 제2 공정
    을 포함하는 잔사 제거 방법.
  15. 제14항에 있어서,
    상기 제1 공정은, 10 내지 30℃의 범위의 온도에서 행하여지는 잔사 제거 방법.
  16. 제14항 또는 제15항에 있어서,
    상기 제1 공정은, 시간이 5 내지 60sec의 범위인 잔사 제거 방법.
  17. 제14항 또는 제15항에 있어서,
    상기 제2 공정은, 150 내지 230℃의 범위의 온도에서 행하여지는 잔사 제거 방법.
  18. 제14항 또는 제15항에 있어서,
    상기 제2 공정은, 시간이 30 내지 600sec의 범위인 잔사 제거 방법.
KR1020180077691A 2017-07-06 2018-07-04 에칭 방법 및 잔사 제거 방법 KR102181910B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2017-133039 2017-07-06
JP2017133039A JP6796559B2 (ja) 2017-07-06 2017-07-06 エッチング方法および残渣除去方法

Publications (2)

Publication Number Publication Date
KR20190005760A true KR20190005760A (ko) 2019-01-16
KR102181910B1 KR102181910B1 (ko) 2020-11-23

Family

ID=64902908

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180077691A KR102181910B1 (ko) 2017-07-06 2018-07-04 에칭 방법 및 잔사 제거 방법

Country Status (6)

Country Link
US (1) US10818506B2 (ko)
JP (1) JP6796559B2 (ko)
KR (1) KR102181910B1 (ko)
CN (1) CN109216186B (ko)
SG (1) SG10201805798UA (ko)
TW (1) TWI757516B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210035740A (ko) * 2019-09-24 2021-04-01 도쿄엘렉트론가부시키가이샤 에칭 방법, 대미지층의 제거 방법, 및 기억 매체
KR20210126542A (ko) * 2020-04-10 2021-10-20 주식회사 히타치하이테크 에칭 방법

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10741495B2 (en) * 2018-01-18 2020-08-11 Globalfoundries Inc. Structure and method to reduce shorts and contact resistance in semiconductor devices
JP7160642B2 (ja) * 2018-11-16 2022-10-25 株式会社Screenホールディングス 基板処理方法、3次元メモリデバイスの製造方法および基板処理装置
JP7193731B2 (ja) * 2019-03-29 2022-12-21 東京エレクトロン株式会社 エッチング方法及びエッチング装置
US10692730B1 (en) * 2019-08-30 2020-06-23 Mattson Technology, Inc. Silicon oxide selective dry etch process
JP7030858B2 (ja) * 2020-01-06 2022-03-07 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US11295758B2 (en) * 2020-03-20 2022-04-05 Seagate Technology Llc Trusted listening
KR20220087623A (ko) * 2020-12-17 2022-06-27 삼성전자주식회사 기판 처리 장치
CN116157899A (zh) * 2021-07-19 2023-05-23 株式会社日立高新技术 半导体制造装置以及半导体制造装置的清洁方法
WO2024049699A1 (en) * 2022-08-31 2024-03-07 Lam Research Corporation Nitride thermal atomic layer etch

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005019787A (ja) * 2003-06-27 2005-01-20 Sony Corp ウエハの洗浄方法
JP2005039185A (ja) 2003-06-24 2005-02-10 Tokyo Electron Ltd 被処理体処理装置、その被処理体処理方法、圧力制御方法、被処理体搬送方法、及び搬送装置
JP2008160000A (ja) 2006-12-26 2008-07-10 Tokyo Electron Ltd ガス処理装置およびガス処理方法ならびに記憶媒体
KR20140027862A (ko) * 2012-08-27 2014-03-07 삼성전자주식회사 수직형 반도체 소자 및 그 제조 방법
JP2016025195A (ja) 2014-07-18 2016-02-08 東京エレクトロン株式会社 エッチング方法
KR20160084313A (ko) * 2015-01-05 2016-07-13 램 리써치 코포레이션 실리콘 옥사이드 및 게르마늄 옥사이드에 대한 등방성 원자층 에칭

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6162370A (en) 1998-08-28 2000-12-19 Ashland Inc. Composition and method for selectively etching a silicon nitride film
CN100449709C (zh) * 2005-02-14 2009-01-07 东京毅力科创株式会社 基板处理方法、清洗方法、电子设备的制造方法和程序
JP4476196B2 (ja) 2005-08-23 2010-06-09 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
JP2007266490A (ja) 2006-03-29 2007-10-11 Toshiba Corp 基板の処理方法および半導体装置の製造方法
US7718032B2 (en) * 2006-06-22 2010-05-18 Tokyo Electron Limited Dry non-plasma treatment system and method of using
TW200842971A (en) * 2007-04-18 2008-11-01 Philtech Inc Semiconductor device, its manufacturing method, dry etching method, method for manufacturing wiring material and dry etching device
CN101388341B (zh) * 2007-09-07 2011-07-27 应用材料股份有限公司 在hdp-cvd沉积/蚀刻/沉积工艺中的杂质控制
JP5374039B2 (ja) * 2007-12-27 2013-12-25 東京エレクトロン株式会社 基板処理方法、基板処理装置及び記憶媒体
US9117764B2 (en) * 2010-08-27 2015-08-25 Tokyo Electron Limited Etching method, substrate processing method, pattern forming method, method for manufacturing semiconductor element, and semiconductor element
JP6060460B2 (ja) * 2012-11-22 2017-01-18 アーゼット・エレクトロニック・マテリアルズ(ルクセンブルグ)ソシエテ・ア・レスポンサビリテ・リミテ シリカ質膜の形成方法及び同方法で形成されたシリカ質膜
JP6494226B2 (ja) * 2014-09-16 2019-04-03 東京エレクトロン株式会社 エッチング方法
US9349605B1 (en) * 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005039185A (ja) 2003-06-24 2005-02-10 Tokyo Electron Ltd 被処理体処理装置、その被処理体処理方法、圧力制御方法、被処理体搬送方法、及び搬送装置
JP2005019787A (ja) * 2003-06-27 2005-01-20 Sony Corp ウエハの洗浄方法
JP2008160000A (ja) 2006-12-26 2008-07-10 Tokyo Electron Ltd ガス処理装置およびガス処理方法ならびに記憶媒体
KR20140027862A (ko) * 2012-08-27 2014-03-07 삼성전자주식회사 수직형 반도체 소자 및 그 제조 방법
JP2016025195A (ja) 2014-07-18 2016-02-08 東京エレクトロン株式会社 エッチング方法
KR20160084313A (ko) * 2015-01-05 2016-07-13 램 리써치 코포레이션 실리콘 옥사이드 및 게르마늄 옥사이드에 대한 등방성 원자층 에칭

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210035740A (ko) * 2019-09-24 2021-04-01 도쿄엘렉트론가부시키가이샤 에칭 방법, 대미지층의 제거 방법, 및 기억 매체
KR20210126542A (ko) * 2020-04-10 2021-10-20 주식회사 히타치하이테크 에칭 방법

Also Published As

Publication number Publication date
CN109216186B (zh) 2023-08-18
TWI757516B (zh) 2022-03-11
SG10201805798UA (en) 2019-02-27
CN109216186A (zh) 2019-01-15
JP2019016698A (ja) 2019-01-31
KR102181910B1 (ko) 2020-11-23
JP6796559B2 (ja) 2020-12-09
US10818506B2 (en) 2020-10-27
US20190013207A1 (en) 2019-01-10
TW201920749A (zh) 2019-06-01

Similar Documents

Publication Publication Date Title
KR102181910B1 (ko) 에칭 방법 및 잔사 제거 방법
KR101790406B1 (ko) 에칭 방법 및 기억 매체
CN110581067B (zh) 蚀刻方法及蚀刻装置
KR101802595B1 (ko) 에칭 방법 및 기억 매체
KR102441239B1 (ko) 에칭 방법
KR101802580B1 (ko) 에칭 방법 및 기억 매체
US20200098575A1 (en) Etching Method, Etching Apparatus, and Storage Medium
KR101867194B1 (ko) 에칭 장치, 에칭 방법 및 기판 적재 기구
TWI608536B (zh) Etching method and memory medium
KR101707295B1 (ko) 산화물 에칭 방법
TW201824387A (zh) 蝕刻方法及dram電容器之製造方法
TWI756425B (zh) 蝕刻方法
WO2015186461A1 (ja) エッチング方法
TW202113966A (zh) 蝕刻方法及蝕刻裝置
US20240006187A1 (en) Etching method and etching apparatus

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant