TW201700778A - 用於矽與鍺氧化物之同向性原子層蝕刻 - Google Patents

用於矽與鍺氧化物之同向性原子層蝕刻 Download PDF

Info

Publication number
TW201700778A
TW201700778A TW104143047A TW104143047A TW201700778A TW 201700778 A TW201700778 A TW 201700778A TW 104143047 A TW104143047 A TW 104143047A TW 104143047 A TW104143047 A TW 104143047A TW 201700778 A TW201700778 A TW 201700778A
Authority
TW
Taiwan
Prior art keywords
substrate
oxide
water
hydrogen
layer
Prior art date
Application number
TW104143047A
Other languages
English (en)
Other versions
TWI683925B (zh
Inventor
托爾斯滕 立爾
伊凡 L 貝里三世
美華 沈
亞倫 M 休普
大衛 J 韓克爾
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201700778A publication Critical patent/TW201700778A/zh
Application granted granted Critical
Publication of TWI683925B publication Critical patent/TWI683925B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02343Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Silicon Compounds (AREA)
  • Weting (AREA)

Abstract

本說明書提供用於在具有原子尺度之保真度之情況下受控制地同向性蝕刻矽氧化物層及鍺氧化物層的方法。該方法在強調移除無水之HF與氧化物之活化表面的反應中所產生之水的情況下利用該反應。在某些實施例中,首先藉由吸附含OH之物種(例如醇類)或藉由使用含氫電漿來形成OH鍵而使氧化物表面改質。接著利用分別導入的無水HF來蝕刻該活化之氧化物,而隨著該反應繼續進行、或在該反應期間的任何時間點、或在該反應之後,將該反應中所產生的水自基板表面移除。該等方法可用於內連線預潔淨應用、閘極介電層處理、記憶體裝置生產、或需要準確移除一或多個原子層之材料的任何其他應用中。

Description

用於矽與鍺氧化物之同向性原子層蝕刻
本發明關於移除基板上之材料層的方法。該等方法對於於半導體基板上在具有原子尺度保真度的情況下準確地同向性移除二氧化矽與二氧化鍺尤其有效。
微型化之現代層級的積體電路(IC, integrated circuit)裝置之製造需要可在原子尺度下操作的技術。IC裝置的某些元件目前具有數十埃的尺寸,對應僅少許原子層之材料。例如,現代IC電晶體中的閘極介電層可具有僅12 Å的厚度,對應僅四個原子層的二氧化矽。藉由改變該等元件的尺寸來微調其電特性往往為樂見的,此可能涉及沉積或移除僅少許原子層的材料。儘管已發展原子層沉積(ALD, atomic layer deposition)及在原子尺度下的磊晶成長技術,然而用於受控制地移除一或數個原子層的該等方法仍有限。
矽氧化物、二氧化矽、及其摻碳、摻硼、及摻磷的變異體為用於IC裝置中之重要的介電質材料。矽氧化物作為主體介電層中的絕緣體、作為電晶體中的閘極介電層、及作為記憶體裝置(例如動態隨機存取記憶體(DRAM, dynamic random access memory))中的電容器介電層。當部分製造的晶圓暴露於空氣,矽氧化物亦會非刻意地形成在矽層上。此類型之矽氧化物(稱為自然氧化物(native oxide))在矽層上形成薄膜。自然氧化物膜連同在蝕刻及/或灰化期間產生之氧化物殘餘物經常引起進一步處理步驟的問題。當自然氧化物及其他氧化物在座落於矽之介層窗或接觸孔的底部中形成,其為高度不樂見的,此係由於在介層窗被導電材料所填充後,自然氧化物及其他氧化物會提高介層窗的整體電阻。
存在有描述矽氧化物之氣相蝕刻的大量文獻。此等製程實質上大多數為電漿製程,其中將反應物氣體導入位於製程腔室內的電漿源中以產生離子態及亞穩態的物種,其會與矽氧化物表面反應並形成揮發性蝕刻副產物。在此等製程的大部分中,藉由反應物氣體之流率及成分、電漿功率、基板溫度、或腔室壓力來控制矽氧化物的蝕刻速率。矽氧化物的蝕刻速率隨時間幾乎為恆定;就此而言,該等類型的製程並非充分適於準確控制欲於基板之整個暴露表面上均勻並精準地蝕刻之層的量。此等製程無法適用於移除原子尺度上限定量之材料。
因此,存在有用於在具有原子尺度均勻性及低缺陷率的情形下移除原子尺度上限定量之材料的可靠方法之需求。
可在用於生產積體電路的製程中使用本說明書中所提供之方法,例如用於內連線及接點之預潔淨應用、閘極介電層處理、記憶體及邏輯裝置生產、或需要準確移除一或數個原子層之材料的任何其他應用中。此處提供同向性移除受控制量的矽氧化物、及鍺氧化物、以及矽氧化物與鍺氧化物之混合物的製程。
在一態樣中,提供用於可控制地蝕刻基板上之氧化物之層的方法,其中該氧化物係選自由矽氧化物、鍺氧化物、及矽氧化物與鍺氧化物之組合所構成的群組,其中用語「氧化物」包含未摻雜及摻雜之氧化物,例如以硼、碳、或磷來摻雜的矽及鍺之氧化物。在若干實施例中,該蝕刻方法在具有原子尺度保真度的情況下,於裸露之矽存在時,可控制地且選擇性地移除此等氧化物。該方法包含:(a)使容置於製程腔室中的該基板與活性含氫物種接觸,以使該基板上之該氧化物之表面改質,其中該活性含氫物種係為含有一或更多OH基的化合物、或氫電漿中所產生的含氫物種;(b)在該氧化物之表面經改質後,將未表面鍵結之活性氫物種自該製程腔室移除;(c)在操作(b)之後,使無水HF流入該製程腔室中,其中該無水HF與該氧化物之經改質的表面反應,且其中該反應產生水;及(d)將操作(c)中所產生之水自該基板之表面移除。在若干實施例中,在操作(a)中該氧化物之表面的改質為該活性含氫物種在該氧化物表面上的吸附作用。在若干實施例中,操作(a)-(d)的單一循環移除約0.5個-10個原子層的氧化物(指涉被移除之平均厚度)。在若干實施例中,重複該循環,且在蝕刻期間操作(a)-(d)至少執行兩循環。
在若干實施例中,在當HF反應中產生水時不容許水保持吸附於該基板表面之溫度及壓力下,同時執行該HF反應及將水自該基板表面移除。在若干實施例中,在至少約80°C的單一溫度下執行操作(a)-(d),例如約100 °C。
在若干實施例中,該活性含氫物種為醇類,該醇類在當水自氧化物之表面脫附時的條件下能夠吸附於或保持吸附於該氧化物之表面。此類醇類的範例包含具有低於水之蒸氣壓的蒸氣壓之醇類、或具有實質上不高於水之蒸氣壓的蒸氣壓之醇類,例如異丙醇、正丙醇、正丁醇、三級丁醇、乙二醇、丙二醇等。在若干實施例中,於不容許該等醇類自該基板之表面脫附的溫度及壓力下操作(a)-(d)。
在其他實施例中,該活性含氫物種係由含氫電漿所形成,且藉由在當水自該氧化物表面脫附時的條件下使該基板與含氫電漿接觸,而在該氧化物之表面上形成羥(OH)鍵。該含氫電漿係由包括含氫氣體(例如H2 、氨、聯氨、水、氟化氫、氯化氫、矽烷、二矽烷、甲烷、乙烷、丁烷、及其組合)之氣體所形成。可選擇地,可將第二氣體加入至包含該含氫氣體的該氣體。第二氣體之範例包含氧、一氧化二氮、一氧化氮、二氧化碳、一氧化碳、及其組合。可選擇地,可將惰性氣體(例如氦、氖、氬、或其組合)加入至包含該含氫氣體的該氣體。
在若干實施例中,該活性含氫物種係選自由丙醇、丁醇、丁氧基乙醇、丁二醇、乙二醇、甲二醇、丙二醇、戊醇、羧酸、及其組合所構成之群組。在一特定實施中,使用丁醇。該醇類(例如丁醇)吸附於該基板上,且接著在移除水的同時執行HF處理。
在若干實施例中,該活性含氫物種係選自由甲醇、乙醇、丙醇、丁醇、丁氧基乙醇、乙二醇、甲二醇、丙二醇、戊醇、及其組合所構成之群組,且在相同溫度下執行操作(a) – (d)。在此實施例中,該製程較佳安排成當該反應中產生水時可將水自氧化物表面移除。
在若干實施例中,該活性含氫物種之特徵為針對選定之條件下低於或實質上不高於水之蒸氣壓相同的蒸氣壓,其中在相同溫度下執行操作(a) – (d),且其中當該反應中產生水時將水自氧化物之表面移除。選定該溫度以在完成該反應前使該活性含氫物種不脫附,同時水自該表面脫附。在此實施例中,移除之氧化物量係由步驟(a)中表面改質的量所決定,且可導入過量的HF。
在其他實施例中,該活性含氫物種為甲醇、乙醇、水、水-醇共沸物、或具有實質上高於水的蒸氣壓之蒸氣壓的含OH物種。在操作(a)中,甲醇(或上列物種其中一者)吸附至氧化物之表面,且該製程係安排成可在操作(c)中提供受控制、受限制之量的無水HF。在此實施例中,蝕刻之氧化物的量係受到導入之HF的量所控制。
在若干實施例中,該活性含氫物種為甲醇或乙醇,其在操作(a)中於第一溫度下吸附至氧化物之表面,且其中在操作(d)中藉由將該基板之溫度升高至高於第一溫度的第二溫度而將水移除。在此方法的若干實施中,在將水移除之前使該無水HF停止流入該製程腔室。
在若干實施例中,藉由選自由下列方法所構成之群組的方法而移除水:(i)升高該基板之溫度、(ii)降低該製程腔室中之壓力、(iii)利用電漿處理該基板、(iv)利用電子束處理該基板、(v)利用電磁輻射照射該基板、及此等方法之組合。
在本發明之替代態樣中,用於可控制地蝕刻基板上之氧化物之層的方法係以使無水HF吸附至基板而開始。類似於該等上述方法,此方法可用以蝕刻矽氧化物、鍺氧化物、及此等氧化物之組合。在若干實施例中,該蝕刻方法在裸露之矽存在的情況下可控制地且選擇性地移除此等氧化物。該方法包含:(a)使容置於製程腔室中的該基板與無水的HF接觸,且容許該HF吸附於該基板上;(b)將未表面鍵結之HF自該製程腔室移除;(c)利用活性含氫物種來處理該基板以使該活性含氫物種與吸附於該基板上之HF反應,其中該活性含氫物種係為含有一或更多OH基的化合物(例如醇類)、或氫電漿中所產生的含氫物種;及(d)將操作(c)中所產生之水自該基板之表面移除。水移除之該方法可與上述相同。
在若干實施例中,本說明書中所描述之該等方法與光微影裝置處理結合使用。例如,該等方法更可涉及將光阻塗佈於該基板;使該光阻暴露於光;使該光阻圖案化且使圖案轉移至該基板;及自該基板選擇性地移除該光組。
在另一態樣中,提供用於可控制地蝕刻基板上之氧化物的蝕刻設備。該設備包含:製程腔室,其具有用於導入製程氣體的入口;該製程腔室中的基板支撐體,其配置以在蝕刻該基板上之該氧化物之期間將該基板固持在適當位置;及控制器,其包含程式指令,該程式指令係用於執行本說明書中所敘述之該等方法其中任一者的步驟。例如,該控制器可包含用於下列步驟之程式指令:(a)使容置於該製程腔室中的該基板與活性含氫物種接觸,以使該基板上之該氧化物之表面改質,其中該活性含氫物種係為含有一或更多OH基的化合物、或含氫電漿中所產生的含氫物種,且其中該氧化物係選自由矽氧化物、鍺氧化物(包含摻雜及未摻雜的氧化物)、及其組合所構成之群組;(b)在氧化物之表面經改質後,將未表面鍵結之活性氫物種自該製程腔室移除;(c)在操作(b)之後,使無水HF流入該製程腔室中,其中該無水HF與氧化物之經改質的表面反應,且其中該反應產生水;(d)將操作(c)中所產生之水自該基板之表面移除。在若干實施例中,該設備係裝設有溫度控制器,其容許基板上快速且受控制的溫度改變。
在若干實施例中,提供系統,其中該系統包含上述設備及剝除機。
在又另一態樣中,提供非暫態電腦可讀取媒體以控制本說明書中所提供之該設備。該電腦可讀取媒體包含用以執行本說明書中所述方法其中任一者的程式碼,例如包含下列操作之方法:(a)使容置於該製程腔室中的該基板與活性含氫物種接觸,以使該基板上之該氧化物之表面改質,其中該活性含氫物種係為含有一或更多OH基的化合物、或含氫電漿中所產生的含氫物種,且其中該氧化物係選自由矽氧化物、鍺氧化物、及其組合所構成之群組;(b)在氧化物之表面經改質後,將未表面鍵結之活性氫物種自該製程腔室移除;(c)在操作(b)之後,使無水HF流入該製程腔室中,其中該無水HF與氧化物之經改質的表面反應,且其中該反應產生水;(d)將操作(c)中所產生之水自該基板之表面移除。
以下將參照相關圖式來詳述本發明之此等與其他特徵及優點。
本發明之態樣係針對受控制地自基板(例如部分製造的積體電路)蝕刻矽氧化物及鍺氧化物(包含摻雜及未摻雜之矽氧化物及鍺氧化物)。儘管本發明之該等方法在半導體基板的處理方面具有特定用途,然而其亦可利用於其他應用中。所提供的方法達到在小尺度上(例如:在約150 Å或更小的尺度上)準確且同向性地移除材料。實際上,可在需要移除僅數埃或數十埃之層的情況下利用該等方法。本說明書中所提供之原子層蝕刻方法容許藉由重複蝕刻循環來控制蝕刻深度,其中各循環可移除少至僅原子單層或次單層(submonolayer)的材料。可在化學氣相沉積(CVD)型或電漿型設備(例如:在阻劑剝除腔室中)中執行該等方法,且該等方法可輕易地與現有的晶圓生產製程整合。
該等方法可用於蝕刻矽氧化物、鍺氧化物、及該等氧化物之混合物。該等氧化物可為摻雜(以氮、碳、硼、磷等來摻雜)或未摻雜。本說明書中所使用之用語「矽氧化物」包含各種類型之矽氧化物、矽酸鹽、及矽酸鹽型玻璃,例如四乙基正矽酸鹽(TEOS, tetraethylorthosilicate)、硼矽酸鹽玻璃(BSG, borosilicate glass)、硼磷矽酸鹽玻璃(BPSG, borophosphosilicate glass)、高密度電漿(HDP, high density plasma)CVD氧化物、及次大氣壓力(SA, subatmospheric)CVD氧化物。
原子層移除方法的應用包含預潔淨操作、閘極介電層製造、鰭片氧化物凹陷處理、及記憶體裝置(例如動態隨機存取記憶體(DRAM, dynamic random access memory)及快閃記憶體裝置)中的電容器介電層處理,但不限於此。內連線之原子層蝕刻預潔淨可涉及將矽氧化物自矽、活性矽、多晶矽、及矽化物接點移除。矽化物接點包含金屬矽化物(例如鎳及鈷的矽化物)、三元矽化物(例如鉑鎳矽化物)、及其他矽化物材料,通常用於內連線中。活性矽接點指涉通常利用電性活性摻雜的矽材料來形成的電性活性接點。該等方法尤其適合次10 nm等級之裝置製造且可用於雙閘極電晶體(例如鰭狀場效電晶體(FinFET)及環繞式閘極(GAA, Gate-all-around)場效電晶體(FET))的製造中。
所提供的方法容許在暴露之矽、鍺、或矽鍺存在的情況下選擇性蝕刻矽氧化物、鍺氧化物、或其組合。選擇性蝕刻指涉至少5:1之選擇性,其中該比例指涉蝕刻速率比。
儘管所提供之方法可用於蝕刻矽及鍺之氧化物兩者,然而將使用矽氧化物作為範例來說明該等方法。應瞭解,所有所述的原理及實施例說明亦適用於鍺氧化物之蝕刻。
可在具有或無凹陷特徵部(例如介層窗、溝槽、及接觸孔)的基板上執行蝕刻,且可將矽氧化物自基板上的任何位置(包含場區、凹陷特徵部的側壁、及凹陷特徵部的底部)移除。由於蝕刻反應之自身限定吸附式機制所致,可同向性地移除矽氧化物,亦即,自場區、凹陷特徵部的側壁及底部移除實質上相同的氧化物量,且被移除之氧化物的量係實質上與該凹陷特徵部的縱橫比無關。再者,可在高均勻性的情況下移除氧化物,亦即,可自半導體晶圓基板的中央及邊緣移除實質上相同的氧化物量。重要的是,應注意,本說明書中所提供之方法(和依賴NH4 F蝕刻劑的方法不同)並未產生固體反應產物,因此造成低缺陷率以及高縱橫比凹陷特徵部內的極佳的可重複之同向性蝕刻。利用 HF 來進行矽氧化物之蝕刻的機制
在缺乏活性含氫物種(例如水或醇類)的情況下,無水的HF並不會蝕刻二氧化矽。當無水HF與矽氧化物表面接觸時,其被吸附至該表面而不蝕刻該表面,如化學方程式(1)中所示: HF(氣態)  ⇌ HF(被吸附)                                                       (1)
當例如甲醇的活性含氫物種被吸附至該表面時,其與該被吸附之HF反應以形成HF2 - 離子,該HF2 - 離子為活性蝕刻物種,如化學方程式(2)-(4)中所示。蝕刻反應產生水與四氟化矽,如化學方程式(4)中所示。 CH3 OH(氣態)  ⇌ CH3 OH(被吸附)                                                  (2) CH3 OH(被吸附) + HF(被吸附) ⇌ HF2 - (被吸附) + CH3 OH2 + (被吸附)       (3) SiO2 (固態) + 2HF2 - (被吸附) + 2CH3 OH2 + (被吸附) → SiF4 (被吸附) +2H2 O(被吸附) + 2CH3 OH                                                                           (4)
儘管四氟化矽為易自基板表面脫附的揮發性的化合物,但被吸附的水會產生若干問題,其使受控制地蝕刻二氧化矽成為艱難的任務。首先,即使起初提供限定量的活性含氫物種(例如甲醇),水存在於基板表面上的情況造成HF2 - 形成,且造成蝕刻反應繼續進行。因此,在被吸附的水存在的情況下,該反應將不為自身限定的,且在HF存在的期間將繼續進行。另外,水存在於基板上的情況使SiF4 形成矽氧化物粒子,如化學方程式(5)中所示。該等粒子可造成缺陷且造成蝕刻期間的不均勻性,以及造成反應速率方面之低可重複性。 3SiF4 +2H2 O → SiO2 +2H2 SiF6 (5)
亦為重要的是,若活性含氫物種連同水自基板表面脫附(例如藉由加熱),蝕刻反應將停止。因此應在使蝕刻反應中產生之水脫附與使活性含氫物種維持在基板表面上之間取得良好的平衡,至少直到蝕刻所期望之氧化物量為止。蝕刻方法
圖1依據本說明書中所提供之實施例,係為繪示矽氧化物及/或鍺氧化物之蝕刻方法的製程流程圖。圖2A-2D繪示經歷受控制之蝕刻的含矽氧化物基板之橫剖面視圖的示意圖。參照圖1,該製程於101以使基板與含有一或更多OH基的活性含氫物種接觸而開始。該活性含氫物種可為醇類(其中用語「醇類」包含二醇類)、羧酸、自含氫電漿所產生的含氫物種、及在若干實施例中可為水。應注意,氨係排除在合適之化合物的清單外。合適之醇類的範例包含甲醇、乙醇、正丙醇、異丙醇、正丁醇、三級丁醇、甲基丁醇、戊醇、甲二醇、乙二醇、丙二醇等。羧酸的範例包含甲酸、乙酸、丙酸等。亦可使用各種含氫物種之混合物。自含氫電漿所產生的含氫物種之範例包括含氫離子及自由基。通常將活性含氫物種以氣態形式並可伴隨著載氣(例如N2 、Ar、Ne、He、及其組合)導入容置基板的製程腔室中。可藉由在容置基板的製程腔室中形成電漿而直接產生自含氫電漿所產生的該等物種,或其可在未容置基板的製程腔室中遠端產生,然後可被供應至容置基板的製程腔室中。
該等活性含氫物種例如藉由吸附至基板表面上之矽氧化物及/或藉由使基板表面上之矽-氧鍵改質而使基板上之矽氧化物表面改質。例如,醇類或羧酸可化學吸附至矽氧化物表面。由於此步驟造成基板表面上OH鍵的形成,因此亦將此步驟稱為羥化作用(hydroxylation)。選定例如用於此步驟之溫度及壓力的製程條件,以於主要量的含氫物種之凝結作用不存在的情況下使化學吸附作用發生。在若干實施例中,可加熱製程腔室以避免高級醇在腔室壁上的凝結作用。
在含氫物種已吸附或已以其他方式使矽氧化物表面改質之後,在操作103中,將未表面鍵結之活性含氫物種自製程腔室移除。此可藉由吹淨及/或排空製程腔室以移除該等活性物種(例如醇或酸)而不移除吸附層來完成。可藉由單純停止電漿並容許餘留之物種衰變,可選擇地結合吹淨及/或排空腔室,而將氫電漿中所產生之該等物種移除。可使用任何惰性氣體(例如N2 、Ar、Ne、He、及其組合)來完成吹淨。
參照圖2A,顯示含有矽氧化物層之基板201。在羥化作用及自製程腔室移除主要量的活性含氫物種之後,僅表面層203餘留在基板201上,如圖2B中所示。表面層203可為例如醇類或其他含氫物種之化學吸附層。
接著,參照圖1中步驟105,使基板與無水HF接觸。在不與活性含氫物種(例如醇類、或氫電漿中所產生之物種)同時流動的情況下,使無水HF流至腔室中,並容許無水HF與已改質的矽氧化物層反應,如圖2C中所示。在若干實施例中,由於該反應會受到被吸附之含氫物種所限制,因此並不限制被導入製程腔室中的HF量。在其他實施例中,可提供受控制、受限制之量的HF,以僅蝕刻材料之目標量。由於過早發生活性含氫物種之脫附可能使反應停止,因此至少在HF用劑的第一部分中,應維持製程條件以使活性含氫物種維持鍵結至基板表面。可在發生期望之蝕刻量後再開始實施活性含氫物種之脫附。
如操作107中所示,將蝕刻反應中所產生之水自基板表面移除。可使用各種各樣的方法來移除水。例如,可藉由使用足夠高的基板溫度來達成水自基板表面的脫附。選定該溫度以引起水的脫附,或當水在該反應過程中產生時不容許水被吸附。在另一範例中,藉由使用足夠低的壓力來達成水的移除,其中結合適當溫度的該壓力不容許水保持吸附於基板表面上的狀態,或在當水產生時移除水而不容許水被吸附。
在若干實施例中,藉由將基板溫度升高至足以移除水的溫度來達成水的移除。在若干實施例中,在足以移除水的溫度下執行HF用劑。在若干實施例中,於在水產生時足以將水自基板表面移除的溫度下,執行整個蝕刻製程(包含利用活性含氫物種之處理及HF用劑)。在若干實施例中,可藉由將製程腔室中的壓力降低至足以移除水的壓力來達成水的移除。在若干實施例中,在足以移除水的壓力下執行HF用劑。在若干實施例中,水的移除包含升高溫度與降低壓力兩者以移除水。
在若干實施例中,可使用電磁輻射(例如UV照射或微波照射)、電漿處理(即電子、離子、自由基之暴露)、以及電子束處理來將水自表面移除。選定該輻射、電漿、及電子束處理能量以免引起對於基板表面的任何損害,並以免干擾蝕刻之同向性性質。因此,在若干實施例中,相當低能量的電子(例如具有約5 eV – 10 eV間之能量的電子)係用於電子(電漿或電子束)處理。
可改變水移除之時間。水之移除可與HF處理同時執行、可與HF處理重疊一部分的時間、或可在HF處理之後執行。重要的是,若於HF處理的開端執行水之移除,則所選定之水移除方法不應完全使矽氧化物之表面對HF蝕刻去活化。因此,在若干實施例中,在不會造成醇類或羧酸自矽氧化物表面脫附的此類條件下執行水之移除。在蝕刻反應期間所產生的四氟化矽為揮發性化合物,其通常與水一起或在水被移除前自基板表面移除,如圖2D中所示。在鍺氧化物蝕刻期間所形成的四氟化鍺係類似地被移除。
可觀察到,在顯示於圖2D中的受處理之基板中,已移除受控制之量的矽氧化物。移除的矽氧化物量係由第一羥化步驟中的表面改質量(例如被吸附之醇類的量)、及/或由施用在基板上的HF用劑量所決定。重要地,藉由不容許過量的活性含氫物種及HF同時存在於製程腔室中而達成控制。因此,當醇類或羧酸對製程腔室用劑時,不將HF供應至製程腔室。類似地,當將HF供應至製程腔室時,醇類或羧酸僅存在於基板表面且不被供應至製程腔室。水的移除更促成該反應的原子尺度下之控制。通常一個蝕刻循環移除約0.5個至10個間之原子層的氧化物,例如約1個至5個間的原子層。在一實施中,一個蝕刻循環中約移除10 Å的矽氧化物。
接著,在操作109中,判定是否需要進一步的蝕刻以蝕刻矽氧化物之目標厚度,若蝕刻之第一循環中被移除的量係足夠的,則蝕刻完成。若有任何反應產物或HF殘留在製程腔室中,則吹淨及/或排空該腔室。可選擇地,藉由使基板與醇類接觸以移除任何表面鍵結之氟,在111完成該蝕刻順序。
在操作109中,若判定需要進一步的蝕刻以蝕刻矽氧化物之目標厚度,則重複該操作順序。通常,吹淨或排空製程腔室以移除反應副產物及過量的HF(若存在),然後使基板表面再次與活性含氫物種接觸,之後移除未表面鍵結之活性含氫物種,而再之後為HF處理及水移除。可視需要執行該製程達多個循環。在若干實施例中,至少執行兩循環,例如約2個-10個間的循環。應注意,在該重複之循環中所使用的活性含氫物種在不同循環中可為相同或不同。例如在若干實施例中,在第一循環中,水可作為活性含氫物種來使用,而在後續循環中可利用醇類。
在若干實施例中,偏好在蝕刻反應期間,當該反應中產生水時移除水。此實施例係由圖3中所示之流程圖來繪示。在操作301中,使基板與活性含氫物種(例如醇類、羧酸、電漿中所產生的含氫物種)接觸以使矽氧化物表面改質。在改質(例如醇類之化學吸附)後,將未表面鍵結之活性含氫物種自製程腔室移除(例如藉由操作303中的吹淨或排空),然後接著在操作305中提供HF以接觸基板,且維持條件以當蝕刻反應中產生水時移除水。例如,HF處理期間的基板溫度可為足夠高,以使水無法吸附至矽氧化物之表面,或以引起水之迅速脫附。在其他實施例中,維持壓力及溫度以使水之脫附速率高至足以將水自表面移除的程度。在又其他實施例中,當利用HF處理基板時,利用如UV照射、微波照射來照射基板,或利用足以使水脫附或防止水吸附的電漿或電子束來處理基板。當蝕刻反應中產生水時原位移除水的優點為,水將不會更進一步催化該蝕刻反應。因此,在此實施例中,在加入之HF量方面需要較少的控制。在若干實施例中,若在該反應中有效率地且及時地移除水,則加入大量超額量之HF甚至為可允許的,且仍達到蝕刻之矽氧化物量方面的原子尺度控制。接著,在完成該反應後,通常吹淨或排空製程腔室以移除過量的HF(若存在)及該反應之產物。若在操作307中判定需要進一步的蝕刻,則重複該循環。當不需要進一步的蝕刻時,可選擇性地利用醇類來處理基板以移除表面鍵結之氟。
可以各種各樣的預處理及後處理來修改圖1及圖3中所示之製程以調理基板表面。在若干實施例中,在操作101之前,利用乾式HF來處理基板,以移除矽氧化物表面上的矽醇鍵。之後吹淨或排空製程腔室以移除HF。其他預處理可包含用以移除表面污染物(例如碳氫化合物或氫氟碳化合物)之電漿製程或濕式清潔製程。
圖4繪示時序圖,其顯示用於顯示於圖1及圖3之製程的試劑之用劑情形。在第一時期P1中,在缺乏HF的情況下將活性含氫物種供應至製程腔室。在若干實施例中,在P1期間,使醇類或羧酸流入反應腔室中,並容許其化學吸附於基板表面上。在其他實施例中,在時期P1期間,自含氫電漿所形成之活性含氫物種接觸基板。接著,在時期P2中,不容許該活性含氫物種,亦不容許HF進入腔室中。在此時期期間,將未與基板表面鍵結的活性含氫物種自製程腔室移除。此可利用例如以惰性氣體(例如N2 、Ar、Ne、He、及其組合)吹淨製程腔室及/或排空來加以完成。接著,在時期P3中,在缺乏活性含氫物種(例如醇類)的情況下使無水HF流入製程腔室中,且容許該無水HF與經改植的基板表面反應。接著,在時期P4中,不使該HF,也不使該活性含氫物種流入製程腔室中,且可吹淨或排空製程腔室以移除製程腔室中未表面鍵結之HF及/或反應產物 。可在各種實施例中執行水移除,其可與HF用劑同時(在HF用劑之整個步驟期間)、在HF用劑之第二部分期間、在完成HF用劑後、及使用此等組合。所述之順序完成一蝕刻循環,其可可控制地且同向性地在基板表面上蝕刻約0.5個至10個間之原子層的矽氧化物。接著,若需要進一步的蝕刻,則以在時期P5施用活性含氫物種等等來重複該製程。在若干實施例中,在利用下個循環的活性氫物種來進行處理之第一部分期間或在處理時期整體期間,執行先前循環中所產生之水的移除(至少部分)。例如,在若干實施例中,在時期P4期間或在時期P5之第一部分期間,移除第一蝕刻循環中所產生的表面鍵結之水。
例如蝕刻循環步驟的各者之溫度及壓力的製程條件將取決於活性含氫物種的性質、水移除方法之類型、及水移除之時間,且可在本說明書中所提供之準則內變化。在大部分實施例中,溫度自約20°C分布至約300°C,而壓力自約100 mTorr分布至約760 Torr。以下所示之範例說明所提供之蝕刻方法的數個不同實施例。   範例1.
在此實施例中,在當蝕刻反應中產生水時不容許水維持被吸附狀態之足夠高的溫度下執行整個蝕刻循環。在若干實施例中,在至少約80°C的溫度下執行該蝕刻製程,例如約80°C - 300°C間,如約100°C - 300°C間。此方法之優點為,在整個蝕刻循環期間,可實質上將溫度保持固定。再者,由於在蝕刻反應期間產生水時將水移除,在此實施例中因該反應受到第一羥化作用步驟後活性含氫物種在經改質之氧化物表面上的量所限制,而可加入過量的HF。然而,此實施例並不限於使用過量的HF,而在若干實施中,施用受控制、受限制之量的HF。
在此範例之一特定實施中,該製程利用具有低於或實質上不高於(即不高於超過200%)水之蒸氣壓的蒸氣壓之醇類或羧酸。當水脫附時,此等化合物能吸附至基板或保持吸附至基板。此等化合物之範例包含正丁醇、三級丁醇、乙二醇、丙二醇、及正丙醇。在第一時期P1中,使此類化合物或此類化合物之混合物流入製程腔室中,且當基板溫度高於水之脫附點時容許其吸附於基板表面上。接著,停止流動該化合物,而在時期P2中,在實質上不改變溫度的情況下吹淨或排空製程腔室。接著,在P3中,在再次不改變溫度的情況下使無水HF流入製程腔室中,且容許該無水HF與經改質的氧化物表面反應,而由於溫度係足夠高的,因此當此反應中所產生之水產生時將其自表面移除。接著,在完成該反應後,在時期P4中,吹淨及/或排空製程腔室。若有需要,可重複該循環。在一範例中,於約100 °C的溫度及約100 Torr的壓力下,將正丁醇或三級丁醇連同N2 一起導入製程腔室中,並容許其化學吸附於基板上。接著停止流動丁醇,並以N2 吹淨製程腔室。接著,在不改變溫度的情況下將無水HF導入製程腔室中,並容許其與經改質的矽氧化物之表面層反應。接著以N2 吹淨製程腔室且可選擇地重複該製程1至3次。
在範例1之另一特定實施中,藉由使氧化物表面與自含氫電漿所形成之含氫物種接觸而使該氧化物表面改質。在時期P1中,使基板與含有活性含氫物種之直接電漿(在容置基板的相同製程腔室中所產生的電漿)或遠端電漿(在不同腔室中所產生的電漿)接觸,以在基板上之矽氧化物表面上形成OH鍵。可使用各種各樣的電漿。通常該電漿係由包括含氫氣體(例如H2 、氨、聯氨、水、氟化氫、氯化氫、矽烷、二矽烷、甲烷、乙烷、丁烷、及其組合)之氣體在第二氣體(例如氧、一氧化二氮、一氧化氮、二氧化碳、一氧化碳、水蒸氣、及其組合)可選擇性存在的情況下所產生。氣體除了含氫氣體以外,亦可包含惰性氣體,例如He、Ar、Ne、及其組合。在電漿處理之後,在時期P2中停止電漿產生或停止電漿產生之物種流入腔室中,而該電漿產生之物種在腔室中迅速衰變。接著,在與氧化物之活化表面反應的期間產生水時足以將水自基板表面移除的溫度及壓力下,使無水HF流入製程腔室中。   範例2.
在此實施中,藉由電磁輻射(例如UV照射)、藉由電漿處理(例如藉由電漿中所形成的電子、自由基、及離子)、或藉由電子束處理來將水自基板表面移除。當該反應中產生水時,將水自基板表面移除。此實施之優點為,不需要與輻射無關的基板加熱。另外,在此實施中,可使氧化物表面改質之活性含氫物種的選擇係較在範例1.中的範圍更廣。類似於範例1. ,由於水在反應期間被移除,而移除之氧化物量係由第一步驟中經改質的氧化物量所決定,因此可流動過量的HF。在此範例中,在時期P1中,活性含氫物種(水、醇類、羧酸、或氫電漿中所產生的物種)接觸基板,並使氧化物表面改質。選定此步驟中溫度與壓力,以使吸附或其他改質可發生。由於此實施例並不依賴用於移除水的加熱作用,因此可使用低級醇(例如甲醇及乙醇),且其可在相當低的溫度(例如約10°C - 80°C間)下被吸附。接著,在時期P2中,吹淨及/或排空製程腔室,或者,容許電漿中所產生之含氫物種衰變。在時期P3中,導入無水HF,而水係藉由照射、電漿處理、或電子束處理而同時自晶圓移除。   範例3.
在此實施例中,藉由將溫度升高至足以使水脫附之溫度、及/或藉由降低壓力以達到使水自基板表面脫附之條件,而將水自基板表面移除。
舉例而言,可在第一溫度下利用活性含氫物種來處理基板。在利用活性含氫物種之處理後的若干時間點,將溫度升高至足以使水自基板表面脫附的第二溫度。例如,若活性物種為自含氫電漿所產生的物種,或若活性物種為具有低於或實質上不高於水之蒸氣壓的蒸氣壓之醇類或羧酸,且第二溫度不會引起基板表面之脫羥基作用(dehydroxylation),則可盡早在下列時點升高溫度:緊接在利用活性含氫物種之處理後、在未表面鍵結之活性含氫物種的移除期間、或與HF導入同時。若使用具有實質上高於水之蒸氣壓的醇類(例如甲醇),且因此在第二溫度下,甲醇將脫附,則延遲升高溫度直至已導入HF且反應已開始為止係為更有益的。例如,可在HF導入時期的後半期間升高溫度。在若干實施例中,在停止HF流動之後升高溫度。在若干實施例中,在時期P5的第一部分期間(例如在第二蝕刻循環中甲醇的導入期間)升高溫度或維持高溫。接著,在時期P5之剩餘期間降低溫度。
在一範例中,在時期P1時間,使甲醇流至製程腔室,並容許甲醇在第一溫度(例如在約30°C)下化學吸附。接著,在時期P2中吹淨製程腔室以移除未表面鍵結之甲醇,並在時期P3中使HF流入製程腔室中。在此實施例中,較佳導入受控制、受限制的HF量,其中該HF量係限制為對於蝕刻期望之受控制矽氧化物薄層(例如約0.5個至10個間的原子層)而言為必要的量。可容許該反應繼續進行一段時間,而之後將溫度升高至引起水脫附的溫度,例如至約100°C。在若干實施例中,在時期P2期間升高溫度,同時使HF流入製程腔室中。在其他實施例中,在停止HF流動之後(時期P5的第一部分期間)升高溫度。   範例4.
在此實施中,使用甲醇及/或酮類(例如丙酮)以促進自基板表面移除水。在此範例中,在該製程中可使用任何活性含氫物種,但由於在甲醇及/或酮類(例如丙酮)存在的情況下會促進水之移除,因此安排蝕刻順序以將水與甲醇及/或酮類(例如丙酮)一起同時移除。可在水移除前或水移除期間之任何合適的階段導入甲醇及/或酮類。例如,在一特定範例中,藉由活性含氫物種來使基板表面羥基化;接著吹淨或排空製程腔室,其後導入受控制的HF量。在HF導入期間或在完成反應後,使甲醇及/或酮類流入製程腔室中,且針對水移除來調整條件(例如升高溫度及/或降低壓力)。在若干實施例中,可在整段製程期間將溫度維持相同,且選定溫度以免引起水之脫附,而是引起水/甲醇混合物之脫附及/或水/酮類混合物之脫附。   範例5.(替代的實施例)
儘管在前述實施例中,以基板上矽氧化物表面的羥化作用來開始製程,但在替代的實施例中,可以無水HF吸附至基板表面的吸附作用來開始製程。接著,在HF吸附後,將未表面鍵結之HF自製程腔室移除(例如藉由吹淨及/或排空),且使基板與活性含氫物種接觸。可使用本說明書中所描述的任何活性含氫物種。在活性含氫物種存在的情況下,被吸附的HF將與矽氧化物表面反應,且蝕刻之材料量將受到吸附於表面上的HF量所限制。將水自製程腔室移除,如前述實施例所述。可在蝕刻反應期間產生水時(在活性含氫物種的導入期間)、或完成反應後將水移除。設備
本說明書中所描述的方法可在各種各樣的設備中實施,該等設備裝設有輸送管線及控制機制,其設置以依序輸送氣態試劑。合適的製程腔室之範例包含電漿蝕刻、同向性蝕刻、及化學氣相沉積製程腔室,以及阻劑剝除腔室。為防止來自腐蝕性HF之使用的損害,設備可包含至少用於與HF直接接觸之部分之具抗HF性的材料。例如,在若干實施例中,以抗HF之聚合物(例如乙烯與三氟氯乙烯之共聚物,被稱為Halar®)來塗佈製程腔室。在若干實施例中,腔室係陽極極化的或鍍鎳的。在若干實施例中,輸送HF之輸送管線係由鎳製造。
合適的設備包含製程腔室,其具有用於在蝕刻期間將基板固持在適當位置之基板支撐體;入口,其用於導入試劑;出口,其通常與泵浦連接且用於將製程腔室排空;及控制器,其具有用於執行所提供方法之步驟其中任一者的程式指令。在若干實施例中,設備係裝設有加熱器及/或冷卻器,其配置以視所需加熱或冷卻基板。可將加熱器及/或冷卻器整合至基板支撐體中。在若干實施例中,可以位於基板上方之燈具來實施基板加熱,其中該燈具放射熱能至基板上。可使用燈具作為主要加熱方法或在除基板固持器加熱以外額外使用。在若干實施例中,基板固持器包含馬達且配置以在處理期間旋轉基板。在於製程腔室中使用直接電漿(用於自含氫電漿產生活性含氫物種或用於在水移除期間處理基板)的該等實施例中,設備係裝設有射頻(RF)或微波電漿產生器。在使用遠端電漿(用於自含氫電漿產生活性含氫物種或用於在水移除期間處理基板)的該等實施例中,設備包含分離腔室,藉由使用RF或微波電漿產生器,在該分離腔室中產生遠端電漿。遠端電漿腔室係透過饋送導管而連接至容置基板的製程腔室,且配置以將在該遠端腔室中產生之物種輸送至基板表面。在使用電磁輻射(例如紫外線(UV)照射及/或微波照射)以將水自基板表面移除的該等實施例中,設備亦包含電磁輻射之來源,例如UV燈、或微波產生器。該等來源通常藉由可傳輸所使用之特定類型電磁輻射的窗口而與基板分隔開。舉例而言,抗HF之UV傳輸窗口(例如以藍寶石塗佈之石英或塊材石英窗口)可取代製程腔室之頂板,而容許自位於該窗口上方之UV燈傳輸UV輻射。
適於實施本說明書中所提供之蝕刻方法的製程腔室之範例的示意性圖像顯示於圖5中。製程腔室500包含用於導入氣態試劑之入口502,其中該入口與關閉閥或流量控制閥耦接。輸送管線504將試劑源606連接至入口502。在若干實施例中,輸送管線504可包含複數個別管線,例如無水HF管線、及醇類輸送管線。在若干實施例中,輸送管線與熱源連接,並在試劑輸送期間受到加熱,以避免試劑在該管線中凝結。試劑源包含無水HF之來源,且若使用醇類或羧酸,並包含醇類或羧酸之來源。試劑源亦可包含用於吹淨製程腔室之惰性氣體來源、及用於形成含氫電漿之含氫氣體來源。包含輸送管線之輸送系統通常亦將包含一或更多流量計,其用於準確量測被允許進入製程腔室之試劑劑量。製程腔室亦具有與出口閥及泵浦510連接的出口508。當出口閥開啟時,過量的試劑、反應產物、及吹淨氣體透過出口而離開腔室。可藉由控制試劑的流率及藉由將過量的氣體抽出製程腔室而準確地控制製程腔室中之壓力。
基板512係固緊至基板固持器基座516,其更包含配置以加熱基板的熱電式卡盤514。在所繪示之實施例中,製程腔室之頂板為可選之透明或半透明窗口518,其將製程腔室與UV燈520分隔開,該UV燈係用以利用UV光照射基板及將水自基板表面移除。在其他實施例中,可放射輻射熱的紅外線(IR)燈係位於製程腔室之頂板之上,且用於加熱基板表面。在若干實施例中,設備可包含在基板上方的UV燈及IR燈兩者、或其他熱源。控制器522係電性連接至設備,且用於控制蝕刻製程的所有階段。控制器522包含程式指令或內建邏輯,其用以執行依據本說明書中所述實施例其中任一者的蝕刻方法。舉例而言,控制器可包含具體指定輸送試劑之時間、蝕刻循環之各階段期間的溫度及壓力、及與照射基板相關聯之參數的編碼。
在若干實施例中,控制器係為系統的部分,其可為上述範例的部分。此類系統可包含半導體處理設備,含一或複數處理工具、一或複數腔室、用於處理的一或複數工作台、及/或特定處理元件(晶圓基座、氣流系統等)。該等系統可與電子裝置整合,以於半導體晶圓或基板之處理前、處理期間、及處理後控制其操作。可將該等電子裝置稱為「控制器」,其可控制一或複數系統的各種元件或子部件。依據處理之需求及/或系統之類型,可將控制器程式化以控制本說明書中所揭露之製程的任一者,包含處理氣體之輸送、溫度設定(如:加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF, radio frequency)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、進出工具及連接至特定系統或與特定系統介面接合的其他傳送工具及/或負載鎖室之晶圓傳送。
廣泛而言,可將控制器定義為具有接收指令、發送指令、控制操作、允許清潔操作、允許終點量測等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。該積體電路可包含儲存程式指令的韌體形式之晶片、數位信號處理器(DSPs, digital signal processors)、定義為特殊應用積體電路(ASICs, application specific integrated circuits)之晶片、及/或執行程式指令(如:軟體)之一或更多的微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)之形式傳送到控制器的指令,其定義用以在半導體晶圓上、或針對半導體晶圓、或對系統執行特定製程的操作參數。在若干實施中,該操作參數可為由製程工程師所定義之配方的部分,該配方係用以在一或更多的層、及/或晶圓之晶粒的製造期間,完成一或更多的處理步驟。
在若干實施中,控制器可為電腦的部分或連接至電腦,該電腦係與系統整合、連接至系統、或透過網路連接至系統、或上述之組合。舉例而言,控制器係可位於「雲端」、或為晶圓廠主機電腦系統的全部或部分,其可允許晶圓處理之遠端存取。該電腦能達成對該系統之遠端存取,以監視製造操作之目前製程、查看過去製造操作之歷史、查看來自多個製造操作之趨勢或性能指標,來改變目前處理之參數,以設定處理步驟來接續目前的處理、或開始新的製程。在若干範例中,遠端電腦(如:伺服器)可透過網路將製程配方提供給系統,該網路可包含區域網路或網際網路。該遠端電腦可包含可達成參數及/或設定之輸入或編程的使用者介面,該等參數或設定接著自該遠端電腦傳送至該系統。在若干範例中,控制器接收資料形式之指令,在一或更多的操作期間,其針對該待執行的處理步驟之每一者而指定參數。應瞭解,該等參數可特定於待執行之製程的類型、及工具(控制器係配置成與該工具介面接合或控制該工具)的類型。因此,如上所述,控制器可分散,例如藉由包含一或更多的分離的控制器,其透過網路連接在一起並朝共同的目標而作業,例如本說明書中所敘述之製程及控制。用於此類目的之分開的控制器之範例可為腔室上之一或更多的積體電路,其與位於遠端(例如為平台等級、或為遠端電腦的部分)之一或更多的積體電路連通,其結合以控制該腔室上的製程。
範例系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、潔淨腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD, physical vapor deposition)腔室或模組、化學氣相沉積(CVD, chemical vapor deposition)腔室或模組、原子層沉積(ALD, atomic layer deposition)腔室或模組、原子層蝕刻(ALE, atomic layer etch)腔室或模組、離子植入腔室或模組、徑跡腔室或模組、及可與半導體晶圓之製造及/或生產有關或用於其中的任何其他半導體處理系統,但不限於此。各種系統可在一製造設備中與上述ALE腔室或模組一起使用。
如上所述,依據待由工具執行之製程步驟(或複數製程步驟),控制器可與下列一或多者通訊:其他工具電路或模組、其他工具元件、群集工具、其他工具介面、鄰接工具、附近工具、位於整個工廠的工具、主要電腦、另一控制器、或將晶圓之容器帶往或帶離半導體製造廠中的工具位置及/或載入埠的用於材料傳送之工具。
本說明書中上文所敘述之該裝置/製程可與例如用以製造或生產半導體元件、顯示器、LED、光伏面板等之微影圖案化工具或製程結合使用,一般而言(儘管非必然),此類工具/製程將於共同的製造設施中一起使用或執行。膜的微影圖案化一般包含部分或所有下列步驟(每一個步驟係以若干合適的工具來達成):(1)使用旋轉塗佈或噴霧塗佈工具將光阻塗佈於工件(例如基板)上;(2)使用加熱板、或加熱爐、或UV固化工具將光阻固化;(3)以例如晶圓步進機之工具將光阻曝露於可見光、或UV光、或x射線光;(4)使用例如溼式清洗台之工具將光阻顯影以選擇性地移除光阻,藉以將之圖案化;(5)藉由使用乾式或電漿輔助蝕刻工具將光阻圖案轉移至下層之膜或工件中;及(6)使用例如RF或微波電漿光阻剝除機之工具將光阻移除。
應瞭解,本說明書中所描述之範例及實施例僅為了說明性之目的,且熟習該領域技術者可據其而聯想到各種修改或變化。儘管為了清楚起見而省略了各種的細節,但仍可實行各種設計替代方案。因此,該等實施例應被認為係說明性而非限制性的,且本發明不受限於本說明中所提供的細節,而是可在隨附的申請專利範圍之範疇內對其進行修改。
101‧‧‧操作
103‧‧‧操作
105‧‧‧操作
107‧‧‧操作
109‧‧‧操作
111‧‧‧操作
201‧‧‧基板
203‧‧‧表面層
301‧‧‧操作
303‧‧‧操作
305‧‧‧操作
307‧‧‧操作
309‧‧‧操作
500‧‧‧製程腔室
502‧‧‧入口
504‧‧‧輸送管線
506‧‧‧試劑源
508‧‧‧出口
510‧‧‧泵浦
512‧‧‧基板
514‧‧‧熱電式卡盤
516‧‧‧基板固持器基座
518‧‧‧窗口
520‧‧‧紫外線燈
522‧‧‧控制器
圖1依據本說明書中所提供之實施例,係為蝕刻方法的製程流程圖。
圖2A-2D依據本說明書中所提供之實施例,呈現經歷蝕刻之基板的橫剖面繪圖。
圖3依據本說明書中所提供之實施例,係為蝕刻方法的製程流程圖。
圖4依據本說明書中所呈現之實施例,係為繪示蝕刻期間施用試劑的時序圖。
圖5依據一實施例,係為適於執行本說明書中所提供的蝕刻反應之設備的示意圖。
101‧‧‧操作
103‧‧‧操作
105‧‧‧操作
107‧‧‧操作
109‧‧‧操作
111‧‧‧操作

Claims (21)

  1. 一種可控制地蝕刻基板上之氧化物之層的方法,該方法包含下列操作: (a)使容置於製程腔室中的該基板與活性含氫物種接觸,以使該基板上之該氧化物之表面改質,其中該活性含氫物種係為含有一或更多OH基的化合物、或氫電漿中所產生的含氫物種,且其中該氧化物係選自由矽氧化物、鍺氧化物、及其組合所構成之群組; (b)在該氧化物之表面經改質後,將未表面鍵結之活性氫物種自該製程腔室移除; (c)在操作(b)之後,使無水HF流入該製程腔室中,其中該無水HF與該氧化物之經改質的表面反應,且其中該反應產生水; (d)將操作(c)中所產生之水自該基板之表面移除。
  2. 如申請專利範圍第1項之可控制地蝕刻基板上之氧化物之層的方法,其中操作(c)及(d)為同時發生的,且於當該反應中產生水時不容許水保持吸附於該基板之表面的溫度及壓力下執行操作(c)及(d)。
  3. 如申請專利範圍第1項之可控制地蝕刻基板上之氧化物之層的方法,其中該活性含氫物種為醇類,該醇類在當水自氧化物之表面脫附時的條件下能夠吸附於或保持吸附於該氧化物之表面。
  4. 如申請專利範圍第1項之可控制地蝕刻基板上之氧化物之層的方法,其中該活性含氫物種係由含氫電漿所形成,且其中藉由在當水自該氧化物之表面脫附時的條件下施用含氫電漿而在該氧化物之表面上形成羥(OH)鍵。
  5. 如申請專利範圍第4項之可控制地蝕刻基板上之氧化物之層的方法,其中該含氫電漿係由包括含氫氣體之氣體所形成,該含氫氣體係選自由H2 、氨、聯氨、水、氟化氫、氯化氫、矽烷、二矽烷、甲烷、乙烷、丁烷、及其組合所構成之群組。
  6. 如申請專利範圍第5項之可控制地蝕刻基板上之氧化物之層的方法,其中該含氫電漿係由包括含氫氣體及第二氣體之氣體所形成,該第二氣體係選自由水蒸氣、氧、一氧化二氮、一氧化氮、二氧化碳、一氧化碳、及其組合所構成之群組。
  7. 如申請專利範圍第1項之可控制地蝕刻基板上之氧化物之層的方法,其中該活性含氫物種係選自由丙醇、丁醇、丁氧基乙醇、丁二醇、乙二醇、甲二醇、丙二醇、戊醇、羧酸、及其組合所構成之群組。
  8. 如申請專利範圍第1項之可控制地蝕刻基板上之氧化物之層的方法,其中該活性含氫物種為丁醇。
  9. 如申請專利範圍第8項之可控制地蝕刻基板上之氧化物之層的方法,其中同時執行操作(c)及(d),且其中當該反應中產生水時將水移除。
  10. 如申請專利範圍第1項之可控制地蝕刻基板上之氧化物之層的方法,其中該活性含氫物種為係選自由甲醇、乙醇、丙醇、丁醇、丁氧基乙醇、乙二醇、甲二醇、丙二醇、戊醇、及其組合所構成之群組,其中在相同溫度下執行操作(a) – (d),且其中當該反應中產生水時將水自氧化物之表面移除。
  11. 如申請專利範圍第1項之可控制地蝕刻基板上之氧化物之層的方法,其中該活性含氫物種之特徵為針對選定之條件下低於水之飽和蒸氣壓或實質上與水之飽和蒸氣壓相同的飽和蒸氣壓,其中在相同溫度下執行操作(a) – (d),且其中當該反應中產生水時將水自氧化物之表面移除。
  12. 申請專利範圍第1項之可控制地蝕刻基板上之氧化物之層的方法,其中該活性含氫物種係選自由甲醇、乙醇、水、及水-醇共沸物所構成之群組,其在操作(a)中吸附至氧化物之表面,且其中在操作(c)中提供受控制、受限制之量的該無水HF。
  13. 申請專利範圍第1項之可控制地蝕刻基板上之氧化物之層的方法,其中該活性含氫物種為甲醇或乙醇,其在操作(a)中於第一溫度下吸附至氧化物之表面,且其中在操作(d)中藉由將該基板之溫度升高至高於第一溫度的第二溫度而將水移除。
  14. 申請專利範圍第11項之可控制地蝕刻基板上之氧化物之層的方法,其中在操作(d)之前使該無水HF停止流入該製程腔室。
  15. 申請專利範圍第1項之可控制地蝕刻基板上之氧化物之層的方法,其中操作(d)包含藉由選自由下列方法所構成之群組的方法而移除水:(i)升高該基板之溫度、(ii)降低該製程腔室中之壓力、(iii)利用電漿處理該基板、(iv)利用電子束處理該基板、(v)利用該電磁輻射照射該基板、及此等方法之組合。
  16. 申請專利範圍第1項之可控制地蝕刻基板上之氧化物之層的方法,其中操作(a)-(d)自該基板移除0.5個-10個原子層的氧化物。
  17. 申請專利範圍第1項之可控制地蝕刻基板上之氧化物之層的方法,包含執行至少兩個包含操作(a)-(d)的循環。
  18. 申請專利範圍第1項之可控制地蝕刻基板上之氧化物之層的方法,其中在至少約80°C的單一溫度下執行操作(a)-(d)。
  19. 申請專利範圍第1項之可控制地蝕刻基板上之氧化物之層的方法,更包含下列操作: 將光阻塗佈於該基板; 使該光阻暴露於光; 使該光阻圖案化且使圖案轉移至該基板; 及自該基板選擇性地移除該光組。
  20. 一種用於可控制地蝕刻基板上之氧化物的蝕刻設備,該設備包含: (a)製程腔室,其具有用於導入製程氣體的入口; (b)該製程腔室中的基板支撐體,其配置以在蝕刻該基板上之該氧化物之期間將該基板固持在適當位置;及 (d)控制器,其包含用於下列操作之程式指令: (i)使容置於該製程腔室中的該基板與活性含氫物種接觸,以使該基板上之該氧化物之表面改質,其中該活性含氫物種係為含有一或更多OH基的化合物、或含氫電漿中所產生的含氫物種,且其中該氧化物係選自由矽氧化物、鍺氧化物、及其組合所構成之群組; (ii)在氧化物之表面經改質後,將未表面鍵結之活性氫物種自該製程腔室移除; (iii)在操作(b)之後,使無水HF流入該製程腔室中,其中該無水HF與氧化物之經改質的表面反應,且其中該反應產生水; (iv)將操作(iii)中所產生之水自該基板之表面移除。
  21. 一種可控制地蝕刻基板上之氧化物之層的方法,該方法包含下列操作: (a)使容置於製程腔室中的該基板與無水的HF接觸,以在該基板上的該氧化物之層上吸附一層HF,其中該氧化物係選自由矽氧化物、鍺氧化物、及其組合所構成之群組; (b)在該HF已吸附後,將未表面鍵結之HF自該製程腔室移除; (c)使該基板與活性含氫物種接觸以與該基板上之該HF反應,其中該活性含氫物種係為含有一或更多OH基的化合物、或氫電漿中所產生的含氫物種,且,其中該反應產生水; (d)將操作(c)中所產生之水自該基板之表面移除。
TW104143047A 2015-01-05 2015-12-22 用於矽與鍺氧化物之同向性原子層蝕刻 TWI683925B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/589,610 US9431268B2 (en) 2015-01-05 2015-01-05 Isotropic atomic layer etch for silicon and germanium oxides
US14/589,610 2015-01-05

Publications (2)

Publication Number Publication Date
TW201700778A true TW201700778A (zh) 2017-01-01
TWI683925B TWI683925B (zh) 2020-02-01

Family

ID=55452978

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104143047A TWI683925B (zh) 2015-01-05 2015-12-22 用於矽與鍺氧化物之同向性原子層蝕刻

Country Status (7)

Country Link
US (1) US9431268B2 (zh)
EP (1) EP3041034A1 (zh)
JP (1) JP6742720B2 (zh)
KR (1) KR102598662B1 (zh)
CN (1) CN105762060B (zh)
SG (1) SG10201600021UA (zh)
TW (1) TWI683925B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI757516B (zh) * 2017-07-06 2022-03-11 日商東京威力科創股份有限公司 蝕刻方法及殘渣去除方法
TWI757545B (zh) * 2017-09-15 2022-03-11 日商關東電化工業股份有限公司 使用酸鹵化物之原子層蝕刻
TWI815898B (zh) * 2018-06-08 2023-09-21 日商東京威力科創股份有限公司 蝕刻方法及蝕刻裝置

Families Citing this family (117)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9831097B2 (en) 2015-12-18 2017-11-28 Applied Materials, Inc. Methods for selective etching of a silicon material using HF gas without nitrogen etchants
US10229837B2 (en) * 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
JP6601257B2 (ja) 2016-02-19 2019-11-06 東京エレクトロン株式会社 基板処理方法
KR20170122910A (ko) * 2016-04-27 2017-11-07 성균관대학교산학협력단 원자층 식각방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6742165B2 (ja) * 2016-06-14 2020-08-19 東京エレクトロン株式会社 窒化珪素膜の処理方法および窒化珪素膜の形成方法
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
CN107919277A (zh) * 2016-10-08 2018-04-17 北京北方华创微电子装备有限公司 去除晶片上的二氧化硅的方法及制造工艺
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
TWI728197B (zh) 2016-10-24 2021-05-21 美商克萊譚克公司 整合至一計量及/或檢測工具中之製程模組
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102227883B1 (ko) * 2016-12-14 2021-03-16 베이징 이타운 세미컨덕터 테크놀로지 컴퍼니 리미티드 급속 열 활성화 공정과 함께 플라즈마를 이용하는 원자층 에칭 공정
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10566206B2 (en) * 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10224212B2 (en) * 2017-01-27 2019-03-05 Lam Research Corporation Isotropic etching of film with atomic layer control
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) * 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US9779956B1 (en) * 2017-02-06 2017-10-03 Lam Research Corporation Hydrogen activated atomic layer etching
US10134600B2 (en) 2017-02-06 2018-11-20 Lam Research Corporation Dielectric contact etch
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10079154B1 (en) * 2017-03-20 2018-09-18 Lam Research Corporation Atomic layer etching of silicon nitride
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP6772117B2 (ja) * 2017-08-23 2020-10-21 株式会社日立ハイテク エッチング方法およびエッチング装置
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US11056358B2 (en) * 2017-11-14 2021-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer cleaning apparatus and method
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US11990360B2 (en) 2018-01-31 2024-05-21 Lam Research Corporation Electrostatic chuck (ESC) pedestal voltage isolation
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
WO2019226341A1 (en) 2018-05-25 2019-11-28 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
WO2020014065A1 (en) 2018-07-09 2020-01-16 Lam Research Corporation Electron excitation atomic layer etch
US10720337B2 (en) * 2018-07-20 2020-07-21 Asm Ip Holding B.V. Pre-cleaning for etching of dielectric materials
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN112119485B (zh) 2019-04-22 2024-01-02 株式会社日立高新技术 等离子处理方法
GB202117751D0 (en) * 2019-11-14 2022-01-26 Memsstar Ltd Method of manufacturing a microstructure
US11024512B1 (en) 2020-03-06 2021-06-01 International Business Machines Corporation Selective etch formulation for silicon oxide
US20220115239A1 (en) * 2020-04-10 2022-04-14 Hitachi High-Tech Corporation Etching method
JP7110492B2 (ja) 2020-06-16 2022-08-01 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
JP7174016B2 (ja) * 2020-07-16 2022-11-17 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
CN111994868B (zh) 2020-08-12 2022-05-17 天津大学 极紫外光与等离子体复合原子尺度加工方法
KR20230057348A (ko) * 2020-09-01 2023-04-28 가부시키가이샤 아데카 에칭 방법
US11295960B1 (en) 2021-03-09 2022-04-05 Hitachi High-Tech Corporation Etching method
US20230030436A1 (en) * 2021-07-30 2023-02-02 Applied Materials, Inc. Plasma treatment process to densify oxide layers
KR20230087076A (ko) * 2021-12-09 2023-06-16 서울과학기술대학교 산학협력단 원자층을 증착하는 증착 장치 및 증착 방법
JP7474903B2 (ja) 2022-02-14 2024-04-25 株式会社日立ハイテク エッチング処理方法
JP2023184336A (ja) * 2022-06-17 2023-12-28 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム

Family Cites Families (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI119941B (fi) 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
BE757746A (fr) 1969-12-22 1971-04-01 Ibm Dispositif d'alimentation electrique sans transformateur
US4313782A (en) 1979-11-14 1982-02-02 Rca Corporation Method of manufacturing submicron channel transistors
US4414069A (en) 1982-06-30 1983-11-08 International Business Machines Corporation Negative ion beam selective etching process
US4695327A (en) 1985-06-13 1987-09-22 Purusar Corporation Surface treatment to remove impurities in microrecesses
FR2617333B1 (fr) 1987-06-25 1990-01-05 Straboni Alain Procede d'elimination de zones de nitrure ou d'oxynitrure de silicium
US4756794A (en) 1987-08-31 1988-07-12 The United States Of America As Represented By The Secretary Of The Navy Atomic layer etching
US5030319A (en) 1988-12-27 1991-07-09 Kabushiki Kaisha Toshiba Method of oxide etching with condensed plasma reaction product
JPH069195B2 (ja) * 1989-05-06 1994-02-02 大日本スクリーン製造株式会社 基板の表面処理方法
US5268069A (en) 1991-10-28 1993-12-07 International Business Machines Corporation Safe method for etching silicon dioxide
US5234540A (en) 1992-04-30 1993-08-10 Submicron Systems, Inc. Process for etching oxide films in a sealed photochemical reactor
JP3005373B2 (ja) 1992-10-23 2000-01-31 東京エレクトロン株式会社 処理装置
US5282925A (en) 1992-11-09 1994-02-01 International Business Machines Corporation Device and method for accurate etching and removal of thin film
US5922624A (en) * 1993-05-13 1999-07-13 Imec Vzw Method for semiconductor processing using mixtures of HF and carboxylic acid
US5505816A (en) 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
JP3328416B2 (ja) * 1994-03-18 2002-09-24 富士通株式会社 半導体装置の製造方法と製造装置
US5439553A (en) 1994-03-30 1995-08-08 Penn State Research Foundation Controlled etching of oxides via gas phase reactions
JPH07335602A (ja) * 1994-06-06 1995-12-22 Dainippon Screen Mfg Co Ltd 基板の表面処理方法及び表面処理装置
US5635102A (en) * 1994-09-28 1997-06-03 Fsi International Highly selective silicon oxide etching method
US5636320A (en) 1995-05-26 1997-06-03 International Business Machines Corporation Sealed chamber with heating lamps provided within transparent tubes
US5792275A (en) 1995-06-06 1998-08-11 International Business Machines Corporation Film removal by chemical transformation and aerosol clean
JPH09102490A (ja) * 1995-07-31 1997-04-15 Toshiba Corp 半導体装置の製造方法及び半導体製造装置
KR100232664B1 (ko) * 1995-07-31 1999-12-01 니시무로 타이죠 반도체장치의 제조방법 및 반도체 제조장치
US5685951A (en) 1996-02-15 1997-11-11 Micron Technology, Inc. Methods and etchants for etching oxides of silicon with low selectivity in a vapor phase system
US5963833A (en) 1996-07-03 1999-10-05 Micron Technology, Inc. Method for cleaning semiconductor wafers and
US7052941B2 (en) 2003-06-24 2006-05-30 Sang-Yun Lee Method for making a three-dimensional integrated circuit structure
JPH10154712A (ja) 1996-11-25 1998-06-09 Fujitsu Ltd 半導体装置の製造方法
US5766971A (en) 1996-12-13 1998-06-16 International Business Machines Corporation Oxide strip that improves planarity
US5913140A (en) 1996-12-23 1999-06-15 Lam Research Corporation Method for reduction of plasma charging damage during chemical vapor deposition
US6074951A (en) 1997-05-29 2000-06-13 International Business Machines Corporation Vapor phase etching of oxide masked by resist or masking material
US5838055A (en) 1997-05-29 1998-11-17 International Business Machines Corporation Trench sidewall patterned by vapor phase etching
US5876879A (en) 1997-05-29 1999-03-02 International Business Machines Corporation Oxide layer patterned by vapor phase etching
US6706334B1 (en) 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US5858830A (en) 1997-06-12 1999-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making dual isolation regions for logic and embedded memory devices
US5968279A (en) 1997-06-13 1999-10-19 Mattson Technology, Inc. Method of cleaning wafer substrates
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
JP3283477B2 (ja) 1997-10-27 2002-05-20 松下電器産業株式会社 ドライエッチング方法および半導体装置の製造方法
US6107192A (en) 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6030881A (en) 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6146970A (en) 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6204198B1 (en) 1998-11-24 2001-03-20 Texas Instruments Incorporated Rapid thermal annealing of doped polycrystalline silicon structures formed in a single-wafer cluster tool
US6740247B1 (en) * 1999-02-05 2004-05-25 Massachusetts Institute Of Technology HF vapor phase wafer cleaning and oxide etching
US6265302B1 (en) 1999-07-12 2001-07-24 Chartered Semiconductor Manufacturing Ltd. Partially recessed shallow trench isolation method for fabricating borderless contacts
US6346489B1 (en) 1999-09-02 2002-02-12 Applied Materials, Inc. Precleaning process for metal plug that minimizes damage to low-κ dielectric
US20010016226A1 (en) 1999-12-15 2001-08-23 International Business Machines Corporation Method for preparing the surface of a dielectric
US6335261B1 (en) 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
US6483154B1 (en) 2000-10-05 2002-11-19 Advanced Micro Devices, Inc. Nitrogen oxide plasma treatment for reduced nickel silicide bridging
US6573181B1 (en) 2000-10-26 2003-06-03 Applied Materials, Inc. Method of forming contact structures using nitrogen trifluoride preclean etch process and a titanium chemical vapor deposition step
US6926843B2 (en) 2000-11-30 2005-08-09 International Business Machines Corporation Etching of hard masks
US6652713B2 (en) 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
US7513971B2 (en) 2002-03-18 2009-04-07 Applied Materials, Inc. Flat style coil for improved precision etch uniformity
TWI278532B (en) 2002-06-23 2007-04-11 Asml Us Inc Method for energy-assisted atomic layer deposition and removal
AU2003245677A1 (en) * 2002-06-23 2004-01-06 Aviza Technology, Inc. Method and system for atomic layer removal and atomic layer exchange
US6803309B2 (en) 2002-07-03 2004-10-12 Taiwan Semiconductor Manufacturing Co., Ltd Method for depositing an adhesion/barrier layer to improve adhesion and contact resistance
US6817776B2 (en) 2002-11-19 2004-11-16 International Business Machines Corporation Method of bonding optical fibers and optical fiber assembly
US6774000B2 (en) 2002-11-20 2004-08-10 International Business Machines Corporation Method of manufacture of MOSFET device with in-situ doped, raised source and drain structures
US6858532B2 (en) 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
US6992011B2 (en) 2003-01-15 2006-01-31 Tokyo Electron Limited Method and apparatus for removing material from chamber and wafer surfaces by high temperature hydrogen-containing plasma
WO2004073850A1 (en) 2003-02-14 2004-09-02 Tokyo Electron Limited Gas feeding apparatus
US7079760B2 (en) 2003-03-17 2006-07-18 Tokyo Electron Limited Processing system and method for thermally treating a substrate
US7214274B2 (en) 2003-03-17 2007-05-08 Tokyo Electron Limited Method and apparatus for thermally insulating adjacent temperature controlled processing chambers
US6951821B2 (en) 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US7029536B2 (en) 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US6790733B1 (en) 2003-03-28 2004-09-14 International Business Machines Corporation Preserving TEOS hard mask using COR for raised source-drain including removable/disposable spacer
KR100498494B1 (ko) 2003-04-08 2005-07-01 삼성전자주식회사 회전 이동 방식의 원격 플라즈마 강화 세정 장치
US6882025B2 (en) 2003-04-25 2005-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Strained-channel transistor and methods of manufacture
US6693050B1 (en) 2003-05-06 2004-02-17 Applied Materials Inc. Gapfill process using a combination of spin-on-glass deposition and chemical vapor deposition techniques
US6716691B1 (en) 2003-06-25 2004-04-06 Sharp Laboratories Of America, Inc. Self-aligned shallow trench isolation process having improved polysilicon gate thickness control
KR100512939B1 (ko) 2003-07-10 2005-09-07 삼성전자주식회사 트렌치 소자분리 방법
KR100583637B1 (ko) 2003-08-19 2006-05-26 삼성전자주식회사 반도체 소자의 텅스텐 콘택 형성 방법 및 텅스텐 콘택형성 장비
US20050070120A1 (en) 2003-08-28 2005-03-31 International Sematech Methods and devices for an insulated dielectric interface between high-k material and silicon
US6967167B2 (en) 2003-09-30 2005-11-22 International Business Machines Corporation Silicon dioxide removing method
US7205233B2 (en) 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
US20050218113A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for adjusting a chemical oxide removal process using partial pressure
JP2005166700A (ja) 2003-11-28 2005-06-23 Toshiba Corp 半導体装置及びその製造方法
US6949481B1 (en) 2003-12-09 2005-09-27 Fasl, Llc Process for fabrication of spacer layer with reduced hydrogen content in semiconductor device
US7098116B2 (en) 2004-01-08 2006-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Shallow trench isolation method for reducing oxide thickness variations at different pattern densities
US6852584B1 (en) 2004-01-14 2005-02-08 Tokyo Electron Limited Method of trimming a gate electrode structure
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20060051966A1 (en) 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
KR20050110751A (ko) 2004-05-19 2005-11-23 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 형성 방법
KR100599437B1 (ko) 2004-06-30 2006-07-12 주식회사 하이닉스반도체 반도체소자의 소자분리 방법
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
WO2008088300A2 (en) * 2005-03-08 2008-07-24 Primaxx, Inc. Selective etching of oxides from substrates
JP2006261451A (ja) * 2005-03-17 2006-09-28 Sony Corp エッチング方法
US20100062602A1 (en) 2005-04-28 2010-03-11 Phyzchemix Corporation Etching method, method for producing dielectric film of low dielectric constant, method for producing porous member, etching system and thin film forming equipment
KR100746223B1 (ko) 2005-09-09 2007-08-03 삼성전자주식회사 반도체소자의 트렌치 소자분리 방법
US20070063277A1 (en) 2005-09-22 2007-03-22 International Business Machines Corporation Multiple low and high k gate oxides on single gate for lower miller capacitance and improved drive current
US7435661B2 (en) 2006-01-27 2008-10-14 Atmel Corporation Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation
JP2007258266A (ja) 2006-03-20 2007-10-04 Fujitsu Ltd 半導体装置の製造方法
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
JP2008210909A (ja) 2007-02-26 2008-09-11 Toshiba Corp 半導体装置の製造方法
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
US20080233709A1 (en) 2007-03-22 2008-09-25 Infineon Technologies North America Corp. Method for removing material from a semiconductor
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
CN103117216B (zh) * 2011-11-17 2015-08-05 中芯国际集成电路制造(上海)有限公司 避免浅沟槽隔离结构产生缺角的半导体器件的制作方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI757516B (zh) * 2017-07-06 2022-03-11 日商東京威力科創股份有限公司 蝕刻方法及殘渣去除方法
TWI757545B (zh) * 2017-09-15 2022-03-11 日商關東電化工業股份有限公司 使用酸鹵化物之原子層蝕刻
TWI815898B (zh) * 2018-06-08 2023-09-21 日商東京威力科創股份有限公司 蝕刻方法及蝕刻裝置

Also Published As

Publication number Publication date
TWI683925B (zh) 2020-02-01
SG10201600021UA (en) 2016-08-30
JP6742720B2 (ja) 2020-08-19
EP3041034A1 (en) 2016-07-06
US20160196984A1 (en) 2016-07-07
CN105762060A (zh) 2016-07-13
KR102598662B1 (ko) 2023-11-03
KR20160084313A (ko) 2016-07-13
JP2016129227A (ja) 2016-07-14
US9431268B2 (en) 2016-08-30
CN105762060B (zh) 2020-05-01

Similar Documents

Publication Publication Date Title
TWI683925B (zh) 用於矽與鍺氧化物之同向性原子層蝕刻
TW201635383A (zh) 使用一氧化氮活化之矽氧化物的同向性原子層蝕刻
KR102377013B1 (ko) 갭충진을 위한 컨포멀 막 증착
US11257674B2 (en) Eliminating yield impact of stochastics in lithography
TWI699831B (zh) 非等向性鎢蝕刻用方法及設備
US20210343579A1 (en) Method to create air gaps
CN105719952B (zh) 用于电介质蚀刻应用的集成蚀刻/清洁
KR102385553B1 (ko) 멀티스테이션 기판 증착 시스템들에서 단일 ald 사이클 두께 제어
JP5771339B2 (ja) 原子層堆積リソグラフィ
US20150247238A1 (en) Rf cycle purging to reduce surface roughness in metal oxide and metal nitride films
CN107799390A (zh) 用于半导体图案化应用的高干法蚀刻速率材料
TW201635381A (zh) 選擇性氮化物蝕刻
TW202217457A (zh) 用於含金屬光阻沉積的表面改質
TW201535513A (zh) 介電常數減少且機械性質強化的低k介電層
CN118020031A (zh) 含金属光致抗蚀剂的再加工