CN100595891C - 用于低k刻蚀后的无损灰化工艺和系统 - Google Patents

用于低k刻蚀后的无损灰化工艺和系统 Download PDF

Info

Publication number
CN100595891C
CN100595891C CN200680028670A CN200680028670A CN100595891C CN 100595891 C CN100595891 C CN 100595891C CN 200680028670 A CN200680028670 A CN 200680028670A CN 200680028670 A CN200680028670 A CN 200680028670A CN 100595891 C CN100595891 C CN 100595891C
Authority
CN
China
Prior art keywords
gas
plasma
dielectric layer
etching
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN200680028670A
Other languages
English (en)
Other versions
CN101238551A (zh
Inventor
西野雅
道格拉斯·M·特瑞克凯特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101238551A publication Critical patent/CN101238551A/zh
Application granted granted Critical
Publication of CN100595891C publication Critical patent/CN100595891C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

提供了一种用于低介电常数(低k)层中的特征刻蚀之后的衬底灰化的工艺。低k层可包括超低k材料或多孔低k材料。该工艺可被配置为去除刻蚀副产物,同时保留特征的临界尺寸。灰化工艺包括使用含氮和含氢的化学物质以及包括氧元素的钝化化学物质,例如O2、CO、或CO2或其任意组合。

Description

用于低k刻蚀后的无损灰化工艺和系统
相关申请的交叉引用
本申请与以下美国申请有关:2003年12月17日提交的题为“Methodand apparatus for bilayer photoresist dry development”的美国申请No.10/736,782;以及2003年8月14日提交的题为“Method and apparatus formultilayer photoresist dry development”的美国申请No.10/640,577。所有这些申请的全部内容通过引用而整体结合于此。本申请基于并要求2005年8月3日提交的美国专利申请No.11/195,854的优先权。
技术领域
本发明涉及用于利用干法等离子体工艺去除衬底上的刻蚀后残留物(post-etch residue)的方法和系统,更具体而言涉及用于执行低介电常数(低k)层上的刻蚀后残留物的无损去除的方法和系统,其中低k层的介电常数小于SiO2的介电常数。
背景技术
在半导体领域中已知的是,互连延迟是提高集成电路(IC)的速度和性能方面的一个主要限制因素。一种最小化互连延迟的方式是在IC的生产期间使用低介电常数(低k)材料来减小互连电容。因而,近年来,低k材料已被开发用来替代相对较高介电常数的绝缘材料,例如二氧化硅。具体而言,低k膜被用于半导体器件的金属层之间的层间和层内介电层。另外,为了进一步减小绝缘材料的介电常数,材料膜形成有孔,即,多孔低k介电膜。这种低k膜可通过类似于施加光刻胶的旋涂电介质(SOD)方法沉积,或者通过化学气相沉积(CVD)来沉积。因而,低k材料的使用很容易适应于现有的半导体制造工艺。尽管低k材料有希望用于制作半导体电路,但是本发明的发明人已认识到,这些膜也提供了许多挑战。首先,低k膜往往比更传统的介电层坚固性低,并且可能在晶片处理期间受到损伤,例如被一般用在对介电层进行图案化的刻蚀和等离子体灰化工艺损伤。此外,某些低k膜往往在受损时是高度反应性的,尤其是在图案化之后,从而允许低k材料吸附水汽和/或与其他蒸汽和/或可能改变介电层的电属性的工艺污染物反应。结果,原本具有低介电常数的低k材料受到损伤,导致其介电常数增大并且其最初具有的优势丢失。目前,从具有低k层的先进半导体器件去除刻蚀后残留物的操作是通过将这些层暴露于干法等离子体灰化工艺来进行的。具体而言,干法等离子体采用了基于氧气的化学过程;但是,已经观察到,传统的氧气等离子体如上所述对低k层有损伤。作为替换,已研究了基于氮气、氢气和氨气的化学过程,但是这些化学过程已被证明对低k层下层的刻蚀停止层具有很差的刻蚀选择性。在等离子体灰化期间去除刻蚀停止层可能导致潜在的半导体器件损伤。
发明内容
本发明涉及一种用于利用干法等离子体工艺去除衬底上的刻蚀后残留物的方法。具体而言,本发明涉及一种用于执行低介电常数(低k)层上的刻蚀后残留物的无损去除的方法,其中低k层的介电常数小于SiO2的介电常数。
根据一个实施例,描述了一种从衬底去除刻蚀后残留物的方法。将具有介电层的衬底置于等离子体处理系统中,其中介电层具有小于SiO2的介电常数的介电常数值,介电层具有利用刻蚀工艺形成在其中的特征,并且该特征具有通过刻蚀工艺形成在其上的刻蚀后残留物。引入包括含氮气体、含氢气体和含氧气体的处理气体,其中含氧气体包括氧气(O2)、CO、或CO2或其任意组合。在等离子体处理系统中由处理气体形成等离子体。将衬底暴露于等离子体以去除刻蚀后残留物,
根据另一个实施例,描述了一种从衬底去除刻蚀后残留物的方法。将具有介电层的衬底置于等离子体处理系统中,其中介电层具有利用刻蚀工艺形成在其中的特征,并且该特征具有通过刻蚀工艺形成在其上的刻蚀后残留物,并且介电层具有小于SiO2的介电常数的介电常数值。引入包括含氮气体、含氢气体和含氧气体的处理气体,其中含氧气体包括CO、或CO2或其任意组合。在等离子体处理系统中由处理气体形成等离子体。将衬底暴露于等离子体以去除刻蚀后残留物,同时不引起介电层的介电常数值发生实质性变化。
根据又一个实施例,描述了一种用于从衬底上的介电层中的特征去除刻蚀后残留物的等离子体处理系统。该系统包括等离子体处理室和控制器,等离子体处理室适用于由处理气体形成等离子体以从特征去除刻蚀后残留物,控制器耦合到等离子体处理室并且被配置为执行利用处理气体的工艺流程。处理气体包括含氮气体、含氢气体和含氧气体,其中含氧气体包括CO、或CO2、或O2或其任意组合。介电层具有小于SiO2的介电常数的介电常数值,并且刻蚀后残留物的去除不引起介电层的介电常数值发生实质性变化。
附图说明
在附图中:
图1A、1B和1C示出了用于图案化刻蚀薄膜的典型流程的示意性图示;
图2示出了根据本发明一个实施例的等离子体处理系统的简化示意图;
图3示出了根据本发明另一个实施例的等离子体处理系统的示意图;
图4示出了根据本发明另一个实施例的等离子体处理系统的示意图;
图5示出了根据本发明另一个实施例的等离子体处理系统的示意图;
图6示出了根据本发明另一个实施例的等离子体处理系统的示意图;以及
图7给出了在根据本发明实施例的等离子体处理系统中从衬底上的介电层去除刻蚀后残留物的方法。
具体实施方式
在材料处理方法中,图案刻蚀包括向衬底的上表面施加光敏材料(例如光刻胶)的薄层,这一薄层随后被图案化以提供用于在刻蚀期间将该图案转印到下层的薄膜的掩模。光敏材料的图案化一般涉及例如使用微光刻系统由辐射源通过光敏材料的光罩(和相关联的光学器件)进行曝光,接着利用显影溶剂去除光敏材料的被照射区域(在正型光刻胶的情况下)或未被照射区域(在负型光刻胶的情况下)。
例如,如图1A-C所示,包括光敏层3(在光敏层3上利用传统光刻技术形成有图案2)的刻蚀掩模6可被用作用于刻蚀介电层4的掩模,其中光敏层3中的掩模图案2被利用刻蚀工艺转印到介电层4上。刻蚀停止层7可用于辅助刻蚀工艺的结束,同时防止刻蚀工艺穿透下层的衬底层5。例如,刻蚀停止层可包括氮化硅或碳化硅以用于硅处理。一旦执行刻蚀工艺,光敏材料的残余和刻蚀后残留物8就被留在特征9的表面上。
在刻蚀工艺之后,去除刻蚀后残留物。传统上,如上所述,氧气等离子体被用于去除这种残留物;但是,已经观察到,这种传统工艺损伤了介电层,并且在许多情况下引起了层的介电常数的增加。在先进半导体器件中,介电层包括低介电常数(低k)材料。例如,介电层的介电常数值小于SiO2的介电常数,后者约为4(例如,热二氧化硅的介电常数可以从3.8到3.9)。或者例如,介电常数值可以小于2.5。例如,介电层的特征可以是超低k材料。
介电层可包括有机、无机和无机-有机混合材料中的至少一种。另外,介电层可以是多孔的或非多孔的。例如,介电层可包括无机的基于硅酸盐的材料,例如利用CVD技术沉积的氧化有机硅烷(或有机硅氧烷)。这种层的示例包括可从Applied Materials Inc.购得的Black DiamondTM CVD有机硅酸盐玻璃(OSG)膜,或可从Novellus Systems购得的CoralTM CVD膜。另外,多孔介电层可包括单相材料,例如具有CH3键的基于氧化硅的基体,CH3键在固化工艺期间被打断以产生小的孔隙(或孔)。另外,例如,多孔介电层可包括双相材料,例如具有有机材料(例如,孔生材料)的孔的基于氧化硅的基体,其中所述有机材料在固化工艺期间被蒸发。或者,介电层可包括无机的基于硅酸盐的材料,例如利用SOD技术沉积的氢硅倍半氧烷(HSQ)或甲基硅倍半氧烷(MSQ)。这种膜的示例包括可从Dow Corning购得的FOx HSQ、可从Dow Corning购得的XLK多孔HSQ以及可从JSR Microelectronics购得的JSR LKD-5109。又或者,介电层可包括利用SOD技术沉积的有机材料。这种膜的示例包括可从DowChemical购得的SiLK-I、SiLK-J、SiLK-H、SiLK-D和多孔SiLK半导体介电树脂、可从Honeywell购得的Nano-glass以及FLARETM。
在一个实施例中,描述了一种用于利用包括含氮(N)气体、含氢(H)气体和含氧(O)气体在内的处理气体来从介电层去除刻蚀后残留物的工艺。或者,在单种气体中可包括氮(N)、氢(H)和氧(O)中的两种或更多种。例如,基于氨气-氧气(NH3/O2)的化学过程可被引入作为从低k介电层去除刻蚀后残留物的方法。在替换实施例中,基于氮气-氢气-氧气(N2/H2/O2)的化学过程可用于从低k介电层去除刻蚀后残留物。或者,可以添加一氧化碳(CO),或用于替代前两种化学过程中的O2。或者,可以添加二氧化碳(CO2),或用于替代前三种化学过程中的O2。或者,处理气体还可包括惰性气体,例如稀有气体(即,氦、氖、氩、氪、氙等)。
根据一个实施例,在图2中示出了等离子体处理系统1,该系统1包括等离子体处理室10、耦合到等离子体处理室10的诊断系统12以及耦合到诊断系统12和等离子体处理室10的控制器14。控制器14被配置为包括上述化学过程(即,NH3/O2、N2/H2/O2、NH3/CO、N2/H2/CO、NH3/CO2、N2/H2/CO2等)中的至少一种的工艺流程,以从介电层去除刻蚀后残留物。另外,控制器14被配置为从诊断系统12接收至少一个终点信号并对至少一个终点信号进行后处理以准确地确定工艺的终点。在图示实施例中,图2中所示的等离子体处理系统1采用等离子体来进行材料处理。等离子体处理系统1可包括刻蚀室。
根据图3中所示的实施例,等离子体处理系统1a可包括等离子体处理室10、衬底夹持器20和真空泵系统30,在衬底夹持器20上附着有待处理的衬底25。衬底25可例如是半导体衬底、晶片或液晶显示屏。等离子体处理室10可被配置为适用于在衬底25的表面附近的处理区域15中生成等离子体。可离子化的气体或气体混合物经由气体注入系统(未示出)被引入,并且工艺压强被调节。例如,控制机构(未示出)可用于节流真空泵系统30。等离子体可用于产生特定于预定的材料处理的材料,并且/或者帮助从衬底25的暴露表面去除材料。等离子体处理系统1a可被配置为处理任何大小的衬底,例如200mm衬底、300mm衬底或更大的衬底。
衬底25可经由静电夹紧系统附着于衬底夹持器20。此外,衬底夹持器20还可包括包含再循环冷却剂流的冷却系统,再循环冷却剂流从衬底夹持器20接收热量并将热量传到热交换器系统(未示出),或者在加热时传送来自热交换器系统的热量。而且,气体可经由背面气体系统传输到衬底25的背面,以提高衬底25和衬底夹持器20之间的气体间隙热导率。这种系统可用在需要在升高或降低的温度下对衬底进行温度控制时。例如,背面气体系统可包括两区气体分配系统,其中氦气间隙压强可以在衬底25的中心和边缘之间独立变化。在其他实施例中,诸如电阻加热元件或热电加热器/冷却器之类的加热/冷却元件可被包括在衬底夹持器20中以及包括在等离子体处理室10的室壁和等离子体处理系统1a内的任何其他部件中。
在图3所示的实施例中,衬底夹持器20可包括电极,RF功率通过该电极耦合到处理空间15中的处理等离子体。例如,衬底夹持器20可经由从RF发生器40通过阻抗匹配网络50发送到衬底夹持器20的RF功率而电偏置在某一RF电压。RF偏置可用来加热电子以形成并维持等离子体。在该配置中,系统可工作为反应离子刻蚀(RIE)反应器,其中室和上气体注入电极用作地表面。RF偏置的典型频率可以从约0.1MHz到约100MHz。用于等离子体处理的RF系统对于本领域技术人员是公知的。
或者,RF功率以多个频率被施加到衬底夹持器电极。此外,阻抗匹配网络50用来通过减少反射功率来提高RF功率向等离子体处理室10中的等离子体的传输。匹配网络拓扑(例如,L型、π型、T型等)和自动控制方法对于本领域技术人员是公知的。
真空泵系统30可例如包括泵速能达到5000公升每秒(以及更大)的涡轮分子真空泵(TMP)和用于节流室压强的门阀。在传统的用于干法等离子体刻蚀的等离子体处理设备中,一般采用1000到3000公升每秒的TMP。TMP可用于低压处理,这种低压处理一般小于约50mTorr。对于高压处理(即,大于约100mTorr),可使用机械增压泵和干法粗抽泵。此外,用于监视室压强的设备(未示出)可耦合到等离子体处理室10。压强测量设备可例如是可从MKS Instruments Inc.(Andover,MA)购得的628B型Baratron绝对电容压力计。
控制器14包括微处理器、存储器和数字I/O端口,该数字I/O端口能够生成足以与等离子体处理系统1a通信并激活对等离子体处理系统1a的输入以及监视来自等离子体处理系统1a的输出的控制电压。而且,控制器14可耦合到RF发生器40、阻抗匹配网络50、气体注入系统(未示出)、真空泵系统30、以及背面气体传输系统(未示出)、衬底/衬底夹持器温度测量系统(未示出)和/或静电夹紧系统(未示出),并可与其交换信息。例如,存储在存储器中的程序可用于根据工艺流程激活到等离子体处理系统1a的前述部件的输入以执行刻蚀有机ARC层的方法。控制器14的一个示例是可从Dell Corporation,Austin,Texas购得的DELL PRECISIONWORKSTATION 610TM
控制器14可位于等离子体处理系统1a本地,或者可经由因特网或内联网远离等离子体处理系统1a。因而,控制器14可利用直接连接、内联网、或因特网或其任意组合来与等离子体处理系统1a交换数据。控制器14可耦合到客户站点(即,器件制造商等)处的内联网,或者耦合到供应商站点(即,装备制造商)处的内联网。此外,另一计算机(即,控制器、服务器等)可经由直接连接、内联网、或因特网或其任意组合来访问控制器14以交换数据。
诊断系统12可包括光学诊断子系统(未示出)。光学诊断子系统可包括诸如(硅)光电二极管或光电倍增管(PMT)之类的检测器,该检测器用于测量从等离子体发射的光强。诊断系统12还可包括诸如窄带干涉滤波器之类的滤光器。在替换实施例中,诊断系统12可包括线性CCD(电荷耦合器件)、CID(电荷注入器件)阵列或诸如光栅或棱镜之类的光发散器件或其任意组合。另外,诊断系统12可包括用于测量给定波长的光的单色仪(例如,光栅/检测器系统)或用于测量光谱的分光计(例如,具有旋转光栅的分光计),例如在美国专利No.5,888,337中描述的设备。
诊断系统12可包括高分辨率光学发射谱(OES)传感器,例如来自Peak Sensor Systems或Verity Instruments Inc.的传感器。这种OES传感器具有跨紫外(UV)、可见(VIS)和近红外(NIR)光谱的宽广光谱。分辨率约为1.4埃,即,传感器能够收集从240到1000nm的5550个波长。例如,OES传感器可配备有高灵敏度微型光纤UV-VIS-NIR分光计,该分光计又集成有2048像素的线性CCD阵列。
分光计接收通过单根光纤或集束光纤发送来的光,其中从光纤输出的光利用固定光栅发散在线性CCD阵列上。利用上述配置,透过光学真空窗口的光可经由凸球面镜聚焦到光纤的输入端上。三个分光计(每个被特别调谐用于给定谱范围(UV、VIS和NIR))形成了处理室10的传感器。每个分光计包括独立的A/D转换器。最后,取决于传感器的使用,可以每0.1到1.0秒记录全发射谱。
在图4所示的实施例中,等离子体处理系统1b可类似于图2或3的实施例,并且除了包括参考图2和图3所述的那些部件以外,还可包括固定的、或机械或电旋转的磁场系统60,以潜在地增大等离子体密度和/或提高等离子体处理均匀性。而且,控制器14可耦合到磁场系统60以调控旋转速度和场强。旋转磁场的设计和实现方式对于本领域技术人员是公知的。
在图5所示的实施例中,等离子体处理系统1c可类似于图2或图3的实施例,并且还可包括上电极70,RF功率可以从RF发生器72通过阻抗匹配网络74耦合到上电极70。用于向上电极施加RF功率的典型频率可以从约0.1MHz到约200MHz。另外,用于向下电极施加功率的典型频率可以从约0.1MHz到约100MHz。而且,控制器14耦合到RF发生器72和阻抗匹配网络74以控制向上电极70施加RF功率的操作。上电极的设计和实现方式对于本领域技术人员是公知的。
在图6所示的实施例中,等离子体处理系统1d可类似于图2和3的实施例,并且还可包括电感线圈80,RF功率经由RF发生器82通过阻抗匹配网络84耦合到电感线圈80。RF功率从电感线圈80通过介电窗口(未示出)电感性地耦合到等离子体处理区域15。用于向电感线圈80施加RF功率的典型频率可以从约10MHz到约100MHz。类似地,用于向卡盘电极施加功率的典型频率可以从约0.1MHz到约100MHz。另外,缝隙式Faraday屏蔽(未示出)可用于减少电感线圈80和等离子体之间的电容性耦合。而且,控制器14耦合到RF发生器82和阻抗匹配网络84以控制向电感线圈80施加功率的操作。在替换实施例中,电感线圈80可以是从上部与等离子体处理区域15通信的“螺旋”线圈或“扁平”线圈,就像在变压器耦合等离子体(TCP)反应器中一样。电感耦合等离子体(ICP)源或变压器耦合等离子体(TCP)源的设计和实现方式对于本领域技术人员是公知的。
或者,等离子体可利用电子回旋共振(ECR)形成。在另一个实施例中,等离子体是通过引入螺旋波形成的。在又一个实施例中,等离子体是通过传播表面波形成的。上述的每种等离子体源对于本领域技术人员都是公知的。
在下面的讨论中,给出了一种利用等离子体处理设备从介电层去除刻蚀后残留物的方法。等离子体处理设备可包括例如参考图2到6所述的各种元件或其组合。
在一个实施例中,一种从介电层去除刻蚀后残留物的方法包括基于NH3/CO的化学过程。例如,工艺参数空间可包括约20到约1000mTorr的室压强、范围从约50到约2000sccm的NH3处理气体流率、范围从约50到约1000sccm的CO处理气体流率、范围从约0到约2000W的上电极(例如,图5中的元件70)RF偏置以及范围从约10到约1000W的下电极(例如,图5中的元件20)RF偏置。另外,上电极偏置频率可以从约0.1MHz到约200MHz,例如约为60MHz。另外,下电极偏置频率可以从约0.1MHz到约100MHz,例如约为2MHz。
在另一个实施例中,从介电层去除刻蚀后残留物的方法包括基于NH3/O2的化学过程。例如,工艺参数空间可包括约20到约1000mTorr的室压强、范围从约50到约2000sccm的NH3处理气体流率、范围从约50到约1000sccm的O2处理气体流率、范围从约0到约2000W的上电极(例如,图5中的元件70)RF偏置以及范围从约10到约1000W的下电极(例如,图5中的元件20)RF偏置。另外,上电极偏置频率可以从约0.1MHz到约200MHz,例如约为60MHz。另外,下电极偏置频率可以从约0.1MHz到约100MHz,例如约为2MHz。
在又一个实施例中,从介电层去除刻蚀后残留物的方法包括基于NH3/CO2的化学过程。例如,工艺参数空间可包括约20到约1000mTorr的室压强、范围从约50到约2000sccm的NH3处理气体流率、范围从约50到约1000sccm的CO2处理气体流率、范围从约0到约2000W的上电极(例如,图5中的元件70)RF偏置以及范围从约10到约1000W的下电极(例如,图5中的元件20)RF偏置。另外,上电极偏置频率可以从约0.1MHz到约200MHz,例如约为60MHz。另外,下电极偏置频率可以从约0.1MHz到约100MHz,例如约为2MHz。
在一个示例中,给出了利用例如图5中所描述的等离子体处理设备来从介电层去除刻蚀后残留物的方法。但是,所讨论的方法的范围并不受该示例性内容的限制。表I给出了采用了下面的示例性工艺方案在去除刻蚀后残留物后(SiCOH低k)介电层中刻蚀的特征的临界尺寸(CD)(顶部、中部和底部)(nm,纳米)以及在刻蚀后残留物之后相对于在去除刻蚀后残留物之前特征的临界尺寸的偏移(CD偏移)(nm):室压强=约400mTorr;上电极RF功率=约0W;下电极RF功率=约300W;处理气体流率NH3/CO=约1200/600sccm;电极70(见图5)的下表面和衬底夹持器20上衬底25的上表面之间的间隔约为60mm;下电极温度(例如,图5中的衬底夹持器20)=约20℃;上电极温度(例如,图5中的电极70)=约60℃;室壁温度=约60℃;背面氦气压强中心/边缘=约10/35Torr;刻蚀时间约为125秒。临界尺寸和临界尺寸偏移是针对嵌套特征(紧密间隔特征)和隔离特征(宽松间隔特征)提供的。
另外,还针对用于从介电层去除刻蚀后残留物的其他两种工艺流程提供了临界尺寸和临界尺寸偏移。首先,提供了传统的基于氧气(O2)的化学过程:室压强=约20mTorr;上电极RF功率=约300W;下电极RF功率=约0W;处理气体流率O2/Ar=约200/550sccm;电极70(见图5)的下表面和衬底夹持器20上衬底25的上表面之间的间隔约为60mm;下电极温度(例如,图5中的衬底夹持器20)=约20℃;上电极温度(例如,图5中的电极70)=约60℃;室壁温度=约60℃;背面氦气压强中心/边缘=约10/35Torr;刻蚀时间约为55秒。其次,提供了基于氨气(NH3)的化学过程:室压强=约400mTorr;上电极RF功率=约0W;下电极RF功率=约300W;处理气体流率NH3=约1800sccm;电极70(见图5)的下表面和衬底夹持器20上衬底25的上表面之间的间隔约为60mm;下电极温度(例如,图5中的衬底夹持器20)=约20℃;上电极温度(例如,图5中的电极70)=约60℃;室壁温度=约60℃;背面氦气压强中心/边缘=约10/35Torr;刻蚀时间约为120秒。
表I
  嵌套的
  CD   CD偏移
  化学过程   位置   顶部   中部   底部   顶部   中部   底部
  O<sub>2</sub>   中心   121   159   99   4   52   17
  边缘   121   169   105   3   57   13
  NH<sub>3</sub>   中心   116   110   96   1   7   7
  边缘   113   107   87   7   11   11
  NH<sub>3</sub>+CO   中心   121   118   98   4   3   5
  边缘   116   112   90   3   3   5
  隔离的
  CD   CD偏移
  化学过程   位置   顶部   中部   底部   顶部   中部   底部
  O<sub>2</sub>   中心   123   171   101   2   50   13
  边缘   140   190   138   5   59   39
  NH<sub>3</sub>   中心   125   113   105   6   14   8
  边缘   133   123   109   2   10   10
  NH<sub>3</sub>+CO   中心   136   132   110   7   5   5
  边缘   134   122   100   3   7   9
如表I所示,当采用具有CO的基于氨气(NH3)的化学过程时,CD偏移明显地减小。例如,嵌套特征的CD偏移小于或等于5nm,并且隔离特征的CD偏移小于10nm。另外,临界尺寸的偏移不能超过临界尺寸的约10%。尽管仅基于氨气的化学过程的CD偏移是合理的,但是本发明人已认识到,利用该化学过程去除刻蚀后残留物引起了对(低k)介电层下层的刻蚀停止层的过量去除。
图7给出了用于在根据本发明实施例的等离子体处理系统中从衬底上的(低k)介电层去除刻蚀后残留物的方法的流程图。过程400开始于410,在410中处理气体被引入到等离子体处理系统,其中处理气体包括含氮(N)气体、含氢(H)气体和含氧(O)气体。例如,处理气体可包括氨气(NH3)和氧气(O2)。或者,处理气体可包括氮气(N2)、氢气(H2)和氧气(O2)。或者,处理气体可包括氨气(NH3)和一氧化碳(CO)。或者,处理气体可包括氮气(N2)、氢气(H2)和一氧化碳(CO)。或者,处理气体可包括氨气(NH3)和二氧化碳(CO2)。或者,处理气体可包括氮气(N2)、氢气(H2)和二氧化碳(CO2)。或者,处理气体还可包括惰性气体,例如稀有气体。
在420中,在等离子体处理系统中例如使用图2到6中描述的任何一种系统或其组合由处理气体形成等离子体。
在430中,包括介电层(其上有刻蚀后残留物)的衬底被暴露于在420中形成的等离子体,以去除刻蚀后残留物而不损伤介电层。在该实施例中,暴露于等离子体可以使介电层下层的刻蚀停止层的厚度减少小于约10%。
尽管上面仅详细描述了本发明的某些实施例,但是本领域技术人员将很容易意识到,在实施例中可以作出许多修改,而实质上并不脱离本发明的新颖教导和优点。因此,所有这些修改都应当被包括在本发明的范围内。

Claims (10)

1.一种从衬底去除刻蚀后残留物的方法,包括:
将具有介电层的所述衬底置于等离子体处理系统中,其中所述介电层具有利用刻蚀工艺形成在其中的特征,并且所述特征具有通过所述刻蚀工艺形成在其上的所述刻蚀后残留物,并且所述介电层具有小于SiO2的介电常数的介电常数;
引入包括含氮气体、含氢气体和含氧气体的处理气体,其中所述含氧气体包括CO或CO2或这两者;
在所述等离子体处理系统中由所述处理气体形成等离子体;以及
将所述衬底暴露于所述等离子体以去除所述刻蚀后残留物,同时不引起所述介电层的所述介电常数值发生实质性变化,
其中,放置具有所述介电层的所述衬底的步骤包括放置在所述介电层下有刻蚀停止层的衬底,
其中,将所述衬底暴露于所述等离子体的步骤导致所述刻蚀停止层的损耗小于所述刻蚀停止层的厚度的10%。
2.如权利要求1所述的方法,其中引入所述处理气体的步骤还包括引入惰性气体。
3.如权利要求2所述的方法,其中引入所述惰性气体的步骤包括引入稀有气体。
4.如权利要求1所述的方法,其中引入所述含氮气体和所述含氢气体的步骤包括引入氨气(NH3)。
5.如权利要求1所述的方法,其中引入所述含氮气体和所述含氢气体的步骤包括引入氮气(N2)和氢气(H2)。
6.如权利要求1所述的方法,其中引入所述处理气体的步骤包括引入NH3和CO。
7.如权利要求1所述的方法,其中所述介电层包括多孔介电层。
8.如权利要求1所述的方法,其中所述介电层具有小于2.5的介电常数值。
9.如权利要求1所述的方法,其中形成所述等离子体的步骤包括将射频(RF)功率耦合到所述衬底置于其上的衬底夹持器。
10.如权利要求1所述的方法,其中所述刻蚀停止层包括氮化硅或碳化硅。
CN200680028670A 2005-08-03 2006-05-24 用于低k刻蚀后的无损灰化工艺和系统 Expired - Fee Related CN100595891C (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/195,854 2005-08-03
US11/195,854 US7279427B2 (en) 2005-08-03 2005-08-03 Damage-free ashing process and system for post low-k etch
PCT/US2006/019914 WO2007018678A2 (en) 2005-08-03 2006-05-24 Damage-free ashing process and system for post low-k etch

Publications (2)

Publication Number Publication Date
CN101238551A CN101238551A (zh) 2008-08-06
CN100595891C true CN100595891C (zh) 2010-03-24

Family

ID=37718178

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200680028670A Expired - Fee Related CN100595891C (zh) 2005-08-03 2006-05-24 用于低k刻蚀后的无损灰化工艺和系统

Country Status (6)

Country Link
US (1) US7279427B2 (zh)
JP (1) JP2009503889A (zh)
KR (1) KR20080034001A (zh)
CN (1) CN100595891C (zh)
TW (1) TWI336107B (zh)
WO (1) WO2007018678A2 (zh)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5100057B2 (ja) * 2006-08-18 2012-12-19 東京エレクトロン株式会社 半導体装置の製造方法
US7595005B2 (en) * 2006-12-11 2009-09-29 Tokyo Electron Limited Method and apparatus for ashing a substrate using carbon dioxide
CN101762993B (zh) * 2008-12-25 2012-01-25 中芯国际集成电路制造(上海)有限公司 光刻胶的去除方法及连接孔的制造方法
CN101930179B (zh) * 2009-06-19 2012-08-22 中芯国际集成电路制造(上海)有限公司 钝化光刻胶表面的方法以及光刻方法
US7637269B1 (en) * 2009-07-29 2009-12-29 Tokyo Electron Limited Low damage method for ashing a substrate using CO2/CO-based process
CN102142393B (zh) * 2010-01-28 2013-07-03 中芯国际集成电路制造(上海)有限公司 互连结构的形成方法
US8916793B2 (en) 2010-06-08 2014-12-23 Applied Materials, Inc. Temperature control in plasma processing apparatus using pulsed heat transfer fluid flow
US9338871B2 (en) 2010-01-29 2016-05-10 Applied Materials, Inc. Feedforward temperature control for plasma processing apparatus
US20110232677A1 (en) * 2010-03-29 2011-09-29 Tokyo Electron Limited Method for cleaning low-k dielectrics
US8741775B2 (en) * 2011-07-20 2014-06-03 Applied Materials, Inc. Method of patterning a low-K dielectric film
US10274270B2 (en) 2011-10-27 2019-04-30 Applied Materials, Inc. Dual zone common catch heat exchanger/chiller
CN103187360B (zh) * 2011-12-30 2016-01-06 中芯国际集成电路制造(上海)有限公司 形成互连结构的方法
US8592327B2 (en) * 2012-03-07 2013-11-26 Tokyo Electron Limited Formation of SiOCl-containing layer on exposed low-k surfaces to reduce low-k damage
US8802572B2 (en) * 2012-07-10 2014-08-12 Applied Materials, Inc. Method of patterning a low-k dielectric film
CN103545163B (zh) * 2012-07-10 2016-11-16 中芯国际集成电路制造(上海)有限公司 具有氟残留或氯残留的半导体结构的处理方法
US9165783B2 (en) 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
CN103871959B (zh) 2012-12-17 2017-11-03 中芯国际集成电路制造(上海)有限公司 互连结构及其制造方法
CN103871961B (zh) 2012-12-17 2017-08-25 中芯国际集成电路制造(上海)有限公司 互连结构及其制造方法
CN103871962B (zh) 2012-12-18 2017-12-29 中芯国际集成电路制造(上海)有限公司 互连结构及其制造方法
FR3000602B1 (fr) * 2012-12-28 2016-06-24 Commissariat A L Energie Atomique Et Aux Energies Alternatives Procede de gravure d'un materiau dielectrique poreux
US9190317B2 (en) 2013-01-10 2015-11-17 Semiconductor Manufacturing International (Shanghai) Corporation Interconnection structures and fabrication method thereof
US8987139B2 (en) * 2013-01-29 2015-03-24 Applied Materials, Inc. Method of patterning a low-k dielectric film
US9385000B2 (en) 2014-01-24 2016-07-05 United Microelectronics Corp. Method of performing etching process
CN103943555B (zh) * 2014-04-28 2016-11-02 上海华力微电子有限公司 一种有源区制备方法
US10312075B2 (en) 2015-09-30 2019-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Treatment system and method
US10199223B2 (en) * 2016-01-26 2019-02-05 Asm Ip Holding B.V. Semiconductor device fabrication using etch stop layer
CN109742019B (zh) * 2019-01-21 2019-10-01 广东工业大学 一种利用紫外激光加工干法刻蚀中硬掩膜板的方法
JP7296093B2 (ja) * 2019-02-04 2023-06-22 国立大学法人東海国立大学機構 窒化炭素膜の製造方法および窒化炭素被覆体の製造方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3193265B2 (ja) * 1995-05-20 2001-07-30 東京エレクトロン株式会社 プラズマエッチング装置
JP2001077086A (ja) * 1999-08-31 2001-03-23 Oki Electric Ind Co Ltd 半導体装置のドライエッチング方法
US6967173B2 (en) * 2000-11-15 2005-11-22 Texas Instruments Incorporated Hydrogen plasma photoresist strip and polymeric residue cleanup processs for low dielectric constant materials
US6849559B2 (en) * 2002-04-16 2005-02-01 Tokyo Electron Limited Method for removing photoresist and etch residues
US7833957B2 (en) * 2002-08-22 2010-11-16 Daikin Industries, Ltd. Removing solution
JP2004158691A (ja) * 2002-11-07 2004-06-03 Yac Co Ltd レジスト除去方法
US7344991B2 (en) * 2002-12-23 2008-03-18 Tokyo Electron Limited Method and apparatus for multilayer photoresist dry development
AU2003297861A1 (en) * 2002-12-23 2004-07-29 Tokyo Electron Limited Method and apparatus for bilayer photoresist dry development
US7309448B2 (en) * 2003-08-08 2007-12-18 Applied Materials, Inc. Selective etch process of a sacrificial light absorbing material (SLAM) over a dielectric material
US7176141B2 (en) * 2004-09-07 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma treatment to improve barrier layer performance over porous low-K insulating dielectrics

Also Published As

Publication number Publication date
US20070032087A1 (en) 2007-02-08
US7279427B2 (en) 2007-10-09
TWI336107B (en) 2011-01-11
CN101238551A (zh) 2008-08-06
KR20080034001A (ko) 2008-04-17
TW200721300A (en) 2007-06-01
WO2007018678A3 (en) 2007-07-12
WO2007018678A2 (en) 2007-02-15
JP2009503889A (ja) 2009-01-29

Similar Documents

Publication Publication Date Title
CN100595891C (zh) 用于低k刻蚀后的无损灰化工艺和系统
US7595005B2 (en) Method and apparatus for ashing a substrate using carbon dioxide
KR101220073B1 (ko) 기판 상의 실리콘층을 에칭하는 방법, 기판 상의 실리콘층을 에칭하기 위한 플라즈마 처리 시스템 및 컴퓨터 판독가능한 매체
TWI385728B (zh) 受損介電材料之移除方法
US7732340B2 (en) Method for adjusting a critical dimension in a high aspect ratio feature
US8664124B2 (en) Method for etching organic hardmasks
KR101569938B1 (ko) 임계 치수 바이어스가 감소된 실리콘 함유 반사 방지 코팅층의 에칭 방법
CN101366100B (zh) 用于相对于硅选择性刻蚀介电材料的方法和系统
US20090056875A1 (en) Enhanced stripping of low-K films using downstream gas mixing
KR102083680B1 (ko) 유기 하드마스크들을 에칭하는 방법
US7846645B2 (en) Method and system for reducing line edge roughness during pattern etching
US7465673B2 (en) Method and apparatus for bilayer photoresist dry development
KR100989107B1 (ko) 다층 포토레지스트 건식 현상을 위한 방법 및 장치
US20050136681A1 (en) Method and apparatus for removing photoresist from a substrate
CN100426469C (zh) 用于有机硅化物玻璃的一氧化二氮去除光刻胶的方法
US20040180269A1 (en) Method and apparatus for multilayer photoresist dry development
CN100505177C (zh) 用于刻蚀高k介电材料的方法和系统
US7767926B2 (en) Method and system for dry development of a multi-layer mask using sidewall passivation and mask passivation
CN100521088C (zh) 双层光刻胶干法显影的方法和装置
WO2005067024A1 (en) Method and apparatus for etching an organic layer

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20100324

Termination date: 20160524

CF01 Termination of patent right due to non-payment of annual fee