CN101366100B - 用于相对于硅选择性刻蚀介电材料的方法和系统 - Google Patents

用于相对于硅选择性刻蚀介电材料的方法和系统 Download PDF

Info

Publication number
CN101366100B
CN101366100B CN2006800526044A CN200680052604A CN101366100B CN 101366100 B CN101366100 B CN 101366100B CN 2006800526044 A CN2006800526044 A CN 2006800526044A CN 200680052604 A CN200680052604 A CN 200680052604A CN 101366100 B CN101366100 B CN 101366100B
Authority
CN
China
Prior art keywords
power
silicon
flow rate
substrate
coupled
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2006800526044A
Other languages
English (en)
Other versions
CN101366100A (zh
Inventor
朱利恩·A·库克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101366100A publication Critical patent/CN101366100A/zh
Application granted granted Critical
Publication of CN101366100B publication Critical patent/CN101366100B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本发明描述了一种用于在干法等离子体刻蚀系统中相对于硅和多晶硅选择性、均匀地刻蚀介电层的方法和系统。刻蚀化学物质包括氟烃的使用,例如CH2F2和CHF3。高刻蚀选择性和可接受的均匀性可以通过以下方式来实现:选择包括CH2F2的流率和耦合到干法等离子体刻蚀系统的功率在内的工艺条件,以使得在刻蚀等离子体内形成活性刻蚀基团和聚合物形成基团的适当平衡。

Description

用于相对于硅选择性刻蚀介电材料的方法和系统
相关申请的交叉引用
本发明与2005年9月15日提交的题为“METHOD AND SYSTEMFOR ETCHING SILICON OXIDE AND SILICON NITRIDE WITH HIGHSELECTIVITY RELATIVE TO SILICON”的未决美国专利申请No.11/226,452相关,该申请的全部内容通过引用结合于此。
技术领域
本发明涉及选择性刻蚀介电材料的方法和系统,更具体而言,涉及用于利用包括三氟甲烷(CHF3)和二氟甲烷(CH2F2)在内的处理化学物质以相对于硅的高选择性下均匀刻蚀氧化硅(SiOx)或氮化硅(SiyNz)的方法和系统。
背景技术
一般来说,在集成电路(IC)的制作期间,半导体生产设备采用(干法)等离子体刻蚀工艺来沿着精细的线路或者在半导体衬底上图案化的过孔或触点内去除或刻蚀材料。等离子体刻蚀工艺的成功要求刻蚀化学物质包括适合于选择性刻蚀一种材料同时基本不刻蚀另一种材料的化学反应物。例如,在半导体衬底上,在保护层中形成的图案可以利用等离子体刻蚀工艺转移到所选材料的下覆层上。保护层可包括光敏层,例如光刻胶层,其具有利用光刻工艺形成的图案。一旦形成了图案,半导体衬底就被放置在等离子体处理室内,并且形成刻蚀化学物质,该刻蚀化学物质选择性刻蚀下覆层,同时对保护层有最小的刻蚀。该刻蚀化学物质是通过引入可离子化的、离解性气体混合物而产生的,该气体混合物具有包括能够与下覆层反应同时与保护层的反应最弱的分子成分的父分子。刻蚀化学物质的产生包括引入气体混合物,并且在所存在的气体种类的一部分在与有能量电子碰撞后离子化时形成等离子体。而且,被加热的电子用来离解某些种类的气体混合物,并且产生(父分子的)化学成分的反应性混合物。其后,离子化的气体种类和化学成分的反应性混合物促进了衬底的暴露区域中各种特征(例如,沟槽、过孔、触点等)的刻蚀。这种需要刻蚀的衬底材料例如包括二氧化硅(SiO2)、多晶硅和氮化硅。
发明内容
本发明涉及用于利用干法等离子体工艺刻蚀衬底的方法。具体而言,本发明涉及用于在衬底上相对于硅特征选择性刻蚀氧化硅层或氮化硅层或这两者的方法。另外,本发明涉及在刻蚀氧化硅层或氮化硅层时对刻蚀属性(例如刻蚀选择性或刻蚀均匀性或这两者)的优化。
根据一个实施例,描述了一种减小隔离物刻蚀工艺中的凹进的方法和计算机可读介质,包括:将硅衬底放置在干法等离子体刻蚀系统中的衬底夹持器上,所述硅衬底具有覆盖在多晶硅特征上的隔离物介电层;选择一种工艺条件以使得隔离物介电层和硅衬底之间的刻蚀选择性大于或等于约5∶1,包括:设置干法等离子体刻蚀系统中的压强;引入处理气体,所述处理气体包括第一流率的稀有气体、第二流率的CHF3和第三流率的CH2F2;以及设置要耦合到干法等离子体刻蚀系统中的电极的功率以由处理气体形成等离子体;将该工艺条件应用于干法等离子体刻蚀系统;以及将衬底暴露于该工艺条件。
根据一个实施例,描述了一种用于在放置在干法等离子体刻蚀系统中的衬底上相对于硅均匀刻蚀氧化硅的方法和计算机可读介质,包括:将具有氧化硅(SiOx)膜的衬底放置在干法等离子体刻蚀系统中的衬底夹持器上;将反应性处理气体引入干法等离子体刻蚀系统中的处理空间,所述反应性处理气体包括CH2F2和CHF3;向干法等离子体刻蚀系统中的电极施加第一射频(RF)信号,其中第一RF信号包括大于20MHz的频率;选择CH2F2的流率对耦合到电极的RF功率的比率,以使其基本等于或小于0.0071sccm/W的值;以及刻蚀氧化硅膜。
根据又一个实施例,描述了一种干法等离子体刻蚀系统,包括:被配置为限定处理空间的处理室;耦合到处理室并且被配置为在处理空间中支持衬底的衬底夹持器;耦合到处理室并且被配置为将反应性处理气体引入到干法等离子体刻蚀系统中的处理空间的处理气体供应系统,所述反应性处理气体包括CH2F2和CHF3;耦合到处理室并且被配置为抽空处理空间的泵处理系统;耦合到处理室中的电极并且被配置为将射频(RF)功率耦合到处理气体以在处理空间中形成等离子体的功率系统;以及耦合到处理气体供应系统和功率系统的控制器,其被配置为设置CH2F2的流率对耦合到电极的RF功率的比率,以使其基本等于或小于0.0071sccm/W的值。
附图说明
在附图中:
图1A和1B示出了在硅衬底上形成的结构的示意图示;
图2示出了根据本发明实施例的干法等离子体刻蚀系统的示意图;
图3示出了根据本发明另一个实施例的干法等离子体刻蚀系统的示意图;
图4示出了根据本发明另一个实施例的干法等离子体刻蚀系统的示意图;
图5示出了根据本发明另一个实施例的干法等离子体刻蚀系统的示意图;
图6示出了根据本发明另一个实施例的干法等离子体刻蚀系统的示意图;
图7给出了氧化硅刻蚀速率和硅刻蚀速率对若干工艺参数的依赖性示例;
图8A至8D给出了用于刻蚀均匀性的示例性数据;
图9给出了用于干法等离子体刻蚀工艺的示例性数据;
图10给出了根据本发明实施例的刻蚀介电层的方法;
图11给出了根据本发明另一个实施例的刻蚀介电层的方法;以及
图12给出了根据本发明另一个实施例的减小衬底上的凹进的方法。
具体实施方式
在下面的描述中,为了帮助对本发明的全面理解并且出于说明而非限制的目的,阐述了具体细节,例如被配置为执行刻蚀工艺的干法等离子体刻蚀系统的的特定几何形状以及各种系统部件的描述。然而,应当理解,在脱离这些具体细节的其他实施例中也可实施本发明。
在材料处理方法中,干法等离子体刻蚀采用具有化学反应物的等离子体化学物质,该化学反应物适合于选择性刻蚀一种材料,同时基本不刻蚀另一种材料。在一个示例中,绝缘(介电)材料层被沉积在具有多晶硅特征的栅叠层上,参见图1A。例如,绝缘层可包括二氧化硅(例如SiO2)或氮化硅(例如Si2N3)等。然后,对绝缘层进行刻蚀处理,从而在除了沿栅叠层的侧壁之外的所有位置处去除绝缘层;参见图1B。剩余的绝缘材料充当半导体器件的制造中的隔离物。对于器件工作和/或可靠性来说很重要的一点是,隔离物的形成要在基本不消减多晶硅栅极材料并同时最小化硅衬底中形成的凹进(图1B)的情况下实现。优选地,凹进被减小到小于2.7nm,更优选地小于1nm。因而,刻蚀化学物质优选地被选择为刻蚀绝缘材料,同时对下层的(单晶)硅衬底以及多晶硅有最小的刻蚀。此外,对于制造产率来说很重要的一点例如是,隔离物刻蚀工艺的结果在整个衬底的范围上是均匀的。
因此,在一个实施例中,描述了一种用于在干法等离子体刻蚀系统中均匀地、相对于硅和多晶硅选择性刻蚀氧化硅(SiOx)或氮化硅(SiyNz)的方法和系统。刻蚀化学物质包括氟烃的使用,例如CH2F2和CHF3。高刻蚀选择性和可接受的均匀性可以通过选择工艺条件(包括CH2F2的流率和耦合到干法等离子体刻蚀系统的功率)来实现,以使得在刻蚀等离子体内形成活性刻蚀分子或原子与聚合物形成分子之间的合适平衡。
例如,发明人相信,使用氟烃刻蚀化学物质促进了在刻蚀等离子体存在的情况下烃和碳氟化合物分子的形成,所述烃和碳氟化合物分子可能吸附在多晶硅和硅表面上,并且在刻蚀工艺期间保护这些表面,同时允许对氧化硅或氮化硅表面进行刻蚀。尽管CHF3和CH2F2两者都可以认为是聚合物形成气体(用于保护硅和多晶硅表面),但是在某些工艺条件下(将在后面讨论),CHF3倾向于产生活性的刻蚀分子或原子,而CH2F2倾向于产生聚合物形成分子。
根据一个实施例,刻蚀化学物质包括三氟甲烷(CHF3)、二氟甲烷(CH2F2)和惰性气体,例如稀有气体(例如氩、氪、氙等)。另外,刻蚀化学物质还可包括含氧气体。含氧气体可包括氧气(O2)、NO、N2O、NO2、CO、或CO2、或其任意组合。例如,一种用于在具有对硅的高选择性的情况下均匀刻蚀氧化硅或氮化硅的工艺方案包括三氟甲烷(CHF3)、二氟甲烷(CH2F2)和氩(Ar)。
根据另一个实施例,在图2中示出了干法等离子体刻蚀系统1,该系统1包括等离子体处理室10、耦合到等离子体处理室10的诊断系统12、以及耦合到诊断系统12和等离子体处理室10的控制器14。控制器14被配置为运行包括三氟甲烷(CHF3)、二氟甲烷(CH2F2)和惰性气体的工艺方案以相对于硅选择性地、均匀地刻蚀氧化硅或氮化硅。或者,控制器14被配置为运行包括三氟甲烷(CHF3)、二氟甲烷(CH2F2)和惰性气体的工艺方案以相对于硅和多晶硅选择性地刻蚀氧化硅或氮化硅。在一个实施例中,工艺方案包括三氟甲烷(CHF3)、二氟甲烷(CH2F2)和氩(Ar)。在另一个实施例中,工艺方案包括三氟甲烷(CHF3)、二氟甲烷(CH2F2)、氧气(O2)和氩(Ar)。另外,控制器14被配置为从诊断系统12接收至少一个结束点信号并对该至少一个结束点信号进行后处理,以准确地确定工艺的结束点。在图示实施例中,图2中所示的干法等离子体刻蚀系统1采用等离子体来进行材料处理。
根据图3中所示的实施例,干法等离子体刻蚀系统1a可包括等离子体处理室10、要处理的衬底25被附于其上的衬底夹持器20、以及真空泵系统30。衬底25可以例如是半导体衬底、晶片或液晶显示器。等离子体处理室10可以被配置为适用于在与衬底25的表面相邻的处理区域15中生成等离子体。经由气体注入系统(未示出)引入可离子化气体或气体混合物,并且调节工艺压强。例如,控制机构(未示出)可以用于节流真空泵系统30。等离子体可以用于产生特异于预定材料工艺的材料,并且/或者适用于从衬底25的暴露表面去除材料。等离子体处理系统1a可以被配置为处理200mm衬底、300mm衬底或更大的衬底。
例如,衬底25可以经由静电夹紧系统附着到衬底夹持器20。此外,衬底夹持器20例如还可包括包含再循环冷却剂流的冷却系统,再循环冷却剂流接收来自衬底夹持器20的热量并将热量传送到热交换器系统(未示出),或者在加热时传送来自热交换器系统的热量。而且,气体可以例如经由背面气体系统传输到衬底25的背面,以提高衬底25和衬底夹持器20之间的气体间隙热导率。这种系统可以用在需要对衬底进行温度控制以升高或降低温度时。例如,背面气体系统可包括两区气体分配系统,其中氦气间隙压强可以在衬底25的中心和边缘之间独立变化。在其他实施例中,诸如电阻加热元件之类的加热/冷却元件或者热电加热器/冷却器可包括在衬底夹持器20中,以及包括在等离子体处理室10的室壁和等离子体处理系统1a内的任何其他组件中。
在图3所示的实施例中,衬底夹持器20可包括电极,RF功率通过该电极耦合到处理空间15中的处理等离子体。例如,衬底夹持器20可以通过从RF发生器40经过阻抗匹配网络50传输到衬底夹持器20的RF功率而电偏置在某一RF电压。RF偏置可以用来加热电子以形成并维持等离子体。在这种配置中,系统可以工作为反应离子刻蚀(RIE)反应器,其中室和上部气体注入电极用作地表面。RF偏置的典型频率可以从约0.1MHz到约100MHz。用于等离子体处理的RF系统对于本领域技术人员是公知的。
或者,RF功率以多个频率被施加到衬底夹持器电极。此外,阻抗匹配网络50用来通过减少反射功率来提高RF功率向等离子体处理室10中的等离子体的传送。匹配网络拓扑(例如,L型、π型、T型等)和自动控制方法对于本领域技术人员是公知的。
真空泵系统30例如可包括泵速能达到5000公升每秒(以及更大)的涡轮分子真空泵(TMP)和用于节流室压强的门阀。在传统的用于干法等离子体刻蚀的等离子体处理设备中,一般采用1000到3000公升每秒的TMP。TMP对于低压处理是有用的,这种低压处理一般小于约50mTorr。对于高压处理(即,大于约100mTorr),可以使用机械增压泵和干法粗抽泵。此外,用于监视室压强的设备(未示出)可以耦合到等离子体处理室10。压强测量设备可以例如是可从MKS Instruments Inc.(Andover,MA)购得的628B型Baratron绝对电容压力计。
控制器14包括微处理器、存储器和数字I/O端口,该数字I/O端口能够生成足以与等离子体处理系统1a通信并激活对等离子体处理系统1a的输入以及监视来自等离子体处理系统1a的输出的控制电压。而且,控制器14可以耦合到RF发生器40、阻抗匹配网络50、气体注入系统(未示出)、真空泵系统30、以及背面气体传输系统(未示出)、衬底/衬底夹持器温度测量系统(未示出)和/或静电夹紧系统(未示出),并可以与这些组件交换信息。例如,存储在存储器中的程序可以用于根据工艺方案激活对等离子体处理系统1a的前述组件的输入以执行刻蚀氧化硅层或氮化硅层的方法。控制器14的一个示例是可从Dell Corporation,Austin,Texas购得的DELL PRECISION WORKSTATION 610TM
然而,控制器14可以实现为通用计算机系统,该通用计算机系统响应于处理器运行存储器中包含的一个或多个指令的一个或多个序列而执行本发明的基于微处理器的处理步骤中的一部分或全部。这些指令可以从另一计算机可读介质(例如硬盘或可去除介质驱动器)读取到控制器存储器中。也可以采用多处理布置中的一个或多个处理器作为控制器微处理器以运行主存储器中包含的指令序列。在替换实施例中,可以使用硬连线电路来替代软件指令或与软件指令结合使用。因而,实施例并不限于硬件电路和软件的任何特定组合。
控制器14包括至少一种计算机可读介质或存储器,例如控制器存储器,其用于保存根据本发明的教导编程的指令并用于保存数据结构、表、记录或可能是实现本发明所必需的其他数据。计算机可读介质的示例是致密盘、硬盘、软盘、磁带、磁光盘、PROM(EPROM、EEPROM、闪存EPROM)、DRAM、SRAM、SDRAM、或任何其他磁介质、致密盘(例如CD-ROM)、或任何其他光介质、穿孔卡、纸带、或其他具有孔图案的物理介质、载波(下面描述)、或任何其他计算机可读取的介质。
本发明包括存储在计算机可读介质中的任何一种或其组合上的软件,这些软件用于控制控制器14,用于驱动用于实现本发明的一个或多个设备,并且/或者用于使得控制器能够与人类用户交互。这些软件可包括但不限于设备驱动器、操作系统、开发工具和应用软件。这种计算机可读介质还包括用于执行在实现本发明时执行的处理的全部或一部分(如果处理是分布式的话)的本发明的计算机程序产品。
本发明的计算机代码设备可以是任何可解释的或可执行的代码机制,包括但不限于脚本、可解释程序、动态链接库(DLL)、Java类和完全可执行程序。而且,本发明的处理的一部分可以是分布式的以实现更好的性能、可靠性和/或成本。
这里所用的术语“计算机可读介质”指参与向控制器14的处理器提供以供执行的指令的任何介质。计算机可读介质可以采取许多形式,包括但不限于非易失性介质、易失性介质和传输介质。非易失性介质例如包括光盘、磁盘和磁光盘,例如硬盘或可移动介质驱动器。易失性介质包括动态存储器,例如主存储器。而且,各种形式的计算机可读介质可以用来向控制器的处理器运送一条或多条指令的一个或多个序列以供执行。例如,这些指令最初可以承载在远程计算机的磁盘上。远程计算机可以将用于实现本发明的全部或一部分的指令远程加载到动态存储器中,并通过网络将指令发送到控制器14。
控制器14可以相对于干法等离子体刻蚀系统1a位于本地,或者可以经由因特网或内联网远离等离子体处理系统1a。因而,控制器14可以利用直接连接、内联网、或因特网或其任意组合来与等离子体处理系统1a交换数据。控制器14可以耦合到客户站点(即,器件制造商等)处的内联网,或者耦合到供应商站点(即,装备制造商)处的内联网。此外,另一计算机(即,控制器、服务器等)可以经由直接连接、内联网或因特网中的至少一个来访问控制器14以交换数据。
诊断系统12可包括光学诊断子系统(未示出)。光学诊断子系统可包括诸如(硅)光电二极管或光电倍增管(PMT)之类的检测器,该检测器用于测量从等离子体发射的光强。诊断系统12还可包括诸如窄带干涉滤波器之类的滤光片。在替换实施例中,诊断系统12可包括线性CCD(电荷耦合器件)、CID(电荷注入器件)阵列和诸如光栅或棱镜之类的光发散器件中的至少一个。另外,诊断系统12可包括用于测量给定波长的光的单色仪(例如,光栅/检测器系统)或用于测量光谱的分光计(例如,具有旋转光栅的分光计),例如在美国专利No.5,888,337中描述的设备,该专利的全部内容通过引用结合于此。
诊断系统12可包括高分辨率光学发射谱(OES)传感器,例如来自Peak Sensor Systems或Verity Instruments Inc.的传感器。这种OES传感器具有跨紫外(UV)、可见(VIS)和近红外(NIR)光谱的宽广光谱。分辨率约为1.4埃,即,传感器能够收集从240到1000nm的5550个波长。例如,OES传感器可配备有高灵敏度微型光纤UV-VIS-NIR分光计,该分光计又集成有2048像素的线性CCD阵列。
分光计接收通过单根光纤或集束光纤发送来的光,其中从光纤输出的光利用固定光栅发散在线性CCD阵列上。与上述配置类似,透过光学真空窗口的光经由凸球面镜聚焦到光纤的输入端上。三个分光计(每个被特别调谐用于给定谱范围(UV、VIS和NIR))形成了用于处理室的传感器。每个分光计包括独立的A/D转换器。最后,取决于传感器的使用,可以每0.1到1.0秒记录全发射谱。
在图4所示的实施例中,干法等离子体刻蚀系统1b例如可以类似于图2或3的实施例,并且除了包括参考图2和图3所述的那些组件以外,还可包括固定的、或机械或电旋转的磁场系统60,以潜在地增大等离子体密度和/或提高等离子体处理均匀性。而且,控制器14可以耦合到磁场系统60以规范旋转速度和场强。旋转磁场的设计和实现方式对于本领域技术人员是公知的。
在图5所示的实施例中,干法等离子体刻蚀系统1c例如可以类似于图2或图3的实施例,并且还可包括上电极70,RF功率可以从RF发生器72通过阻抗匹配网络74耦合到上电极70。用于向上电极施加RF功率的典型频率可以从约0.1MHz到约200MHz。另外,用于向下电极施加功率的典型频率可以从约0.1MHz到约100MHz。而且,控制器14耦合到RF发生器72和阻抗匹配网络74以控制向上电极70施加RF功率的操作。上电极的设计和实现方式对于本领域技术人员是公知的。
在图6所示的实施例中,干法等离子体刻蚀系统1d例如可以类似于图2和3的实施例,并且还可包括电感线圈80,RF功率经由RF发生器82通过阻抗匹配网络84耦合到电感线圈80。RF功率从电感线圈80通过介电窗口(未示出)电感性地耦合到等离子体处理区域45。用于向电感线圈80施加RF功率的典型频率可以从约10MHz到约100MHz。类似地,用于向卡盘电极施加功率的典型频率可以从约0.1MHz到约100MHz。另外,缝隙式Faraday屏蔽(未示出)可用于减少电感线圈80和等离子体之间的电容性耦合。而且,控制器14耦合到RF发生器82和阻抗匹配网络84以控制向电感线圈80施加功率的操作。在替换实施例中,电感线圈80可以是从上部与等离子体处理区域15通信的“螺旋”线圈或“扁平”线圈,就像在变压器耦合等离子体(TCP)反应器中一样。电感耦合等离子体(ICP)源或变压器耦合等离子体(TCP)源的设计和实现方式对于本领域技术人员是公知的。
或者,等离子体可利用电子回旋共振(ECR)形成。在另一个实施例中,等离子体是通过引入螺旋波形成的。在又一个实施例中,等离子体是通过传播表面波形成的。上述的每种等离子体源对于本领域技术人员都是公知的。
在下面的讨论中,给出了一种利用干法等离子体刻蚀系统刻蚀衬底上的介电层的方法。例如,干法等离子体刻蚀系统可包括例如图2至6中所描述的各种元件及其组合。
在一个实施例中,一种相对于硅或相对于硅和多晶硅选择性刻蚀氧化硅(SiOx)或氮化硅(SiyNz)或这两者的方法包括具有三氟甲烷(CHF3)、二氟甲烷(CH2F2)、氩(Ar)且任选的地具有含氧气体(例如氧气,O2)的处理化学物质。例如,工艺参数空间可包括约5到约1000mTorr的室压强、范围从约1到约1000sccm的CHF3处理气体流率、范围从约1到约1000sccm的CH2F2处理气体流率、范围从约1到约1000sccm的任选的O2处理气体流率、范围从约1到约2000sccm的Ar处理气体流率、范围从约0到约2000W的上电极(例如,图5中的元件70)RF偏置、以及范围从约10到约1000W的下电极(例如,图5中的元件20)RF偏置。另外,上电极偏置频率可以从约0.1MHz到约200MHz,例如约为60MHz。另外,下电极偏置频率可以从约0.1MHz到约100MHz,例如约为2MHz。
另外,例如,工艺参数空间可包括约40到约100mTorr的室压强、范围从约5到约100sccm的CHF3处理气体流率、范围从约1到约10sccm的CH2F2处理气体流率、范围从约0到约10sccm的任选的O2处理气体流率、范围从约0到约500sccm的Ar处理气体流率、范围从约100到约1000W的上电极(例如,图5中的元件70)RF偏置、以及范围从约50到约950W的下电极(例如,图5中的元件20)RF偏置。另外,上电极偏置频率可以从约0.1MHz到约200MHz,例如约为60MHz。另外,下电极偏置频率可以从约0.1MHz到约100MHz,例如约为2MHz。
如前面所讨论的,使用基于氟烃的刻蚀化学物质(具体而言是CHF3和CH2F2)有利于产生烃和碳氟化合物分子,这些分子可以保护硅和多晶硅表面,同时允许刻蚀氧化硅或氮化硅表面。例如,发明人相信,在某些条件下,CHF3倾向于产生相对较多的活性刻蚀分子或原子,而CH2F2倾向于产生相对较多的聚合物形成分子。
在一个示例中,给出了一种利用干法等离子体刻蚀系统(例如图5中所述的系统)相对于硅和多晶硅选择性刻蚀氧化硅的方法。然而,所讨论的方法在范围上并不受该示例性表示的限制。
如上所述,隔离物刻蚀工艺优选地使用刻蚀化学物质来刻蚀介电材料,同时最小化对多晶硅栅极材料和硅衬底的刻蚀。美国专利申请11/226,452公开了在刻蚀工艺中使用CH2F2可以提高对多晶硅的氧化物刻蚀选择性。然而,本发明的发明人确定,在申请No.11/226,452中公开的工艺不能实现在所期望的氧化物对晶体硅的选择性方面的明显提高。具体而言,表1给出了两种工艺方案,包括采用CHF3和Ar的第一工艺方案(工艺A)以及采用CHF3、CH2F2、O2和Ar的第二工艺方案(工艺B):
表1
工艺 p(mtorr)   Gap(mm)   UEL P(W)   LEL P(W)   CHF<sub>3</sub>(sccm)   Ar(sccm)   CH<sub>2</sub>F<sub>2</sub>(sccm)   O<sub>2</sub>(sccm)
  A   40   170   250   200   25   475   0   0
  B   40   170   250   200   20   475   5   2
在表1中,p代表处理室中的气体压强(毫托,mtorr),gap代表上电极(例如,图5中的元件70)和下电极(例如,图5中的元件20)之间的间隔(毫米,mm),UEL P代表耦合到上电极(例如,图5中的元件70)的射频(RF)功率(W,瓦),LEL P代表耦合到下电极(例如,图5中的元件20)的RF功率(W,瓦),CHF3代表CHF3的气体流率(每分钟标准立方厘米,sccm),Ar代表Ar的气体流率(sccm),CH2F2代表CH2F2的气体流率(sccm),O2代表O2的气体流率(sccm)。在每种工艺条件以及接下来的工艺条件下,衬底利用静电夹紧附着到衬底夹持器,其中衬底夹持器包括两区背面氦气供应系统,该系统被配置为在衬底中心处施加15torr的背面氦气压强,而在衬底边缘处施加25torr的背面氦气压强。另外,上电极(例如,图5中的元件70)的温度被设置为大约80摄氏度,干法等离子体刻蚀系统的壁温被设置为60摄氏度,而下电极(图5中的元件20)或衬底夹持器的温度被设置为30摄氏度。该工艺的额外细节在2005年9月15日提交的题为“METHOD AND SYSTEMFOR ETCHING SILICON OXIDE AND SILICON NITRIDE WITH HIGHSELECTIVELY RELATIVE TO SILICON”的未决美国专利申请No.11/226,452中有所描述,该申请的全部内容通过引用结合于此。
表2给出了氧化硅对多晶硅的刻蚀选择性(氧化物/多晶硅,氧化硅刻蚀速率对多晶硅刻蚀速率的比率(E/R))、氧化硅对硅的刻蚀选择性(氧化物/硅,氧化硅刻蚀速率对硅刻蚀速率的比率)、氧化硅刻蚀速率(A/min)和在隔离物电介质刻蚀完成后衬底中的硅凹进量(nm)。对表2的检查表明,当采用引入了CH2F2的第二工艺方案时氧化物对多晶硅的刻蚀选择性有大的增大。然而,本发明的发明人确定,当采用第二工艺方案时氧化物对硅的选择性只有边际增大。因而,(单晶)硅对刻蚀化学物质的敏感性与多晶硅对刻蚀化学物质的敏感性是非常不同的,而这一点在本发明之前没有得到很好地理解。
表2
  工艺   氧化物/多晶硅   氧化物/硅   氧化物E/R(A/min)   硅凹进(nm)
  A   6.4   3   312.2+/-2.4%   5
  B   18.1   4   296.7+/-1.3%   6
基于以上认识以及其对诸如图1A和1B的隔离物刻蚀工艺之类的工艺的重要性,本发明的发明人进行了广泛的研究和测试,以试图识别表1中所示的不同工艺参数(即,压强、氩的流率、CH2F2的流率、CHF3的流率、O2的流率、功率,等等)对氧化硅和硅的刻蚀的重要性。这样一来,发明人认识到期望的工艺条件是具有:(1)高氧化硅刻蚀速率,(2)低硅刻蚀速率,(3)可接受的工艺均匀性,和(4)硅上的低净沉积。
表3给出了在研究和测试中运行的工艺条件的集合。除了表1中所列的工艺参数以外,表3还提供了在空白氧化硅衬底上测得的氧化硅的刻蚀速率(nm/min)、利用原子力显微镜(AFM)在单晶硅衬底上测得的硅的刻蚀速率(nm/min)、以及氧化硅和硅之间的刻蚀选择性(即,氧化硅刻蚀速率对硅刻蚀速率的比率)。还执行厚度改变(增大(净沉积)或减小(净刻蚀))的测量(以计算刻蚀速率),其误差近似为正或负3nm。在某些情况下,没有获得数据,因而,该条件被标为“无数据”,而在其他情况下,负的刻蚀速率表明氧化硅和硅表面上材料(例如聚合物)的净沉积(聚合物-氧化物/硅)或者硅表面上材料(例如聚合物)的净沉积(聚合物-硅)。
在前十六(16)个工艺条件中,不向刻蚀化学物质添加CH2F2。只有压强、功率、氩气流率和O2流率变化。
通过检查表3,对于所有情况,氧化硅和硅之间的刻蚀选择性都小于4∶1。另外,在某些情况下,在氧化硅和硅表面上都存在净沉积,例如当氩不被引入且功率低时(见工艺No.12)。发明人观察到:(1)增大氩气流率倾向于增大硅的刻蚀速率,(2)增大O2流率倾向于增大硅的刻蚀速率,(3)增大功率倾向于增大硅的刻蚀速率,以及(4)增大压强倾向于减小硅的刻蚀速率。
从工艺No.17至31,CH2F2被引入。其中,压强、功率、氩气流率和CH2F2流率变化。如前所述,发明人想到了功率和CH2F2流率之间的平衡,因为对于保护侧壁表面来说聚合物形成是必需的(即,各向异性刻蚀),然而功率必须足够高以穿透刻蚀表面处的聚合物。发明人还想到,在等离子体存在的情况下聚合物形成材料的产生对于CH2F2流率是敏感性较高的,而对于CHF3流率是敏感性较低的(例如这是由于父分子中的较低氟含量)。这还得到了发明人以下观察的支持:即O2的增大(这一般增大了刻蚀速率)受到CH2F2的引入的抵消。
表3
  工艺  p(mtorr)   Gap(mm)   UEL P(W)  LEL P(W)   CHF<sub>3</sub>(sccm)   Ar(sccm)   CH<sub>2</sub>F<sub>2</sub>(sccm)   O<sub>2</sub>(sccm)   氧化物E/R(nm/min)   SiE/R(nm/min)   氧化物/硅
  1   40   170   250   200   25   0   0   5   31.7   16.1   2.0
  2   100   170   100   50   25   0   0   0   无数据   无数据   无数据
  3   100   170   100   50   25   475   0   0   1.7   5   0.3
  4   100   170   250   200   25   475   0   0   31.2   8.6   3.6
  5   100   170   250   200   25   0   0   0   无数据   无数据   无数据
  6   40   170   100   50   25   475   0   5   8.2   15.3   0.5
  7   100   170   100   50   25   475   0   5   7.6   13.8   0.6
  8   100   170   250   200   25   0   0   5   无数据   无数据   无数据
  9   100   170   100   50   25   0   0   5   无数据   无数据   无数据
  10   40   170   100   50   25   0   0   5   8.3   11.5   0.72173913
  11   40   170   250   200   25   475   0   0   29.2   10.9   2.678899083
  12   40   170   100   50   25   0   0   0   -15.1   -30   聚合物-氧化物/硅
  13   40   170   250   200   25   0   0   0   23.5   8.1   2.901234568
  14   100   170   250   200   25   475   0   5   30.5   21.1   1.44549763
  15   40   170   250   200   25   475   0   5   26.1   21.8   1.197247706
  16   40   170   100   50   25   475   0   0   8.6   7.5   1.146666667
17 40 170 250 200 25 100 6 0 -7.3 -65 聚合物-氧化物/硅
  18   100   170   250   200   25   475   6   0   -21.1   -53   聚合物-氧化物/硅
  19   100   170   250   200   25   100   2   0   -13.3   -62   聚合物-氧化物/硅
  20   40   170   250   200   25   475   2   0   28.1   6.7   4.194029851
  21   100   170   250   200   25   475   2   0   14.6   -36   聚合物-硅
  22   60   170   275   225   25   450   1.6   0   33.6   4   8.4
  23   60   170   300   250   25   450   1.6   0   36.6   4.8   7.625
24 70 170 350 300 25 450 2.5 0 41.8 无数据 无数据
  25   70   170   450   400   25   450   3.5   0   46.1   无数据   无数据
  26   70   170   500   450   25   450   2.9   0   56.3   -4.5   聚合物-硅
  27   75   170   600   550   25   450   3.3   0   63.1   无数据   无数据
  28   65   170   300   250   25   450   1.8   0   35.6   1.1   32.4
  29   60   170   275   225   25   450   1.8   0   32.3   2.2   14.7
  30   65   170   295   245   25   450   1.9   0   34.9   0   无数据
  31   62   170   295   245   25   450   1.8   0   35.2   无数据   无数据
图7给出了在表3中执行的测试的结果,并且图示了氧化硅刻蚀速率(SiO2刻蚀速率,nm/每一分钟刻蚀工艺)和硅刻蚀速率(Si刻蚀速率,nm/每一分钟刻蚀工艺)对若干参数的变化的敏感性,所述若干参数包括氩气流率、O2流率、功率、压强和CH2F2流率。具体而言,图7指示出氧化硅和硅刻蚀速率对功率和CH2F2流率的变化有较大的敏感性。因而,本发明的发明人已识别出这些参数在调节选择性以满足工艺需求方面是尤其有效的。发明人相信,功率的变化通过调节聚合物形成父分子(CH2F2)的离解水平来影响聚合物形成材料的产生(例如,减小功率导致离解减少,而增大功率导致离解增加),并且CH2F2流率的变化通过调节可用聚合物形成父分子(CH2F2)的量来影响聚合物形成材料的产生。
进一步检查表3表明,例如:(A)工艺条件No.21产生了在硅上具有净沉积(35nm/min)的边际氧化硅刻蚀速率(14.6nm/min);(B)工艺条件No.22产生了超过8∶1的刻蚀选择性,同时具有低硅刻蚀速率(4nm/min)且在硅上没有净沉积;(C)工艺条件No.25产生了高氧化硅刻蚀速率(46.1nm/min);(D)工艺条件No.26产生了在硅上具有最小净沉积(4.5nm/min)的高氧化硅刻蚀速率(56.3nm/min)。
然而,参考图8A至8D,对于这四种工艺条件,氧化硅刻蚀均匀性明显变化。图8A和8B图示了较差的均匀性,同时在硅表面上发生过量(在工艺条件No.25的情况下是潜在地过量)的聚合物沉积。图8C和8D图示了较好的均匀性,同时具有高氧化硅刻蚀速率和低硅刻蚀速率或硅上的低净沉积。
现在参考表4和图9,图示了氧化硅刻蚀均匀性(%)对CH2F2的流率(sccm)和功率(W)的比率的依赖性。在比率约为0.0071和更小时,刻蚀均匀性小于约2.5%。然而,对于大于约0.0071的比率,均匀性明显恶化。发明人相信,均匀性变差是由于聚合物形成分子的过量产生,即,聚合物形成父分子(CH2F2)的过量的量,或低功率(例如,低离解),或这两者。因而,本发明的发明人还发现,在氧化物刻蚀的均匀性是一个重要的工艺考虑因素的情况下,功率对CH2F2流率的比率可以被调节以满足工艺需求。
表4
工艺   均匀性(%)  功率(W)   CH<sub>2</sub>F<sub>2</sub>(sccm)   比率(sccm/W)
  21   61.1   250   2   0.008
  22   2.4   275   1.6   0.0058
  23   1.5   300   1.6   0.0053
  24   1.9   350   2.5   0.0071
  25   26.8   450   3.5   0.0078
  26   1.2   500   2.9   0.0058
  27   2   600   3.3   0.0055
  28   1.7   300   1.8   0.006
  29   1.4   275   1.8   0.0065
  30   1.8   295   1.9   0.0064
  31   1.4   295   1.8   0.0061
图10给出了用于在根据本发明实施例的等离子体处理系统中在衬底上相对于硅选择性刻蚀诸如氧化硅或氮化硅或这两者之类的电介质的方法的流程图。流程400开始于410,在410中将处理气体引入到干法等离子体刻蚀系统,其中处理气体包括三氟甲烷(CHF3)、二氟甲烷(CH2F2)和惰性气体,例如稀有气体(例如氩)。或者,处理气体还可包括含氧气体。
在420中,例如使用在图2至6中描述的任何一种系统及其组合在干法等离子体刻蚀系统中由处理气体形成等离子体。
在430中,将衬底暴露于在420中形成的等离子体,以在具有对硅的高刻蚀选择性的情况下刻蚀氧化硅或氮化硅或这两者。
图11给出了用于在隔离物刻蚀工艺中减小凹进的方法的流程图。流程图500开始于510,在510中将具有覆盖多晶硅特征的隔离物介电层的硅衬底放置在干法等离子体刻蚀系统中的衬底夹持器上。干法等离子体刻蚀系统例如可以是在图2至6中描述的任何一种系统及其组合。隔离物介电层可包括氧化硅(SiOx)或氮化硅(SiyNz)或其组合,例如氮氧化硅层。
在520中,选择工艺条件以使得隔离物介电层和硅衬底之间的刻蚀选择性大于或等于约5∶1。CH2F2的流率、或CHF3的流率、或压强、或耦合到干法等离子体刻蚀系统中的电极的RF功率(例如,耦合到上电极70的RF功率)中的至少一种或者其中两者或更多者的任意组合被改变,以产生5∶1或更大(理想地7∶1或更大)的隔离物介电层对硅的刻蚀选择性。
在530中,将所选的工艺条件应用于干法等离子体刻蚀系统,并且在540中,将衬底暴露于工艺条件。工艺条件的二个示例可包括:室压强约为60mTorr,CHF3处理气体流率约为25sccm,CH2F2处理气体流率约为1.6sccm,Ar处理气体流率约为450sccm,上电极(例如,图5中的元件70)RF偏置约为275W,下电极(例如,图5中的元件20)RF偏置约为225W。另外,上电极偏置频率可以从约0.1MHz到约200MHz,例如60MHz。另外,下电极偏置频率可以从约0.1MHz到约100MHz,例如2MHz。
图12给出了用于在干法等离子体刻蚀系统中在衬底上相对于硅均匀刻蚀介电层的方法的流程图。流程图600开始于510,在510中将具有诸如氧化硅(SiOx)或氮化硅(SiyNz)膜之类的介电层的衬底放置在干法等离子体刻蚀系统中的衬底夹持器上。氧化硅(SiOx)或氮化硅(SiyNz)膜可包括覆盖在衬底上的多晶硅特征上的隔离物介电层。干法等离子体刻蚀系统例如可以是在图2至6中描述的任何一种系统及其组合。
在620中,将包括CHF3和CH2F2的处理气体引入到干法等离子体刻蚀系统。处理气体还可包括惰性气体,例如稀有气体(例如氩)。另外,处理气体可包括含氧气体,例如氧气(O2)、NO、N2O、NO2、CO、或CO2、或其中两者或更多者的任意组合。
在630中,向干法等离子体刻蚀系统中的电极施加第一射频(RF)信号以由处理气体形成等离子体。例如,该电极可包括面对衬底夹持器上的衬底的上电极(例如,图5中的元件70)。第一RF信号可以处于第一RF频率,并且第一RF频率可以大于或等于20MHz。此外,第二RF信号可以被施加到干法等离子体刻蚀系统中的同一电极或另一电极。例如,第二RF信号可以被施加到衬底置于其上的衬底夹持器。第二RF信号可以处于第二RF频率,并且第二RF频率可以小于或等于20MHz。
在640中,选择CH2F2的流率对耦合到干法等离子体刻蚀系统中的电极的RF功率的比率以使其等于或小于约0.0071。
尽管上面已详细描述了本发明的某些实施例,但是本领域技术人员将很容易意识到,在实质上不脱离本发明的新颖教导和优点的前提下可以在实施例中进行许多修改。因此,所有这些修改都意图被包括在本发明的范围内。

Claims (24)

1.一种减小隔离物刻蚀工艺中的凹进的方法,包括:
将硅衬底放置在干法等离子体刻蚀系统中的衬底夹持器上,所述硅衬底具有覆盖在多晶硅特征和单晶硅表面上的隔离物介电层;
选择一种工艺条件以使得所述隔离物介电层和所述单晶硅表面之间的刻蚀选择性大于或等于约5∶1,包括:
设置所述干法等离子体刻蚀系统中的压强;
引入处理气体,所述处理气体包括第一流率的稀有气体、第二流率的CHF3和第三流率的CH2F2
设置要耦合到所述干法等离子体刻蚀系统中的电极的功率以由所述处理气体形成等离子体,其中,选择所述CH2F2的流率对耦合到所述电极的所述功率的比率,以使其等于或小于0.0071sccm/W的值;
将所述工艺条件应用于所述干法等离子体刻蚀系统;以及
将所述衬底暴露于所述工艺条件,以将所述隔离物介电层刻蚀到所述单晶硅表面,同时使得在所述单晶硅表面中形成的凹进最小化。
2.如权利要求1所述的方法,其中所述将所述硅衬底放置在所述干法等离子体刻蚀系统中的步骤包括放置具有覆盖在多晶硅特征上的二氧化硅(SiO2)层的硅衬底。
3.如权利要求2所述的方法,其中所述选择所述工艺条件的步骤包括选择一种工艺条件以实现所述隔离物介电层和所述硅衬底之间大于约7∶1的刻蚀选择性。
4.如权利要求2所述的方法,其中所述设置所述压强的步骤包括设置范围从约5mtorr到约1000mtorr的压强。
5.如权利要求2所述的方法,其中所述设置所述压强的步骤包括设置范围从约40mtorr到约100mtorr的压强。
6.如权利要求2所述的方法,其中所述设置所述第一流率的步骤包括设置范围从约0sccm到约500sccm的氩气流率。
7.如权利要求2所述的方法,其中所述设置所述第二流率的步骤包括设置范围从约1sccm到约1000sccm的CHF3流率。
8.如权利要求2所述的方法,其中所述设置所述第二流率的步骤包括设置范围从约20sccm到约50sccm的CHF3流率。
9.如权利要求2所述的方法,其中所述设置所述第三流率的步骤包括设置范围从约1sccm到约1000sccm的CH2F2流率。
10.如权利要求2所述的方法,其中所述设置所述第三流率的步骤包括设置范围从约2sccm到约6sccm的CH2F2流率。
11.如权利要求2所述的方法,其中所述设置所述功率的步骤包括设置要耦合到面对所述衬底夹持器上的所述衬底的上电极的射频(RF)功率为第一频率。
12.如权利要求11所述的方法,其中所述设置到所述上电极的所述RF功率的步骤包括设置范围从约0W到约2000W的功率。
13.如权利要求11所述的方法,其中所述设置到所述上电极的所述RF功率的步骤包括设置范围从约100W到约1000W的功率。
14.如权利要求2所述的方法,其中所述设置所述功率的步骤包括将耦合到面对所述衬底夹持器上的所述衬底的上电极的第一射频(RF)功率设为第一RF频率,并且将耦合到所述衬底夹持器的第二RF功率设为第二RF频率。
15.如权利要求14所述的方法,其中所述设置所述第一RF功率的步骤包括设置范围从约100W到约1000W的功率,并且所述设置所述第二RF功率的步骤包括设置范围从约50W到约950W的功率。
16.一种在放置在干法等离子体刻蚀系统中的衬底上相对于硅均匀刻蚀氧化硅的方法,包括:
将具有所述氧化硅SiOx膜的所述衬底放置在所述干法等离子体刻蚀系统中的衬底夹持器上;
将反应性处理气体引入所述干法等离子体刻蚀系统中的处理空间,所述反应性处理气体包括CH2F2和CHF3
向所述干法等离子体刻蚀系统中的电极施加第一射频(RF)信号,其中所述第一RF信号包括大于20MHz的频率;
选择所述CH2F2的流率对耦合到所述电极的RF功率的比率,以使其等于或小于0.0071sccm/W的值;以及
刻蚀所述氧化硅膜。
17.如权利要求16所述的方法,还包括:
向所述衬底夹持器施加第二RF信号,其中所述第二RF信号包括小于20MHz的频率。
18.如权利要求16所述的方法,还包括:
将稀有气体引入所述干法等离子体刻蚀系统中的所述处理空间。
19.如权利要求16所述的方法,其中所述电极包括面对所述衬底夹持器上的所述衬底的上电极。
20.如权利要求16所述的方法,其中所述电极包括所述衬底夹持器。
21.如权利要求16所述的方法,还包括:
引入含氧气体,其中所述含氧气体包括氧气、CO、或CO2、或其任意组合。
22.如权利要求16所述的方法,其中所述氧化硅膜覆盖的所述多晶硅特征包括用于晶体管的多晶硅栅极。
23.如权利要求22所述的方法,其中所述多晶硅特征包括多晶硅栅极,并且所述氧化硅层包括用于所述多晶硅栅极的绝缘隔离物。
24.一种干法等离子体刻蚀系统,包括:
被配置为限定处理空间的处理室;
耦合到所述处理室并且被配置为在所述处理空间中支持衬底的衬底夹持器;
耦合到所述处理室并且被配置为将反应性处理气体引入到所述干法等离子体刻蚀系统中的处理空间的处理气体供应系统,所述反应性处理气体包括CH2F2和CHF3
耦合到所述处理室并且被配置为抽空所述处理空间的泵处理系统;
耦合到所述处理室中的电极并且被配置为将射频(RF)功率耦合到所述处理气体以在所述处理空间中形成等离子体的功率系统;以及
耦合到所述处理气体供应系统和所述功率系统的控制器,其被配置为设置所述CH2F2的流率对耦合到所述电极的RF功率的比率,以使其等于或小于0.0071sccm/W的值。
CN2006800526044A 2006-02-10 2006-12-12 用于相对于硅选择性刻蚀介电材料的方法和系统 Expired - Fee Related CN101366100B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/350,765 2006-02-10
US11/350,765 US7393788B2 (en) 2006-02-10 2006-02-10 Method and system for selectively etching a dielectric material relative to silicon
PCT/US2006/046967 WO2007094853A2 (en) 2006-02-10 2006-12-12 Method and system for selectively etching a dielectric material relative to silicon

Publications (2)

Publication Number Publication Date
CN101366100A CN101366100A (zh) 2009-02-11
CN101366100B true CN101366100B (zh) 2010-12-08

Family

ID=38369177

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2006800526044A Expired - Fee Related CN101366100B (zh) 2006-02-10 2006-12-12 用于相对于硅选择性刻蚀介电材料的方法和系统

Country Status (6)

Country Link
US (1) US7393788B2 (zh)
JP (2) JP5106424B2 (zh)
KR (2) KR101308241B1 (zh)
CN (1) CN101366100B (zh)
TW (1) TWI331776B (zh)
WO (1) WO2007094853A2 (zh)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5103006B2 (ja) 2006-11-16 2012-12-19 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US20080203056A1 (en) * 2007-02-26 2008-08-28 Judy Wang Methods for etching high aspect ratio features
US8268184B2 (en) * 2010-06-29 2012-09-18 Tokyo Electron Limited Etch process for reducing silicon recess
US8501630B2 (en) 2010-09-28 2013-08-06 Tokyo Electron Limited Selective etch process for silicon nitride
US9263283B2 (en) * 2011-09-28 2016-02-16 Tokyo Electron Limited Etching method and apparatus
US8765613B2 (en) * 2011-10-26 2014-07-01 International Business Machines Corporation High selectivity nitride etch process
JP5932599B2 (ja) * 2011-10-31 2016-06-08 株式会社日立ハイテクノロジーズ プラズマエッチング方法
US8664125B2 (en) 2011-12-23 2014-03-04 Tokyo Electron Limited Highly selective spacer etch process with reduced sidewall spacer slimming
US8592327B2 (en) 2012-03-07 2013-11-26 Tokyo Electron Limited Formation of SiOCl-containing layer on exposed low-k surfaces to reduce low-k damage
US8551877B2 (en) 2012-03-07 2013-10-08 Tokyo Electron Limited Sidewall and chamfer protection during hard mask removal for interconnect patterning
US8809194B2 (en) 2012-03-07 2014-08-19 Tokyo Electron Limited Formation of SiOCl-containing layer on spacer sidewalls to prevent CD loss during spacer etch
JP6097192B2 (ja) * 2013-04-19 2017-03-15 東京エレクトロン株式会社 エッチング方法
US9318343B2 (en) * 2014-06-11 2016-04-19 Tokyo Electron Limited Method to improve etch selectivity during silicon nitride spacer etch
US10643858B2 (en) 2017-10-11 2020-05-05 Samsung Electronics Co., Ltd. Method of etching substrate
CN113471049B (zh) * 2021-06-30 2022-07-26 北京屹唐半导体科技股份有限公司 用于处理工件的方法及等离子体刻蚀机、半导体器件

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5318668A (en) * 1991-10-24 1994-06-07 Matsushita Electric Industrial Co., Ltd. Dry etching method
CN1600901A (zh) * 2003-09-25 2005-03-30 北京大学 基于电感耦合等离子体刻蚀多晶硅及制备超细线条的方法
US6890863B1 (en) * 2000-04-27 2005-05-10 Micron Technology, Inc. Etchant and method of use

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2677936B2 (ja) * 1991-10-24 1997-11-17 松下電器産業株式会社 ドライエッチング方法
US5316668A (en) * 1992-12-22 1994-05-31 Jet, Inc. Wastewater treatment plant and apparatus
GB2325561B (en) * 1997-05-20 2001-10-17 Applied Materials Inc Apparatus for and methods of implanting desired chemical species in semiconductor substrates
TW372351B (en) * 1998-03-27 1999-10-21 Promos Technologies Inc Manufacturing method for silicon tolerance wall in self-aligned contact forming process
JP2000299461A (ja) * 1999-04-15 2000-10-24 Denso Corp 半導体装置の製造方法
KR20010028673A (ko) * 1999-09-22 2001-04-06 윤종용 반응성 이온 식각을 이용한 반도체 소자의 컨택 홀 형성 방법
JP3483541B2 (ja) * 2000-12-08 2004-01-06 沖電気工業株式会社 半導体装置の製造方法
US6716759B2 (en) * 2001-05-11 2004-04-06 Micron Technology, Inc. Etch of silicon nitride selective to silicon and silicon dioxide useful during the formation of a semiconductor device
JP2003086568A (ja) * 2001-09-10 2003-03-20 Tokyo Electron Ltd エッチング方法
US6777299B1 (en) 2003-07-07 2004-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for removal of a spacer
JP2005039015A (ja) * 2003-07-18 2005-02-10 Hitachi High-Technologies Corp プラズマ処理方法および装置
US7008878B2 (en) * 2003-12-17 2006-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma treatment and etching process for ultra-thin dielectric films
JP4550507B2 (ja) 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20070032081A1 (en) 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5318668A (en) * 1991-10-24 1994-06-07 Matsushita Electric Industrial Co., Ltd. Dry etching method
US6890863B1 (en) * 2000-04-27 2005-05-10 Micron Technology, Inc. Etchant and method of use
CN1600901A (zh) * 2003-09-25 2005-03-30 北京大学 基于电感耦合等离子体刻蚀多晶硅及制备超细线条的方法

Also Published As

Publication number Publication date
US7393788B2 (en) 2008-07-01
US20070190792A1 (en) 2007-08-16
JP5106424B2 (ja) 2012-12-26
CN101366100A (zh) 2009-02-11
WO2007094853A3 (en) 2007-12-21
KR101308241B1 (ko) 2013-09-30
KR20080104299A (ko) 2008-12-02
TW200737345A (en) 2007-10-01
TWI331776B (en) 2010-10-11
WO2007094853A2 (en) 2007-08-23
JP5468113B2 (ja) 2014-04-09
KR101274822B1 (ko) 2013-06-13
KR20130040261A (ko) 2013-04-23
JP2009526398A (ja) 2009-07-16
JP2012256907A (ja) 2012-12-27

Similar Documents

Publication Publication Date Title
CN101366100B (zh) 用于相对于硅选择性刻蚀介电材料的方法和系统
CN100595891C (zh) 用于低k刻蚀后的无损灰化工艺和系统
CN100511621C (zh) 用于刻蚀掩模的系统和方法
CN100557776C (zh) 用于利用基于sf6的化学物质刻蚀掺杂硅的方法和系统
CN105762060A (zh) 氧化硅和氧化锗的各向同性原子层蚀刻
US7709397B2 (en) Method and system for etching a high-k dielectric material
US7846645B2 (en) Method and system for reducing line edge roughness during pattern etching
JP4594235B2 (ja) Arc層をエッチングする方法
WO2007040716A2 (en) Method and system for etching silicon oxide and silicon nitride with high selectivity relative to silicon
JP2006522480A (ja) 多層フォトレジストのドライ現像のための方法及び装置
US7344991B2 (en) Method and apparatus for multilayer photoresist dry development
CN1871554A (zh) 用于从衬底去除光刻胶的方法和设备
CN100505177C (zh) 用于刻蚀高k介电材料的方法和系统
TW573326B (en) Storage poly process without carbon contamination
US20080128388A1 (en) Method and apparatus for multilayer photoresist dry development
US20070056927A1 (en) Process and system for etching doped silicon
CN100521088C (zh) 双层光刻胶干法显影的方法和装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20101208

Termination date: 20151212

EXPY Termination of patent right or utility model