KR101274822B1 - 유전체 재료를 실리콘에 대하여 선택적으로 에칭하기 위한 에칭 방법, 리세스 저감 방법, 컴퓨터 판독 가능한 매체, 및 건식 플라즈마 에칭 시스템 - Google Patents

유전체 재료를 실리콘에 대하여 선택적으로 에칭하기 위한 에칭 방법, 리세스 저감 방법, 컴퓨터 판독 가능한 매체, 및 건식 플라즈마 에칭 시스템 Download PDF

Info

Publication number
KR101274822B1
KR101274822B1 KR1020087022129A KR20087022129A KR101274822B1 KR 101274822 B1 KR101274822 B1 KR 101274822B1 KR 1020087022129 A KR1020087022129 A KR 1020087022129A KR 20087022129 A KR20087022129 A KR 20087022129A KR 101274822 B1 KR101274822 B1 KR 101274822B1
Authority
KR
South Korea
Prior art keywords
setting
flow rate
power
single crystal
crystal silicon
Prior art date
Application number
KR1020087022129A
Other languages
English (en)
Other versions
KR20080104299A (ko
Inventor
줄리 에이 쿡
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20080104299A publication Critical patent/KR20080104299A/ko
Application granted granted Critical
Publication of KR101274822B1 publication Critical patent/KR101274822B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

건식 플라즈마 에칭 시스템에서 유전체 층을 실리콘 및 폴리실리콘에 대하여 선택적으로 균일하게 에칭하기 위한 방법 및 시스템이 개시되어 있다. 에칭 화학반응은 CH2F2 및 CHF3와 같은 플루오로하이드로카본의 사용을 포함한다. 에칭 플라즈마 내에서 활성 에칭 라디칼과 폴리머 형성 라디칼의 적절한 밸런스가 이루어지도록, CH2F2의 유량과 건식 플라즈마 에칭 시스템에 결합된 파워를 포함한 공정 조건을 선택함으로써 높은 에칭 선택도 및 적절한 균일성을 달성할 수 있다.

Description

유전체 재료를 실리콘에 대하여 선택적으로 에칭하기 위한 에칭 방법, 리세스 저감 방법, 컴퓨터 판독 가능한 매체, 및 건식 플라즈마 에칭 시스템{METHOD AND SYSTEM FOR SELECTIVELY ETCHING A DIELECTRIC MATERIAL RELATIVE TO SILICON}
본 발명은, 2005년 9월 15일자로 출원되고, 발명의 명칭이 "METHOD AND SYSTEM FOR ETCHING SILICON OXIDE AND SILICON NITRIDE WITH HIGH SELECTIVITY RELATIVE TO SILICON"인 계류 중의 미국 특허 출원 제11/226,452호에 관한 것으로, 상기 특허 출원의 전체 내용은 본원 명세서에 참고로 인용된다.
본 발명은 유전체 재료를 선택적으로 에칭하는 방법 및 시스템에 관한 것으로, 보다 구체적으로는 트리플루오로메탄(CHF3) 및 디플루오로메탄(CH2F2)을 포함하는 공정 화학물질(process chemistry)을 이용하여 실리콘 산화물(SiOx) 또는 실리콘 질화물(SiyNz)을 실리콘에 대하여 높은 선택도로 균일하게 에칭하기 위한 방법 및 시스템에 관한 것이다.
통상적으로, 집적 회로(IC)의 제작 중에, 반도체 제작 설비는 반도체 기판 상에 패턴화된 미세 라인을 따라 또는 비아 또는 컨택트 내의 재료를 제거하거나 에칭하기 위하여 (건식) 플라즈마 에칭 공정을 활용하고 있다. 플라즈마 에칭 공 정의 성공에는, 에칭 화학물질이 실질적으로 다른 재료는 에칭하지 않으면서 하나의 재료를 선택적으로 에칭하는데 적합한 화학 반응물을 포함하는 것이 필요하다. 예컨대, 반도체 기판상에서, 보호층에 형성된 패턴은 플라즈마 에칭 공정을 활용하여 선택된 재료의 기부 층에 전사될 수 있다. 보호층은 포토레지스트 층과 같은 감광성 층을 포함할 수 있고, 리소그래픽 공정을 이용하여 형성된 패턴을 갖는다. 일단 패턴이 형성되면, 반도체 기판을 플라즈마 처리 챔버 내에 배치하여, 보호층은 최소로 에칭하면서 기부 층을 선택적으로 에칭하는 에칭 화학물질을 형성한다. 이러한 에칭 화학물질은, 보호층과는 최소로 반응하면서 기부 층과 반응할 수 있는 분자 성분을 갖는 모분자(parent molecule)를 포함하는 이온화 가능한 해리 가스 혼합물을 도입함으로써 생성된다. 에칭 화학물질의 생성은, 존재하는 가스 종의 일부가 활성 전자와의 충돌 후에 이온화될 때에 가스 혼합물을 도입하고 플라즈마를 형성하는 것을 포함한다. 또한, 가열된 전자는 가스 혼합물의 일부 종을 해리시키고 (모분자의) 화학 성분의 반응 혼합물을 생성하는 역할을 한다. 그 후, 이온화된 가스 종과 화학 성분의 반응 혼합물은 기판의 노출 영역에 있는 다양한 피처(예컨대, 트렌치, 비아, 컨택트 등)의 에칭을 용이하게 한다. 에칭을 필요로 하는 그러한 기판 재료로는, 예컨대 실리콘 이산화물(SiO2), 폴리실리콘 및 실리콘 질화물을 포함한다.
본 발명은 건식 플라즈마 공정을 이용하여 기판을 에칭하기 위한 방법에 관한 것이다. 특히, 본 발명은 실리콘 산화물 층, 또는 실리콘 질화물 층, 또는 이들 양자를 기판상의 실리콘 피처에 대하여 선택적으로 에칭하기 위한 방법에 관한 것이다. 또한, 본 발명은, 실리콘 산화물 층 또는 실리콘 질화물 층을 에칭할 때에, 에칭 선택도, 또는 에칭 균일성 또는 이들 모두와 같은 에칭 특성을 최적화하는 것에 관한 것이다.
실시예에 따르면, 스페이서 에칭 공정에 있어서의 리세스를 줄이기 위한 방법 및 컴퓨터 판독 가능한 매체를 기술하고 있으며, 이 방법은, 폴리실리콘 피처(feature)를 덮는 스페이서 유전체 층을 갖는 실리콘 기판을 건식 플라즈마 에칭 시스템 내의 기판 홀더 상에 배치하는 배치 단계; 스페이서 유전체 층과 실리콘 기판 사이의 에칭 선택도가 약 5 대 1 이상이 되도록 공정 조건을 선택하는 선택 단계; 공정 조건을 건식 플라즈마 에칭 시스템에 적용하는 적용 단계; 기판을 공정 조건에 노출시키는 기판 노출 단계를 포함하며, 선택 단계는, 건식 플라즈마 에칭 시스템 내의 압력을 설정하는 압력 설정 단계; 제1 유량의 희가스, 제2 유량의 CHF3, 제3 유량의 CH2F2를 포함하는 공정 가스를 도입하는 도입 단계; 공정 가스로부터 플라즈마를 형성하기 위하여 건식 플라즈마 에칭 시스템 내의 전극에 결합되는 파워를 설정하는 파워 설정 단계를 포함한다.
실시예에 따르면, 건식 플라즈마 에칭 시스템에 배치된 기판상의 실리콘에 대하여 실리콘 산화물을 균일하게 에칭하는 방법 및 컴퓨터 판독 가능한 매체를 기술하고 있으며, 이 방법은, 실리콘 산화물(SiOx)의 막을 갖는 기판을 상기 건식 플라즈마 에칭 시스템 내의 기판 홀더 상에 배치하는 단계; CH2F2 및 CHF3을 포함하는 반응성 공정 가스를 건식 플라즈마 에칭 시스템의 공정 공간에 도입하는 단계; 건식 플라즈마 에칭 시스템 내의 전극에 주파수가 20 MHz를 넘는 제1 고주파(RF) 신호를 인가하는 단계; 전극에 결합된 RF 파워에 대한 CH2F2의 유량의 비를 실질적으로 0.0071 sccm/W(watt) 이하로 선택하는 단계; 실리콘 산화물의 막을 에칭하는 단계를 포함한다.
다른 실시예에 따르면, 건식 플라즈마 에칭 시스템을 기술하고 있으며, 이 에칭 시스템은, 공정 공간을 구획하도록 구성된 공정 챔버; 공정 챔버에 결합되고, 상기 공정 공간 내의 기판을 지지하도록 구성된 기판 홀더; 공정 챔버에 결합되고, CH2F2 및 CHF3를 포함하는 반응성 공정 가스를 건식 플라즈마 에칭 시스템 내의 공정 공간에 도입하도록 구성된 공정 가스 공급 시스템; 공정 챔버에 결합되고, 공정 공간을 배기시키도록 구성된 진공 처리 시스템; 공정 챔버 내의 전극에 결합되고, 공정 공간 내에 플라즈마를 형성하기 위하여 공정 가스에 고주파(RF) 파워를 결합하도록 구성된 파워 시스템; 공정 가스 공급 시스템 및 파워 시스템에 결합되고, 전극에 결합된 RF 파워에 대한 CH2F2의 유량의 비를 실질적으로 0.0071 sccm/W(watt) 이하로 설정하도록 구성된 컨트롤러를 포함한다.
도 1a 및 도 1b는 실리콘 기판 상에 형성된 구조를 개략적으로 도시하는 도면이고,
도 2는 본 발명의 실시예에 따른 건식 플라즈마 에칭 시스템의 개략적인 다이어그램을 도시하고,
도 3은 본 발명의 다른 실시예에 따른 건식 플라즈마 에칭 시스템의 개략적인 다이어그램을 도시하고,
도 4는 본 발명의 다른 실시예에 따른 건식 플라즈마 에칭 시스템의 개략적인 다이어그램을 도시하고,
도 5는 본 발명의 다른 실시예에 따른 건식 플라즈마 에칭 시스템의 개략적인 다이어그램을 도시하고,
도 6은 본 발명의 다른 실시예에 따른 건식 플라즈마 에칭 시스템의 개략적인 다이어그램을 도시하고,
도 7은 여러 공정 파라미터에 대한 실리콘 산화물의 에칭 속도 및 실리콘의 에칭 속도의 의존성을 예시적으로 도시하고,
도 8a 내지 도 8d는 에칭 균일성에 대한 예시적인 데이터를 나타내고,
도 9는 건식 플라즈마 에칭 공정에 대한 예시적인 데이터를 나타내고,
도 10은 본 발명의 실시예에 따라 유전체층을 에칭하는 방법을 도시하고,
도 11은 본 발명의 다른 실시예에 따라 유전체층을 에칭하는 방법을 도시하고,
도 12는 본 발명의 다른 실시예에 따라 기판상의 리세스를 줄이는 방법을 도시한다.
이하에서는, 본 발명의 철저한 이해를 돕도록, 그리고 한정의 의도가 없는 설명을 목적으로, 에칭 공정을 실행하기 위하여 구성된 건식 플라즈마 에칭 시스템의 특정 기하형상 및 시스템의 다양한 구성 요소와 같은 특정의 세부 사항을 설명하고 있다. 그러나 이들 특정 세부 사항으로부터 벗어나는 다른 실시예에 의해 본 발명을 실행할 수 있다는 것을 이해해야 한다.
재료 처리 방법과 관련해서, 건식 플라즈마 에칭은, 다른 재료는 실질적으로 에칭하지 않으면서 하나의 재료를 선택적으로 에칭하기에 적합한 화학 반응물을 갖는 플라즈마 화학물질(plasma chemistry)을 활용한다. 일례로서, 다결정 실리콘(폴리실리콘) 피처를 갖는 게이트 스택 상에 절연(유전) 재료의 층을 적층한다(도 1a 참조). 예컨대, 절연층은 실리콘 이산화물(예컨대, SiO2) 또는 실리콘 질화물(예컨대, Si2N3) 또는 이들 모두를 포함할 수도 있다. 그 후, 절연층에 에칭 공정을 적용하여, 게이트 스택의 측벽을 따른 부분을 제외하고 모든 위치에서 절연층을 제거한다(도 1b 참조). 나머지 절연 재료는 반도체 소자의 제작 시에 스페이서(spacer)로서 작용한다. 폴리실리콘 게이트 재료를 실질적으로 감소시키지 않고 실리콘 기판에 형성된 리세스(도 1b)를 최소화하면서 스페이서를 형성하는 것이 장치의 조작 및/또는 신뢰성에 중요하다. 바람직하게는, 리세스는 2.7 nm 미만으로, 보다 바람직하게는 1 nm 미만으로 감소한다. 이에 따라, 폴리실리콘을 최소로 에칭할 뿐 아니라, 기부의 (단결정) 실리콘 기판을 최소로 에칭하면서 절연 재료를 에칭하도록 에칭 화학물질을 선택하는 것이 바람직하다. 또한, 스페이서 에칭 공 정의 결과가 기판의 범위에 걸쳐 균일한 것이, 예컨대 제작 수율에 중요하다.
따라서 일 실시예에서는, 건식 플라즈마 에칭 시스템에서 실리콘 산화물(SiOx) 또는 실리콘 질화물(SiyNz)을 실리콘 및 폴리실리콘에 대하여 선택적으로, 균일하게 에칭하기 위한 방법 및 시스템을 설명한다. 에칭 화학물질은 CH2F2 및 CHF3와 같은 플루오로하이드로카본을 사용하는 것을 포함한다. 에칭 플라즈마 내에 폴리머 형성 분자가 형성되고, 활성 에칭 분자 또는 원자의 적절한 밸런스를 얻도록, CH2F2의 유량 및 건식 플라즈마 에칭 시스템에 결합되는 파워를 포함한 공정 조건을 선택함으로써, 높은 에칭 선택도 및 적절한 균일성을 얻을 수 있다.
예컨대, 본원의 발명자는, 플루오로하이드로카본 에칭 화학물질을 사용함으로써, 에칭 플라즈마의 존재하에서, 실리콘 산화물 또는 실리콘 질화물 표면의 에칭을 허용하면서, 폴리실리콘 및 실리콘 표면에 흡착되어 에칭 공정 중에 이들 표면을 보호할 수 있는 하이드로카본 및 플루오로카본 분자의 형성이 촉진되는 것으로 생각하고 있다. CHF3 및 CH2F2 양자를 (실리콘 및 폴리실리콘 표면의 보호를 위한) 폴리머 형성 가스로서 고려할 수 있지만, 후술하는 특정의 공정 조건에서는, CHF3가 활성 에칭 분자 또는 원자를 생성하는 경향이 있고, CH2F2는 폴리머 형성 분자를 생성하는 경향이 있다.
일 실시예에 따르면, 에칭 화학물질은 트리플루오로메탄(CHF3), 디플루오로메탄(CH2F2), 그리고 희가스(예컨대, 아르곤, 크립톤, 크세논 등)와 같은 불활성 가 스를 포함한다. 또한, 에칭 화학물질은 산소 함유 가스를 더 포함할 수 있다. 산소 함유 가스는 산소(O2), NO, N2O, NO2, CO, 또는 CO2, 또는 이들의 조합을 포함할 수 있다. 예컨대, 실리콘에 대하여 높은 선택도로 실리콘 산화물 또는 실리콘 질화물을 균일하게 에칭하기 위한 하나의 공정 레시피는 트리플루오로메탄(CHF3), 디플루오로메탄(CH2F2) 및 아르곤(Ar)을 포함한다.
다른 실시예에 따르면, 플라즈마 처리 챔버(10), 플라즈마 처리 챔버(10)에 결합된 진단 시스템(12), 진단 시스템(12) 및 플라즈마 처리 챔버(10)에 결합된 컨트롤러(14)를 포함하는 건식 플라즈마 에칭 시스템(1)이 도 2에 도시되어 있다. 컨트롤러(14)는, 실리콘 산화물 또는 실리콘 질화물을 실리콘에 대하여 선택적으로 균일하게 에칭하도록 트리플루오로메탄(CHF3), 디플루오로메탄(CH2F2) 및 불활성 가스를 포함하는 공정 레시피를 실행시키도록 구성된다. 대안으로, 컨트롤러(14)는, 실리콘 산화물 또는 실리콘 질화물을 실리콘 및 폴리실리콘에 대하여 선택적으로 에칭하도록 트리플루오로메탄(CHF3), 디플루오로메탄(CH2F2) 및 불활성 가스를 포함하는 공정 레시피를 실행시키도록 구성된다. 일 실시예에서, 공정 레시피는 트리플루오로메탄(CHF3), 디플루오로메탄(CH2F2) 및 아르곤(Ar)을 포함한다. 다른 실시예에서, 공정 레시피는 트리플루오로메탄(CHF3), 디플루오로메탄(CH2F2), 산소(O2) 및 아르곤(Ar)을 포함한다. 또한, 컨트롤러(14)는, 공정의 종점을 정확하게 결정하기 위하여 진단 시스템(12)으로부터 적어도 하나의 종점 신호(endpoint signal) 를 수신하고 적어도 하나의 종점 신호를 후처리하도록 구성된다. 도시된 실시예에서, 도 2에 도시된 건식 플라즈마 에칭 시스템(1)은 플라즈마를 활용하여 재료를 처리하고 있다.
도 3에 도시된 실시예에 따르면, 건식 플라즈마 에칭 시스템(1a)은 플라즈마 처리 챔버(10), 처리 대상의 기판(25)이 부착된 기판 홀더(20), 진공 펌핑 시스템(30)을 포함한다. 기판(25)은 예컨대 반도체 기판, 웨이퍼 또는 액정 디스플레이일 수 있다. 플라즈마 처리 챔버(10)는, 예컨대 기판(25)의 표면에 근접한 처리 영역(15)에 플라즈마를 용이하게 발생시키도록 구성될 수 있다. 이온화 가능한 가스 또는 가스의 혼합물이 가스 주입 시스템(도시 생략)을 통하여 도입되고, 공정의 압력이 조정된다. 예컨대, 제어 메커니즘(도시 생략)을 사용하여 진공 펌핑 시스템(30)을 스로틀링할 수 있다. 플라즈마를 활용하여, 예정된 재료 공정에 특정한 재료를 생성시킬 수 있고 및/또는 기판(25)의 노출면으로부터 재료를 제거하는 것을 보조할 수 있다. 건식 플라즈마 에칭 시스템(1a)은 200 mm 기판, 300 mm 기판, 또는 보다 대형의 기판을 처리하도록 구성될 수 있다.
기판(25)은 예컨대 정전 클램핑 시스템을 통하여 기판 홀더(20)에 부착될 수 있다. 또한, 기판 홀더(20)는, 예컨대 기판 홀더(20)로부터 열을 수용하여 열 교환기 시스템(도시 생략)으로 열을 전달하거나, 또는 가열 시에 열 교환기 시스템으로부터의 열을 전달하는 재순환 냉매 흐름을 갖는 냉각 시스템을 더 포함할 수 있다. 또한, 예컨대 이면측 가스 시스템을 통하여 기판(25)의 이면측에 가스를 공급하여 기판(25)과 기판 홀더(20) 사이의 가스-갭(gas-gap) 열 전도를 향상시킬 수 있다. 이러한 시스템은, 기판의 온도를 높은 온도 또는 낮은 온도로 제어할 필요가 있을 때에 활용될 수 있다. 예컨대, 이면측 가스 시스템은 투-존 가스 분배 시스템을 포함할 수 있으며, 헬륨 가스의 갭 압력은 기판(25)의 중앙과 가장자리 사이에서 독립적으로 변경될 수 있다. 다른 실시예에서, 저항 발열 소자와 같은 가열/냉각 소자 또는 열전 히터/쿨러를, 플라즈마 처리 챔버(10)의 챔버 벽 및 건식 플라즈마 에칭 시스템(1a) 내의 임의의 다른 구성요소뿐 아니라, 기판 홀더(20)에도 구비할 수 있다.
도 3에 도시된 실시예에서, 기판 홀더(20)는 공정 공간(15) 내에서 RF 파워를 처리 플라즈마에 결합시키는 전극을 포함할 수 있다. 예컨대, 기판 홀더(20)는, RF 발생기(40)로부터의 RF 파워를 임피던스 매치 네트워크(50)를 매개로 기판 홀더(20)로 전달하는 것을 통하여 RF 전압으로 전기적으로 바이어스될 수 있다. RF 바이어스는 전자를 가열하여 플라즈마를 형성하고 유지하도록 작용할 수 있다. 이러한 구조에서, 시스템은 반응성 이온 에칭(RIE) 반응기로서 동작할 수 있으며, 챔버와 상부의 가스 주입 전극이 접지면(ground surface)으로서 작용한다. RF 바이어스를 위한 통상의 주파수의 범위는 약 0.1 MHz 내지 약 100 MHz일 수 있다. 플라즈마 처리를 위한 RF 시스템은 당업자에게 널리 알려져 있다.
대안으로, RF 파워는 기판 홀더 전극에 다중 주파수로 인가된다. 또한, 임피던스 매치 네트워크(50)는 반사되는 파워를 줄임으로써 플라즈마 처리 챔버(10) 내의 플라즈마에 RF 파워를 전달하는 것을 촉진시키는 작용을 한다. 매치 네트워크 토폴로지(예컨대, L-타입, π-타입, T-타입 등)과 자동 제어 방법도 당업자에게 널리 알려져 있다.
진공 펌프 시스템(30)은, 예컨대, 5000 리터/초( 및 그 이상)에 이르는 펌핑 속도가 가능한 터보-분자 진공 펌프(TMP)와 챔버 압력을 스로틀링하기 위한 게이트 밸브를 포함할 수 있다. 건식 플라즈마 에칭에 사용되는 종래의 플라즈마 처리 장치에 있어서는, 1000 리터/초 내지 3000 리터/초의 TMP가 일반적으로 채용되고 있다. TMP는 저압 처리, 통상적으로 50 mTorr 미만의 처리에 유용하다. 고압 처리(즉, 약 100 mTorr 초과)의 경우에는, 기계식 부스터 펌프 및 건식 러핑 펌프(dry roughing pump)를 사용할 수 있다. 또한, 챔버 압력을 모니터링하기 위한 장치(도시 생략)가 플라즈마 처리 챔버(10)에 결합될 수 있다. 압력 측정 장치는, 예컨대, MKS Instruments, Inc.(미국 매사츄세츠주 안도버에 소재)에서 시판하는 Type 628B Baratron 절대 캐패시턴스 마노미터(absolute capacitance manometer)일 수 있다.
컨트롤러(14)는, 마이크로프로세서, 메모리 및 디지털 I/O 포트를 포함하며, 이 디지털 I/O 포트는 건식 플라즈마 에칭 시스템(1a)과 통신하여, 건식 플라즈마 에칭 시스템(1a)으로부터의 출력을 모니터할 뿐 아니라, 건식 플라즈마 에칭 시스템(1a)으로의 입력을 작동시키기에 충분한 제어 전압을 발생시킬 수 있다. 또한, 컨트롤러(14)는 RF 발생기(40), 임피던스 매치 네트워크(50), 가스 주입 시스템(도시 생략), 진공 펌프 시스템(30), 이면측 가스 공급 시스템(도시 생략), 기판/기판 홀더 온도 측정 시스템(도시 생략), 및/또는 정전 클램핑 시스템(도시 생략)에 결합되어 정보를 교환할 수 있다. 예컨대, 메모리에 기억된 프로그램을 활용하여, 공정 레시피에 따라 건식 플라즈마 에칭 시스템(1a)의 전술한 구성 요소로의 입력을 작동시켜 실리콘 산화물 층 또는 실리콘 질화물 층을 에칭하는 방법을 실행시킬 수 있다. 컨트롤러(14)의 일례로는, 미국 텍사스주 오스틴에 소재하는 Dell Corporation에서 시판하는 DELL PRECISION WORKSTATION610TM이 있다.
그러나 컨트롤러(14)는, 메모리에 저장된 하나 이상의 명령어의 하나 이상의 시퀀스를 실행시키는 프로세서에 응답하여 본 발명의 마이크로프로세서 기반 처리 단계의 일부 또는 전부를 실행시키는 범용 컴퓨터 시스템으로서 구현될 수도 있다. 이러한 명령어는, 하드 디스크 또는 이동식 매체 드라이브와 같은 다른 컴퓨터 판독 가능한 매체로부터 컨트롤러 메모리 내로 기입될 수 있다. 또한, 다중 처리 배치의 하나 이상의 프로세서를 컨트롤러 마이크로프로세서로서 채용하여 메인 메모리에 저장된 명령어의 시퀀스를 실행시킬 수도 있다. 변형예에 있어서, 소프트웨어 명령어 대신에, 또는 소프트웨어 명령어와 조합하여 하드-와이어드 회로를 사용할 수 있다. 이에 따라, 실시예는 하드웨어 회로 및 소프트웨어의 임의의 특정의 조합으로 한정되지 않는다.
컨트롤러(14)는 적어도 하나의 컴퓨터 판독 가능한 매체 또는 컨트롤러 메모리와 같은 메모리를 포함하여, 본 발명의 교시에 따라 프로그램된 명령어를 탑재하고 데이터 구조, 테이블, 레코드, 또는 본 발명을 구현하는데 필요할 수 있는 다른 데이터를 저장한다. 컴퓨터 판독 가능한 매체의 예로는, 콤팩트디스크(예컨대, CD-ROM), 하드디스크, 플로피디스크, 테이프, 광-자기 디스크, PROMs(EPROM, EEPROM, 플래시 EPROM), DRAM, SRAM, SDRAM, 또는 임의의 다른 자기 매체, 또는 임의의 다른 광학 매체, 펀치 카드, 종이 테이프, 또는 구멍의 패턴을 갖는 다른 물리 매체, (후술하는) 반송파 또는 컴퓨터가 판독할 수 있는 임의의 다른 매체를 들 수 있다.
본 발명에 있어서, 컴퓨터 판독 가능한 매체 중 임의의 하나 또는 임의의 조합에는, 컨트롤러(14)를 제어하고, 발명을 구현하기 위한 장치(들)를 구동하고, 및/또는 컨트롤러로 하여금 사용자와 상호 작용하게 하는 소프트웨어가 탑재되어 있다. 그러한 소프트웨어는 디바이스 드라이버, 운영 체계(OS; operating system), 개발 툴, 애플리케이션 소프트웨어를 포함할 수 있지만, 이들로 한정되는 것은 아니다. 이러한 컴퓨터 판독 가능한 매체는, 발명을 구현할 때에 실행하는 처리의 전부 또는 일부(처리가 분산되어 있는 경우)를 실행하기 위한 본 발명의 컴퓨터 프로그램 제품을 더 포함한다.
본 발명의 컴퓨터 코드 디바이스는 스크립트, 해석 가능 프로그램, 동적 연결 라이브러리(DLLs), 자바 클래스 및 완전 실행 가능 프로그램을 포함한 임의의 해석 가능하거나 실행 가능한 코드 메커니즘일 수 있지만, 이들로 한정되는 것은 아니다. 또한, 본 발명의 처리 중 일부는 양호한 성능, 신뢰성 및/또는 비용을 위하여 분산될 수도 있다.
본 명세서에 사용되고 있는 "컴퓨터 판독 가능한 매체"라는 표현은 실행을 위하여 컨트롤러(14)의 프로세서에 명령어를 제공하는 데에 관여하는 임의의 매체를 지칭하는 것이다. 컴퓨터 판독 가능한 매체는, 비휘발성 매체, 휘발성 매체, 전송 매체를 포함한 많은 형태를 취할 수 있지만, 이들로 한정되는 것은 아니다. 비휘발성 매체는 예컨대, 하드 디스크 또는 이동식 매체 드라이브와 같은 광 디스크, 자기 디스크 및 광-자기 디스크를 포함한다. 휘발성 매체는 메인 메모리와 같은 동적 메모리를 포함한다. 또한, 실행을 위하여 컨트롤러의 프로세서에 하나 이상의 명령어의 하나 이상의 시퀀스를 실행하는 데에 다양한 형태의 컴퓨터 판독 가능한 매체가 관여할 수 있다. 예컨대, 명령어는 초기에는 원격 컴퓨터의 자기 디스크에 탑재될 수도 있다. 원격 컴퓨터는 본 발명의 전부 또는 일부를 구현하기 위한 명령어를 동적 메모리 내로 원격 로딩하고, 명령어를 네트워크를 거쳐 컨트롤러(14)에 보낼 수 있다.
컨트롤러(14)는 건식 플라즈마 에칭 시스템(1a)에 대하여 근거리에 위치될 수도 있고, 인터넷 또는 인트라넷을 통하여 건식 플라즈마 에칭 처리 시스템(1a)에 대하여 원거리에 위치될 수도 있다. 이에 따라, 컨트롤러(14)는, 직접 접속, 인트라넷, 인터넷 중 적어도 하나를 이용하여 건식 플라즈마 에칭 시스템(1a)과 데이터를 교환할 수 있다. 컨트롤러(14)는 커스토모 사이트(즉, 디바이스 메이커 등)의 인트라넷에 결합될 수도 있고, 벤더 사이트(즉, 장비 제작자)의 인트라넷에 결합될 수도 있다. 또한, 다른 컴퓨터(즉, 컨트롤러, 서버 등)가 컨트롤러(14)에 액세스하여 직접 접속, 인트라넷 또는 인터넷 중 적어도 하나를 통하여 데이터를 교환할 수 있다.
진단 시스템(12)은 광학 진단 서브시스템(도시 생략)을 포함할 수 있다. 광학 진단 서브시스템은, 플라즈마로부터 방사된 광도(light intensity)를 측정하기 위한 (실리콘) 포토다이오드 또는 PMT(photomultiplier tube)와 같은 검출기를 포함할 수 있다. 진단 시스템(12)은 협대역 간섭 필터와 같은 광학 필터를 더 포함할 수 있다. 변형예에서, 진단 시스템(12)은 라인 CCD(Charge coupled device), CID(charge injection device) 어레이, 그리고 격자(grating) 또는 프리즘과 같은 광 분산 장치 중 적어도 하나를 포함할 수 있다. 또한, 진단 시스템(12)은 소정 파장의 광을 측정하기 위한 모노크로메이터(예컨대, 격자/검출기 시스템), 또는 예컨대 미국 특허 제5,888,337호에 개시된 장치와 같이 광 스펙트럼을 측정하기 위한 분광계(예컨대, 회전 격자)를 포함할 수 있으며, 상기 특허의 전체 내용은 본 명세서에 참고로 인용된다.
진단 시스템(12)은, Peak Sensor Systems 또는 Verity Instruments, Inc에서 시판하는 것과 같은 고분해능 OES(Optical Emission Spectroscopy) 센서를 포함할 수 있다. 그러한 OES 센서는, 자외선(UV), 가시 광선(VIS) 및 근적외선(NIR) 광 스펙트럼에 걸쳐 있는 광역 스펙트럼을 갖는다. 분해능은 약 1.4 옹스트롬인데, 즉 센서는 240 nm 내지 1000 nm의 5550개의 파장을 수집할 수 있다. 예컨대, OES 센서는 고민감성의 미니어쳐 파이버 옵틱 UV-VIS-NIR 분광계를 구비할 수 있고, 이 분광계는 2048 픽셀 선형 CCD 어레이와 합체된다.
분광계는 싱글 및 번들 광섬유를 통하여 전달되는 광을 수용하고, 여기서 광섬유로부터 출력된 광은 고정 격자를 이용하여 라인 CCD 어레이를 가로질러 분산된다. 전술한 구조와 유사하게, 광 진공 창을 통하여 방사되는 광은 볼록 구면 렌즈를 통하여 광 섬유의 입력단에 집속된다. 각각 소정의 분광 범위(UV, VIS 및 NIR) 를 위해 특정하게 조정된 3개의 분광계가 공정 챔버용 센서를 형성하고 있다. 각 분광계는 독립 A/D 컨버터를 구비한다. 그리고 마지막으로, 센서 이용에 따라서, 0.1 내지 1.0 초마다 전방사 스펙트럼을 기록할 수 있다.
도 4에 도시된 실시예에서, 건식 플라즈마 에칭 시스템(1b)은 예컨대 도 2 또는 도 3의 실시예와 유사할 수 있으며, 도 2 및 도 3을 참고로 설명한 구성 요소에 추가하여, 고정식, 또는 기계식, 또는 전기식으로 회전하는 자기장 시스템(60)을 더 포함하여, 플라즈마 밀도를 잠재적으로 증가시키고, 및/또는 플라즈마 처리 균일성을 향상시킬 수 있다. 또한, 컨트롤러(14)는 회전 속도 및 자기장 세기를 조절하기 위하여 자기장 시스템(60)에 결합될 수 있다. 회전 자기장의 구조 및 구현은 당업자에게 널리 공지되어 있다.
도 5에 도시된 실시예에 있어서, 건식 플라즈마 에칭 시스템(1c)은 예컨대 도 2 또는 도 3의 실시예와 유사할 수 있으며, RF 발생기(72)로부터의 RF 파워가 임피던스 매치 네트워크(74)를 통하여 결합될 수 있는 상부 전극(70)을 더 포함할 수 있다. 상부 전극에 RF 파워를 인가하기 위한 통상의 주파수 범위는 약 0.1 MHz 내지 약 200 MHz일 수 있다. 또한, 하부 전극에 파워를 인가하기 위한 통상의 주파수 범위는 약 0.1 MHz 내지 약 100 MHz일 수 있다. 아울러, 컨트롤러(14)는 RF 발생기(72) 및 임피던스 매치 네트워크(74)에 결합되어 상부 전극(70)으로의 RF 파워의 인가를 제어한다. 상부 전극의 구조 및 구현은 당업자에게 널리 공지되어 있다.
도 6에 도시된 실시예에 있어서, 건식 플라즈마 에칭 시스템(1d)은 예컨대 도 2 및 도 3의 실시예와 유사할 수 있으며, RF 발생기(82)를 매개로 임피던스 매치 네트워크(84)를 통하여 RF 파워가 결합되는 유도 코일(80)을 더 포함할 수 있다. RF 파워는 유도 코일(80)로부터 유전체 창(도시 생략)을 통하여 플라즈마 처리 영역(45)에 유도 결합된다. 유도 코일(80)에 RF 파워를 인가하기 위한 통상의 주파수 범위는 약 10 MHz 내지 약 100 MHz일 수 있다. 마찬가지로, 척 전극(chuck electrode)에 파워를 인가하기 위한 통상의 주파수 범위는 약 0.1 MHz 내지 약 100 MHz일 수 있다. 또한, 유도 코일(80)과 플라즈마 사이의 용량성 결합을 줄이기 위하여 슬롯형 패러데이 실드(slotted Faraday shield; 도시 생략)를 채용할 수 있다. 아울러, 컨트롤러(14)는 RF 발생기(82) 및 임피던스 매치 네트워크(84)에 결합되어 유도 코일(80)로의 파워의 인가를 제어한다. 변형예에 있어서, 유도 코일(80)은 트랜스포머 결합형 플라즈마(TCP) 반응기에서와 같이 위로부터 플라즈마 처리 영역(15)과 소통하는 "나선형(spiral)" 또는 "팬케이크형(fancake)" 코일일 수 있다. 유도 결합형 플라즈마(TCP) 소스 또는 트랜스포머 결합형 플라즈마(TCP) 소스의 구조 및 구현은 당업자에게 널리 알려져 있다.
대안으로, 플라즈마는 전자 사이클로트론 공명(ECR)을 이용하여 형성될 수도 있다. 또 다른 실시예에서, 플라즈마는 헬리콘 파(Helicon wave)의 적용으로부터 형성된다. 또 다른 실시예에서, 플라즈마는 전달 표면파로부터 형성된다. 전술한 각 플라즈마 소스는 당업자에게 널리 공지되어 있다.
이하의 설명에서는, 건식 플라즈마 에칭 시스템을 이용하여 기판상의 유전체 층을 에칭하는 방법을 개시하고 있다. 예컨대, 건식 플라즈마 에칭 시스템은, 도 2 내지 도 6에서 설명한 바와 같은 다양한 요소 및 이들 요소의 조합을 포함할 수 있다.
일 실시예에 있어서, 실리콘 산화물(SiOx) 또는 실리콘 질화물(SiyNz), 또는 이들 양자를 실리콘, 또는 실리콘 및 폴리실리콘에 대하여 선택적으로 에칭하는 방법은, 트리플루오로메탄(CHF3), 디플루오로메탄(CH2F2), 아르곤(Ar), 그리고 선택적으로 산소(O2)와 같은 산소 함유 가스를 포함하는 공정 화학물질(process chemistry)을 포함한다. 예컨대, 공정 파라미터 공간은, 약 5 mTorr 내지 약 1000 mTorr의 챔버 압력, 약 1 sccm 내지 약 1000 sccm의 CHF3 공정 가스 유량, 약 1 sccm 내지 약 1000 sccm의 CH2F2 공정 가스 유량, 선택적으로 약 1 sccm 내지 약 1000 sccm의 O2 공정 가스 유량, 약 1 sccm 내지 약 2000 sccm의 Ar 공정 가스 유량, 약 0 W 내지 약 2000 W의 상부 전극[예컨대, 도 5의 요소(70)] RF 바이어스, 약 10 W 내지 약 1000 W의 하부 전극[예컨대, 도 5의 요소(20)] RF 바이어스를 포함할 수 있다. 또한, 상부 전극 바이어스 주파수는 약 0.1 MHz 내지 약 200 MHz 범위, 예컨대 60 MHz일 수 있다. 또한, 하부 전극 바이어스 주파수는 약 0.1 MHz 내지 약 100 MHz 범위, 예컨대 2 MHz일 수 있다.
또한, 예컨대, 공정 파라미터 공간은, 약 40 mTorr 내지 약 100 mTorr의 챔버 압력, 약 5 sccm 내지 약 100 sccm의 CHF3 공정 가스 유량, 약 1 sccm 내지 약 10 sccm의 CH2F2 공정 가스 유량, 선택적으로 약 0 sccm 내지 약 10 sccm의 O2 공정 가스 유량, 약 0 sccm 내지 약 500 sccm의 Ar 공정 가스 유량, 약 100 W 내지 약 1000 W의 상부 전극[예컨대, 도 5의 요소(70)] RF 바이어스, 약 50 W 내지 약 950 W의 하부 전극[예컨대, 도 5의 요소(20)] RF 바이어스를 포함할 수 있다. 또한, 상부 전극 바이어스 주파수는 약 0.1 MHz 내지 약 200 MHz 범위, 예컨대 60 MHz일 수 있다. 또한, 하부 전극 바이어스 주파수는 약 0.1 MHz 내지 약 100 MHz 범위, 예컨대 2 MHz일 수 있다.
전술한 바와 같이, 플루오로하이드로카본계 에칭 화학물질, 특히 CHF3 및 CH2F2를 사용하면, 실리콘 산화물 또는 실리콘 질화물 표면의 에칭을 허용하면서 실리콘 및 폴리실리콘 표면을 보호할 수 있는 하이드로카본 및 플루오로카본 분자를 용이하게 생성할 수 있다. 예컨대, 본원의 발명자는, 특정 조건하에서는, CHF3가 상대적으로 보다 활발한 에칭 분자 또는 원자를 생성하는 경향이 있고, CH2F2는 상대적으로 보다 많은 폴리머 형성 분자를 생성하는 경향이 있는 것으로 생각하고 있다.
일례로서, 도 5에 개시된 것과 같은 건식 플라즈마 에칭 시스템을 활용하여 실리콘 산화물을 실리콘 및 폴리실리콘에 대하여 선택적으로 에칭하는 방법을 설명한다. 그러나 설명하는 방법은 그러한 예시적인 설명에 의해 범위가 한정되는 것은 아니다.
전술한 바와 같이, 스페이서 에칭 공정은, 폴리실리콘 게이트 재료의 에칭을 최소화하고 실리콘 기판의 에칭을 최소화하면서 유전체 재료를 에칭하는 에칭 화학 물질을 사용하는 것이 바람직하다. 미국 특허 출원 제11/226,452호는, 에칭 공정에 CH2F2를 사용하면, 폴리실리콘에 대한 산화물의 에칭 선택도를 향상시킬 수 있다는 것을 개시하고 있다. 그러나 본원의 발명자는, 미국 출원 제11/226,452호에 개시된 공정에 의해서는 결정질 실리콘에 대한 산화물의 에칭 선택도를 현저하게 향상(이것도 역시 바람직함)시킬 수 없는 것으로 판단하였다. 구체적으로, 표 1은 CHF3 및 Ar을 활용하는 제1 공정 레시피(공정 A)와, CHF3, CH2F2, O2 및 Ar을 활용하는 제2 공정 레시피(공정 B)를 포함한 2개의 공정 레시피를 나타내고 있다.
Figure 112008064161178-pct00001
표 1에 있어서, p는 공정 챔버 내의 가스 압력(millitorr, mtorr)을 나타내고, 갭은 상부 전극[예컨대, 도 5의 요소(70)]과 하부 전극[예컨대, 도 5의 요소(20)] 사이의 간격(millimeter, mm)을 나타내며, UEL P는 상부 전극[예컨대, 도 5의 요소(70)]에 결합된 고주파(RF) 파워(W, watt)를 나타내고, LEL P는 하부 전극[예컨대, 도 5의 요소(20)]에 결합된 RF 파워(W, watt)를 나타내며, CHF3은 CHF3의 가스 유량(sccm; standard cubic centimeters per minute)을 나타내고, Ar은 Ar의 가스 유량(sccm)을 나타내며, CH2F2는 CH2F2의 가스 유량(sccm)을 나타내며, O2는 O2의 가스 유량(sccm)을 나타낸다. 이하의 공정 조건뿐 아니라, 각 공정 조건에 있어서, 기판은 정전 클램핑을 이용하여 기판 홀더에 부착되어 있으며, 기판 홀더는, 기판 중심에 15 torr의 이면측 헬륨 압력을 부여하고 기판 가장자리에 25 torr의 이면측 헬륨 압력을 부여하도록 구성된 투-존 이면측 헬륨 공급 시스템을 구비한다. 또한, 상부 전극[예컨대, 도 5의 요소(70)]의 온도는 약 80℃로 설정되고, 건식 플라즈마 에칭 시스템의 벽 온도는 60℃로 설정되며, 하부 전극[예컨대, 도 5의 요소(20)], 또는 기판 홀더의 온도는 30℃로 설정된다. 이 공정에 대한 추가의 상세 내용은, 2005년 9월 15일 출원되고, 발명의 명칭이 "METHOD AND SYSTEM FOR ETCHING SILICON OXIDE AND SILICON NITRIDE WITH HIGH SELECTIVITY RELATIVE TO SILICON"인 계류중의 미국 특허 출원 제11/226,452호에 제공되며, 이 특허 출원의 전체 내용은 본원 명세서에 참고로 인용된다.
표 2는, 폴리실리콘에 대한 실리콘 산화물의 에칭 선택도[산화물/폴리실리콘, 폴리실리콘 에칭 속도에 대한 실리콘 산화물 에칭 속도(E/R)의 비], 실리콘에 대한 실리콘 산화물의 에칭 선택도[산화물/실리콘, 실리콘 에칭 속도에 대한 실리콘 산화물 에칭 속도의 비], 실리콘 산화물 에칭 속도(A/min), 스페이서 유전체 에칭의 완료 후의 기판 내의 실리콘 리세스의 양(nm)을 나타내고 있다. 표 2의 조사로부터, CH2F2의 도입을 포함하고 있는 제2 공정 레시피를 활용할 때에 폴리실리콘에 대한 산화물의 에칭 선택도가 크게 증가하는 것을 알 수 있다. 그러나 본원의 발명자는, 제2 공정 레시피를 활용할 때에는 실리콘에 대한 산화물의 에칭 선택도가 그다지 증가하지 않는 것으로 판단하였다. 따라서 에칭 화학물질에 대한 (단결정) 실리콘의 민감성은 에칭 화학물질에 대한 폴리실리콘의 민감성과 매우 상이한데, 이는 본 발명 이전에는 잘 이해할 수 없었던 것이다.
Figure 112008064161178-pct00002
전술한 인식과 도 1a 및 도 1b의 스페이서 에칭 공정과 같은 공정에 대한 의의를 기초로 하여, 본원의 발명자는, 실리콘 산화물과 실리콘의 에칭에 대한, 표 1에 나타낸 상이한 공정 파라미터(즉, 압력, 아르곤의 유량, CH2F2의 유량, CHF3의 유량, O2의 유량, 파워 등)의 의의를 확인하기 위하여 철저한 조사와 테스트를 행하였다. 이와 같이 함으로써, 본원의 발명자는, 유리하게는, (1) 높은 실리콘 산화물 에칭 속도, (2) 낮은 실리콘 에칭 속도, (3) 적절한 공정 균일성, 및 (4) 실리콘 상의 낮은 순 증착(net deposition)을 포함하는 공정 조건이 추구되는 것을 확인하였다.
표 3은 조사 및 테스트에서 실행한 공정 조건의 세트를 나타내고 있다. 표 1에 열거한 공정 파라미터에 추가하여, 표 3은 블랭킷 실리콘 산화물 기판에서 측정한 실리콘 산화물의 에칭 속도(nm/min), 원자력 현미경(AFM)을 이용하여 단결정 실리콘 기판에서 측정한 실리콘의 에칭 속도(nm/min), 실리콘 산화물과 실리콘 사이의 에칭 선택도(즉, 실리콘 에칭 속도에 대한 실리콘 산화물 에칭 속도의 비율)를 또한 제공하고 있다. (에칭 속도를 계산하기 위하여) 대략 ±3 nm의 오차로 두께 변동, 즉 증가(순 증착) 또는 감소(순 에칭)를 측정한다. 경우에 따라서는, 데이터를 얻지 못하였으며, 이에 따라 조건을 "No data"로 분류하고, 다른 경우에는, 에칭 속도는, 실리콘 산화물 및 실리콘 표면(폴리머-산화물/실리콘) 또는 실리콘 표면(폴리머-실리콘)에 대한 재료(폴리머)의 순 증착을 나타내는 음의 값이다.
처음 16회의 공정 조건에서는, 에칭 화학물질에 CH2F2를 첨가하지 않았다. 단지 압력, 파워, 아르곤 유량 및 O2 유량만을 변화시켰다.
표 3의 조사에 의하면, 모든 경우에 대하여 실리콘 산화물과 실리콘 사이의 에칭 선택도는 4 대 1 미만이다. 또한, 경우에 따라서는, 아르곤이 도입되지 않고 파워가 낮은 때(공정 번호 12 참조)와 같은 경우, 실리콘 산화물 및 실리콘 양자의 표면에 순 증착이 존재한다. 본원의 발명자는, (1) 아르곤의 유량이 증가하면 실리콘의 에칭 속도가 증가하는 경향이 있고, (2) O2의 유량이 증가하면 실리콘의 에칭 속도가 증가하는 경향이 있으며, (3) 파워가 증가하면 실리콘의 에칭 속도가 증가하는 경향이 있으며, (4) 압력이 증가하면 실리콘의 에칭 속도가 저하하는 경향이 있는 것을 관찰하였다.
공정 번호 17 내지 31에 대해서는, CH2F2를 도입하고 있다. 여기서, 압력, 파워, 아르곤의 유량 및 CH2F2의 유량을 변화시킨다. 전술한 바와 같이, 발명자는 파워와 CH2F2 유량 사이의 균형을 의도하고 있는데, 그 이유는, 측벽 표면을 보호하기 위하여 폴리머를 형성할 필요가 있지만(즉 이방성 에칭), 파워는 에칭면의 폴리머를 파괴하기에 충분히 높아야 하기 때문이다. 또한, 본원의 발명자는, 예컨대 모분자 내의 낮은 불소 함량에 기인하여, 플라즈마의 존재 하에서 폴리머 형성 재료의 생성이 CH2F2 유량에 보다 민감하고, CHF3 유량에 그다지 민감하지 않은 것을 의도하고 있다. 이는 (통상적으로 에칭 속도를 증가시키는) O2의 증가가 CH2F2의 도입에 의해 상쇄된다는 발명자들의 관찰에 의해 뒷받침된다.
Figure 112008064161178-pct00003
도 7은 표 3에서 실행된 테스트의 결과를 보여주며, 아르곤 유량, O2 유량, 파워, 압력 및 CH2F2 유량을 포함한 여러 파라미터의 변경에 대한, 실리콘 산화물 에칭 속도(SiO2 에칭 속도, 1분의 에칭 공정당 nm) 및 실리콘 에칭 속도(Si 에칭 속도, 1분의 에칭 공정당 nm)의 민감도를 도시하고 있다. 특히, 도 7은 CH2F2의 유량 및 파워의 변동에 대한 실리콘 산화물 및 실리콘 에칭 속도의 민감도가 더 크다는 것을 나타내고 있다. 이에 따라, 본원의 발명자는 공정 요건을 만족시키기 위하여 선택도를 조정할 때에 이들 파라미터를 특히 유효한 것으로 확인하였다. 본원의 발명자는, 파워의 변동이 폴리머 형성 모분자(CH2F2)의 해리 레벨을 조정(예컨대, 파워가 감소하면 해리가 작아지고, 파워가 증가하면 해리가 증가)함으로써 폴리머 형성 재료의 생성에 영향을 미치고, CH2F2 유량의 변동이 유용한 폴리머 형성 모분자(CH2F2)의 양을 조정함으로써 폴리머 형성 재료의 생성에 영향을 끼치는 것으로 믿고 있다.
표 3을 추가로 분석하면, 예컨대 (A) 공정 조건 번호 21은 실리콘 상의 순 증착(35 nm/min)을 갖는 불충분한 실리콘 산화물 에칭 속도(14.6 nm/min)를 제공하고; (B) 공정 조건 번호 22는 낮은 실리콘 에칭 속도(4 nm/min)을 갖고 실리콘 상의 순 증착이 없는, 8 대 1을 넘는 에칭 선택도를 제공하고; (C) 공정 조건 번호 25는 높은 실리콘 산화물 에칭 속도(46.1 nm/min)를 제공하고; (D) 공정 조건 번호 26은 실리콘 상의 순 증착(4.5 nm/min)을 최소로 하는 높은 실리콘 산화물 증착 속도(56.3 nm/min)를 제공한다.
그러나 도 8a 내지 도 8d를 참고하면, 이들 4개의 공정 조건에 따라 실리콘 산화물 에칭 균일성이 현저하게 변경된다. 도 8a 및 도 8b는, 실리콘 표면상에서 과잉( 및 잠재적 과잉: 공정 조건 번호 25의 경우)의 폴리머 증착이 일어나서 균일성이 저조하게 되는 것을 도시하고 있다. 도 8c 및 도 8d는, 높은 실리콘 산화물 에칭 속도와, 낮은 실리콘 에칭 속도 또는 실리콘 상의 낮은 순 증착으로 인한 양호한 균일성을 도시하고 있다.
이제 표 4 및 도 9를 참고하면, 파워(W)에 대한 CH2F2 유량(sccm)의 비율에 따른 실리콘 산화물 에칭 균일성(%)의 의존성이 도시되어 있다. 대략 0.0071 이하의 비율에서, 에칭 균일성은 약 2.5% 미만이다. 그러나 대략 0.0071을 넘는 비율에 대해서는, 균일성이 현저하게 악화된다. 본원의 발명자는, 폴리머 형성 분자의 과잉 생성, 즉 과잉 양의 폴리머 형성 모분자(CH2F2), 또는 낮은 파워(예컨대, 낮은 해리), 또는 이들 양자의 결과로 균일성이 저하되는 것으로 믿고 있다. 이에 따라, 본원의 발명자는, 산화물 에칭의 균일성이 중요한 공정 고려 사항인 경우에, 공정 요건을 만족시키기 위하여 CH2F2 유량에 대한 파워의 비율을 조정할 수 있다는 것을 또한 알았다.
도 10은 본 발명의 실시예에 따른 플라즈마 처리 시스템에 있어서 기판상의 실리콘에 대하여 실리콘 산화물, 실리콘 질화물 또는 이들 양자와 같은 유전체를 선택적으로 에칭하는 방법의 흐름도를 도시하고 있다. 과정 400은 공정 가스를 건식 플라즈마 에칭 시스템에 도입하는 단계 410에서 시작하며, 여기서 공정 가스는 트리플루오로메탄(CHF3), 디플루오로메탄(CH2F2), 그리고 희가스(예컨대, 아르곤)와 같은 불활성 가스를 포함한다. 대안으로, 공정 가스는 산소 함유 가스를 더 포함할 수도 있다.
Figure 112008064161178-pct00004
단계 420에서는, 예컨대 도 2 내지 도 6에 도시된 시스템 중 어느 하나 또는 이들 시스템의 조합을 이용하여, 건식 플라즈마 에칭 시스템 내에, 공정 가스로부터 플라즈마를 형성한다.
단계 430에서는, 단계 420에서 형성한 플라즈마에 기판을 노출시켜 실리콘에 대한 높은 에칭 선택도로 실리콘 산화물, 실리콘 질화물 또는 이들 양자를 에칭한다.
도 11은 스페이서 에칭 공정에서 리세스를 줄이기 위한 방법의 흐름도를 도시한다. 흐름도 500은, 폴리실리콘 피처를 덮는 스페이서 유전체 층을 갖는 실리콘 기판을 건식 플라즈마 에칭 시스템 내의 기판 홀더 상에 배치하는 단계 510에서 시작한다. 건식 플라즈마 에칭 시스템은, 예컨대 도 2 내지 도 6에 개시된 시스템 중 어느 하나 또는 이들 시스템의 조합일 수 있다. 스페이서 유전체 층은 실리콘 산화물(SiOx), 실리콘 질화물(SiyNz), 또는 이들의 조합, 예컨대 실리콘 산질화물층을 포함할 수 있다.
단계 520에서는, 스페이서 유전체 층과 실리콘 기판 사이의 에칭 선택도가 대략 5 대 1 이상이 되도록 공정 조건을 선택한다. CH2F2의 유량, CHF3의 유량, 압력, 또는 건식 플라즈마 에칭 시스템 내의 전극에 결합된 RF 파워[예컨대, 상부 전극(70)에 결합된 RF 파워] 중 적어도 하나, 또는 이들의 2 이상의 조합을 변경하여 실리콘에 대한 스페이서 유전체 층의 에칭 선택도를 5 대 1 이상, 바람직하게는 7 대 1 이상으로 제공한다.
단계 530에서는, 선택된 공정 조건을 건식 플라즈마 에칭 시스템에 적용하고, 단계 540에서 기판을 공정 조건에 노출시킨다. 공정 조건의 하나의 예는, 약 60 mTorr의 챔버 압력, 약 25 sccm의 CHF3 공정 가스 유량, 약 1.6 sccm의 CH2F2 공정 가스 유량, 약 450 sccm의 Ar 공정 가스 유량, 약 275 W의 상부 전극[예컨대, 도 5의 요소(70)] RF 바이어스, 약 225W의 하부 전극[예컨대, 도 5의 요소(20)] RF 바이어스를 포함할 수 있다. 또한, 상부 전극 바이어스 주파수는 약 0.1 MHz 내지 약 200 MHz 범위, 예컨대 60 MHz일 수 있다. 또한, 하부 전극 바이어스 주파수는 약 0.1 MHz 내지 약 100 MHz 범위, 예컨대 2 MHz일 수 있다.
도 12는 건식 플라즈마 에칭 시스템에 있어서 기판상의 실리콘에 대하여 유전체 층을 균일하게 에칭하는 방법의 흐름도를 도시한다. 흐름도 600은, 실리콘 산화물(SiOx) 또는 실리콘 질화물(SiyNz) 막과 같은 유전체 층을 갖는 기판을 건식 플라즈마 에칭 시스템 내의 기판 홀더 상에 배치하는 단계 610에서 시작한다. 실리콘 산화물(SiOx) 또는 실리콘 질화물(SiyNz) 막은, 기판상의 폴리실리콘 피처를 덮는 스페이서 유전체 층을 포함할 수 있다. 건식 플라즈마 에칭 시스템은, 예컨대 도 2 내지 도 6에 도시된 시스템 중 어느 하나, 또는 이들 시스템의 조합일 수 있다.
단계 620에서는, 건식 플라즈마 에칭 시스템에 CHF3 및 CH2F2를 포함하는 공정 가스를 도입한다. 공정 가스는 희가스(예컨대, 아르곤)와 같은 불활성 가스를 더 포함할 수 있다. 또한, 공정 가스는 산소(O2), NO, N2O, NO2, CO, 또는 CO2 또는 이들의 2 이상의 조합과 같은 산소 함유 가스를 포함할 수 있다.
단계 630에서는, 건식 플라즈마 에칭 시스템 내의 전극에 제1 고주파(RF) 신호를 인가하여 공정 가스로부터 플라즈마를 형성한다. 예컨대, 전극은 기판 홀더 상의 기판에 대향하는 상부 전극[예컨대, 도 5의 요소(70)]을 포함할 수 있다. 제1 RF 신호는 제1 RF 주파수에서의 값일 수 있고, 제1 RF 주파수는 20 MHz 이상일 수 있다. 또한, 건식 플라즈마 에칭 시스템 내의 동일 전극 또는 다른 전극에 제2 RF 신호를 인가할 수 있다. 예컨대, 제2 RF 신호는 기판이 놓여 있는 기판 홀더에 인가될 수 있다. 제2 RF 신호는 제2 RF 주파수에서의 값일 수 있고, 제2 RF 주파수는 20 MHz 이하일 수 있다.
단계 640에서는, 건식 플라즈마 에칭 시스템 내의 전극에 결합되는 RF 파워에 대한 CH2F2 유량의 비를 대략 0.0071 이하로 선택한다.
이상에서는 본 발명의 특정의 실시예만을 상세하게 설명하였지만, 당업자는 본 발명의 신규한 교시 내용과 이점으로부터 실질적으로 벗어나지 않으면서 실시예에 대한 많은 변형이 가능하다는 것을 쉽게 이해할 수 있을 것이다. 따라서 그러한 모든 변형이 본 발명의 범위 내에 포함되는 것으로 의도된다.

Claims (26)

  1. 스페이서 에칭 공정에 있어서의 리세스를 저감하는 방법으로서,
    폴리실리콘 피처(feature) 및 단결정 실리콘 기판 표면을 덮는 스페이서 유전체 층을 갖는 단결정 실리콘 기판을 건식 플라즈마 에칭 시스템 내의 기판 홀더 상에 배치하는 배치 단계;
    상기 스페이서 유전체 층과 상기 단결정 실리콘 기판 표면 사이의 에칭 선택도가 5 대 1 이상이 되도록 공정 조건을 선택하는 선택 단계;
    상기 공정 조건을 상기 건식 플라즈마 에칭 시스템에 적용하는 적용 단계;
    상기 단결정 실리콘 기판을 상기 공정 조건에 노출시켜 상기 단결정 실리콘 기판 표면에 형성된 리세스를 최소화하면서 상기 단결정 실리콘 기판 표면까지 스페이서 유전체 층을 에칭하는 기판 노출 단계
    를 포함하며, 상기 선택 단계는,
    상기 건식 플라즈마 에칭 시스템 내의 압력을 설정하는 압력 설정 단계;
    제1 유량의 희가스, 제2 유량의 CHF3 및 제3 유량의 CH2F2로 이루어지는 공정 가스를 도입하는 도입 단계;
    상기 공정 가스로부터 플라즈마를 형성하기 위하여 상기 건식 플라즈마 에칭 시스템 내의 전극에 결합되는 파워를 설정하는 파워 설정 단계를 포함하는 것인 리세스 저감 방법.
  2. 제1항에 있어서, 상기 건식 플라즈마 에칭 시스템에 상기 단결정 실리콘 기판을 배치하는 상기 배치 단계는 폴리실리콘 피처를 덮는 실리콘 이산화물(SiO2) 층을 갖는 단결정 실리콘 기판을 배치하는 것을 포함하는 것인 리세스 저감 방법.
  3. 제2항에 있어서, 상기 공정 조건을 선택하는 상기 선택 단계는, 상기 스페이서 유전체 층과 상기 단결정 실리콘 기판 표면 사이의 에칭 선택도가 7 대 1 이상이 되도록 공정 조건을 선택하는 것을 포함하는 것인 리세스 저감 방법.
  4. 제2항에 있어서, 상기 압력을 설정하는 상기 압력 설정 단계는 압력을 5 mtorr 내지 1000 mtorr의 범위로 설정하는 것을 포함하는 것인 리세스 저감 방법.
  5. 제2항에 있어서, 상기 압력을 설정하는 상기 압력 설정 단계는 압력을 40 mtorr 내지 100 mtorr의 범위로 설정하는 것을 포함하는 것인 리세스 저감 방법.
  6. 제2항에 있어서, 상기 제1 유량의 설정은 아르곤의 유량을 0 sccm 내지 500 sccm의 범위로 설정하는 것을 포함하는 것인 리세스 저감 방법.
  7. 제2항에 있어서, 상기 제2 유량의 설정은 CHF3의 유량을 1 sccm 내지 1000 sccm의 범위로 설정하는 것을 포함하는 것인 리세스 저감 방법.
  8. 제2항에 있어서, 상기 제2 유량의 설정은 CHF3의 유량을 20 sccm 내지 50 sccm의 범위로 설정하는 것을 포함하는 것인 리세스 저감 방법.
  9. 제2항에 있어서, 상기 제3 유량의 설정은 CH2F2의 유량을 1 sccm 내지 1000 sccm의 범위로 설정하는 것을 포함하는 것인 리세스 저감 방법.
  10. 제2항에 있어서, 상기 제3 유량의 설정은 CH2F2의 유량을 2 sccm 내지 6 sccm의 범위로 설정하는 것을 포함하는 것인 리세스 저감 방법.
  11. 제2항에 있어서, 상기 파워 설정 단계는, 상기 기판 홀더 상의 상기 단결정 실리콘 기판에 대향하는 상부 전극에 결합되는 고주파(RF) 파워를 제1 주파수로 설정하는 것을 포함하는 것인 리세스 저감 방법.
  12. 제11항에 있어서, 상기 상부 전극에 대한 상기 RF 파워를 설정하는 단계는 파워를 0 W 내지 2000 W의 범위로 설정하는 것을 포함하는 것인 리세스 저감 방법.
  13. 제11항에 있어서, 상기 상부 전극에 대한 상기 RF 파워를 설정하는 단계는 파워를 100 W 내지 1000 W의 범위로 설정하는 것을 포함하는 것인 리세스 저감 방법.
  14. 제2항에 있어서, 상기 파워 설정 단계는, 상기 기판 홀더 상의 상기 기판에 대향하는 상부 전극에 결합되는 제1 고주파(RF) 파워를 제1 RF 주파수로 설정하는 단계와, 상기 기판 홀더에 결합되는 제2 RF 파워를 제2 RF 주파수로 설정하는 단계를 포함하는 것인 리세스 저감 방법.
  15. 제14항에 있어서, 상기 제1 RF 파워를 설정하는 상기 단계는 파워를 100 W 내지 1000 W의 범위로 설정하는 것을 포함하고, 상기 제2 RF 파워를 설정하는 상기 단계는 파워를 50 W 내지 950 W의 범위로 설정하는 것을 포함하는 것인 리세스 저감 방법.
  16. 컴퓨터 시스템에 의한 실행 시에, 컴퓨터 시스템으로 하여금 다음의 방법을 실행하도록 하는, 컴퓨터 시스템상에서의 실행을 위한 프로그램 명령어를 탑재하는 컴퓨터 판독 가능한 매체로서, 상기 방법은,
    폴리실리콘 피처 및 단결정 실리콘 기판 표면을 덮는 스페이서 유전체 층을 갖는 단결정 실리콘 기판을 건식 플라즈마 에칭 시스템 내의 기판 홀더 상에 배치하는 배치 단계;
    상기 스페이서 유전체 층과 상기 단결정 실리콘 기판 표면 사이의 에칭 선택도가 5 대 1 이상이 되도록 공정 조건을 선택하는 선택 단계;
    상기 공정 조건을 상기 건식 플라즈마 에칭 시스템에 적용하는 적용 단계;
    상기 단결정 실리콘 기판을 상기 공정 조건에 노출시켜 상기 단결정 실리콘 기판 표면에 형성된 리세스를 최소화하면서 상기 단결정 실리콘 기판 표면까지 스페이서 유전체 층을 에칭하는 기판 노출 단계
    를 포함하며, 상기 선택 단계는,
    상기 건식 플라즈마 에칭 시스템 내의 압력을 설정하는 압력 설정 단계;
    제1 유량의 희가스, 제2 유량의 CHF3 및 제3 유량의 CH2F2로 이루어지는 공정 가스를 도입하는 도입 단계;
    상기 공정 가스로부터 플라즈마를 형성하기 위하여 상기 건식 플라즈마 에칭 시스템 내의 전극에 결합되는 파워를 설정하는 파워 설정 단계를 포함하는 것인 컴퓨터 판독 가능한 매체.
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
KR1020087022129A 2006-02-10 2006-12-12 유전체 재료를 실리콘에 대하여 선택적으로 에칭하기 위한 에칭 방법, 리세스 저감 방법, 컴퓨터 판독 가능한 매체, 및 건식 플라즈마 에칭 시스템 KR101274822B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/350,765 2006-02-10
US11/350,765 US7393788B2 (en) 2006-02-10 2006-02-10 Method and system for selectively etching a dielectric material relative to silicon
PCT/US2006/046967 WO2007094853A2 (en) 2006-02-10 2006-12-12 Method and system for selectively etching a dielectric material relative to silicon

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020137005808A Division KR101308241B1 (ko) 2006-02-10 2006-12-12 유전체 재료를 실리콘에 대하여 선택적으로 에칭하기 위한 에칭 방법, 리세스 저감 방법, 컴퓨터 판독 가능한 매체, 및 건식 플라즈마 에칭 시스템

Publications (2)

Publication Number Publication Date
KR20080104299A KR20080104299A (ko) 2008-12-02
KR101274822B1 true KR101274822B1 (ko) 2013-06-13

Family

ID=38369177

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020137005808A KR101308241B1 (ko) 2006-02-10 2006-12-12 유전체 재료를 실리콘에 대하여 선택적으로 에칭하기 위한 에칭 방법, 리세스 저감 방법, 컴퓨터 판독 가능한 매체, 및 건식 플라즈마 에칭 시스템
KR1020087022129A KR101274822B1 (ko) 2006-02-10 2006-12-12 유전체 재료를 실리콘에 대하여 선택적으로 에칭하기 위한 에칭 방법, 리세스 저감 방법, 컴퓨터 판독 가능한 매체, 및 건식 플라즈마 에칭 시스템

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020137005808A KR101308241B1 (ko) 2006-02-10 2006-12-12 유전체 재료를 실리콘에 대하여 선택적으로 에칭하기 위한 에칭 방법, 리세스 저감 방법, 컴퓨터 판독 가능한 매체, 및 건식 플라즈마 에칭 시스템

Country Status (6)

Country Link
US (1) US7393788B2 (ko)
JP (2) JP5106424B2 (ko)
KR (2) KR101308241B1 (ko)
CN (1) CN101366100B (ko)
TW (1) TWI331776B (ko)
WO (1) WO2007094853A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10643858B2 (en) 2017-10-11 2020-05-05 Samsung Electronics Co., Ltd. Method of etching substrate

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5103006B2 (ja) 2006-11-16 2012-12-19 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US20080203056A1 (en) * 2007-02-26 2008-08-28 Judy Wang Methods for etching high aspect ratio features
US8268184B2 (en) * 2010-06-29 2012-09-18 Tokyo Electron Limited Etch process for reducing silicon recess
US8501630B2 (en) 2010-09-28 2013-08-06 Tokyo Electron Limited Selective etch process for silicon nitride
TWI476832B (zh) * 2011-09-28 2015-03-11 Tokyo Electron Ltd 蝕刻方法及裝置
US8765613B2 (en) * 2011-10-26 2014-07-01 International Business Machines Corporation High selectivity nitride etch process
JP5932599B2 (ja) * 2011-10-31 2016-06-08 株式会社日立ハイテクノロジーズ プラズマエッチング方法
US8664125B2 (en) 2011-12-23 2014-03-04 Tokyo Electron Limited Highly selective spacer etch process with reduced sidewall spacer slimming
US8551877B2 (en) 2012-03-07 2013-10-08 Tokyo Electron Limited Sidewall and chamfer protection during hard mask removal for interconnect patterning
US8592327B2 (en) 2012-03-07 2013-11-26 Tokyo Electron Limited Formation of SiOCl-containing layer on exposed low-k surfaces to reduce low-k damage
US8809194B2 (en) 2012-03-07 2014-08-19 Tokyo Electron Limited Formation of SiOCl-containing layer on spacer sidewalls to prevent CD loss during spacer etch
JP6097192B2 (ja) * 2013-04-19 2017-03-15 東京エレクトロン株式会社 エッチング方法
US9318343B2 (en) * 2014-06-11 2016-04-19 Tokyo Electron Limited Method to improve etch selectivity during silicon nitride spacer etch
CN113471049B (zh) 2021-06-30 2022-07-26 北京屹唐半导体科技股份有限公司 用于处理工件的方法及等离子体刻蚀机、半导体器件

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000299461A (ja) * 1999-04-15 2000-10-24 Denso Corp 半導体装置の製造方法
JP2002237603A (ja) * 2000-12-08 2002-08-23 Oki Electric Ind Co Ltd 半導体装置の製造方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5318668A (en) * 1991-10-24 1994-06-07 Matsushita Electric Industrial Co., Ltd. Dry etching method
JP2677936B2 (ja) * 1991-10-24 1997-11-17 松下電器産業株式会社 ドライエッチング方法
US5316668A (en) * 1992-12-22 1994-05-31 Jet, Inc. Wastewater treatment plant and apparatus
GB2325561B (en) * 1997-05-20 2001-10-17 Applied Materials Inc Apparatus for and methods of implanting desired chemical species in semiconductor substrates
TW372351B (en) * 1998-03-27 1999-10-21 Promos Technologies Inc Manufacturing method for silicon tolerance wall in self-aligned contact forming process
KR20010028673A (ko) * 1999-09-22 2001-04-06 윤종용 반응성 이온 식각을 이용한 반도체 소자의 컨택 홀 형성 방법
US6890863B1 (en) * 2000-04-27 2005-05-10 Micron Technology, Inc. Etchant and method of use
US6716759B2 (en) * 2001-05-11 2004-04-06 Micron Technology, Inc. Etch of silicon nitride selective to silicon and silicon dioxide useful during the formation of a semiconductor device
JP2003086568A (ja) * 2001-09-10 2003-03-20 Tokyo Electron Ltd エッチング方法
US6777299B1 (en) * 2003-07-07 2004-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for removal of a spacer
JP2005039015A (ja) * 2003-07-18 2005-02-10 Hitachi High-Technologies Corp プラズマ処理方法および装置
CN1246498C (zh) * 2003-09-25 2006-03-22 北京大学 基于电感耦合等离子体刻蚀多晶硅及制备超细线条的方法
US7008878B2 (en) * 2003-12-17 2006-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma treatment and etching process for ultra-thin dielectric films
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20070032081A1 (en) * 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000299461A (ja) * 1999-04-15 2000-10-24 Denso Corp 半導体装置の製造方法
JP2002237603A (ja) * 2000-12-08 2002-08-23 Oki Electric Ind Co Ltd 半導体装置の製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10643858B2 (en) 2017-10-11 2020-05-05 Samsung Electronics Co., Ltd. Method of etching substrate

Also Published As

Publication number Publication date
JP5106424B2 (ja) 2012-12-26
TW200737345A (en) 2007-10-01
KR20130040261A (ko) 2013-04-23
JP2009526398A (ja) 2009-07-16
US20070190792A1 (en) 2007-08-16
CN101366100B (zh) 2010-12-08
US7393788B2 (en) 2008-07-01
JP5468113B2 (ja) 2014-04-09
CN101366100A (zh) 2009-02-11
TWI331776B (en) 2010-10-11
JP2012256907A (ja) 2012-12-27
KR20080104299A (ko) 2008-12-02
WO2007094853A3 (en) 2007-12-21
KR101308241B1 (ko) 2013-09-30
WO2007094853A2 (en) 2007-08-23

Similar Documents

Publication Publication Date Title
KR101274822B1 (ko) 유전체 재료를 실리콘에 대하여 선택적으로 에칭하기 위한 에칭 방법, 리세스 저감 방법, 컴퓨터 판독 가능한 매체, 및 건식 플라즈마 에칭 시스템
KR101220073B1 (ko) 기판 상의 실리콘층을 에칭하는 방법, 기판 상의 실리콘층을 에칭하기 위한 플라즈마 처리 시스템 및 컴퓨터 판독가능한 매체
CN100511621C (zh) 用于刻蚀掩模的系统和方法
US7846645B2 (en) Method and system for reducing line edge roughness during pattern etching
US20050164511A1 (en) Method and system for etching a high-k dielectric material
JP4594235B2 (ja) Arc層をエッチングする方法
US20070059938A1 (en) Method and system for etching silicon oxide and silicon nitride with high selectivity relative to silicon
JP2006522480A (ja) 多層フォトレジストのドライ現像のための方法及び装置
US7344991B2 (en) Method and apparatus for multilayer photoresist dry development
US8048325B2 (en) Method and apparatus for multilayer photoresist dry development
US20070056927A1 (en) Process and system for etching doped silicon
US7767926B2 (en) Method and system for dry development of a multi-layer mask using sidewall passivation and mask passivation
US20050136666A1 (en) Method and apparatus for etching an organic layer

Legal Events

Date Code Title Description
A201 Request for examination
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee