TWI336107B - Damage-free ashing process and system for post low-k etch - Google Patents

Damage-free ashing process and system for post low-k etch Download PDF

Info

Publication number
TWI336107B
TWI336107B TW095128131A TW95128131A TWI336107B TW I336107 B TWI336107 B TW I336107B TW 095128131 A TW095128131 A TW 095128131A TW 95128131 A TW95128131 A TW 95128131A TW I336107 B TWI336107 B TW I336107B
Authority
TW
Taiwan
Prior art keywords
substrate
gas
layer
residue
dielectric
Prior art date
Application number
TW095128131A
Other languages
English (en)
Other versions
TW200721300A (en
Inventor
Masaru Nishino
Douglas M Trickett
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200721300A publication Critical patent/TW200721300A/zh
Application granted granted Critical
Publication of TWI336107B publication Critical patent/TWI336107B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

1336107 九、發明說明: . 【發明所屬之技術領域】 本發明係關於一種使用乾蝕刻處理來移除基板上之後蝕刻殘 留物的方法及系統,更具體而言,係關於一種在低介電常數(l〇w_k) 層上施行後蝕刻殘留物之無損害移除的方法及系統,其中該low_k 層之介電常數係低於Si〇2之介電常數。 【交叉參考之相關申請案】 本申凊案係與在2003年12月17日所申請之名為rMeth〇d and
zpparatusforbilayerphotoresist dry development」及在 20〇3 年 8 月 14 曰所中請之名為「Method and apparatus for multiplayei: photoresistdrydevelopment」的美國申請案相關。上述之申請案的 所有内容係包含於此作為參考。 【先前技術】
為半導界之人士所知’内連線延遲為改善積體電路(IC)之速度 及效能之範射駐要限個素一種使内連線延遲最小化的方 j ’错由在ic製造巾使職介電t_QW_k)材料來減少内連線 。'谷因此近年來業界已發展出l〇w士材料來取代相對高 的,材料,如二氧化石夕。尤其似膜被用作為== 及層外介電層。此外,為了更進—步地減少絕緣 =4之”電常數,孔洞來形成材料膜,如纽lc>w_ 可藉_似於施加光阻之㈣介電質方法(SOD)解 由化學軋相沈積(CVD)來沈積。因此,沁〜士材料之 ^ = Ϊ現Γΐ半導體製造處理。軸1(^材料有利於半導體電“ ij明人1^忍到:此類膜亦產生許多的挑戰。首先,盘4 S,之"電層她’ l〇w_k膜具有較;結實之 ’圓 =間^損害,例如受_常使耻_化 焚灰化處理的損害。再者,如⑽膜在受到損 5 南反應〖生,尤其是在圖型化後藉此使得low-k材料吸收水份及/ 或與其他蒸氣及/或污染物反應,而此可改變介電層之電性。因此, 原先具有低介電常數之low_k材料遭受損害而導致其介電常數增 加及其原有優點的減少。目前,藉由將l〇w_k層曝露 :以助於自具有該low-k層之先進半導體裝置上移^ 邊,。尤其,乾電漿使用氧系化學品,但吾人觀察到:習知之氧 ίΐίίίΐ上所述之1QW_k層。#界6研究了以氮、氫及氨系化 :A :.、、替代之方案’但此些化學品對l〇w_k層下方的餘刻停止 廣=出不良的侧選擇比。在侧灰化姻將 可導致潛在料_裝置損害。 【發明内容】 -種使用乾魏處理在基板上移除侧後殘留 =法;更具體而言,本發明係關於一種在低介電常數 之無損害移除的方法及系統,其中該1 一 僧之门電吊數係低於Si02之介電常數。 古-ίί:實施例來闡述自基板移除侧後殘留物的方法。將具 有μ電層的基板設置在電漿處理系統中,1中哕介雷屛且古 ㈣介轉練,财關=== 於特徵部’其中該特徵部具有藉由侧處理所形成 後朗物。通人包含錢氣體、含氫氣體及含氧氣 植人'ίΪ將Ϊ中該含氧氣體包含氧(〇2)、C〇或C〇2或任何1 處理系統中自該處理氣體形成電聚。將該基板暴 路至該電漿中以移除該蝕刻後殘留物。 』、 呈有根ίίίίίΓ闡述自基板移除侧後殘留物的方法。將 八有”電層的基板設置在電漿處理系统中,苴十兮入雪思目士 利用钮刻處理而形成於J:中的—鮮 ”中h電層具有 後殘留物:;中=== ^電績的介電f數值。通人包含含該體、含舰體及含氧 1336107 ,體的處喊體’其巾該含氧氣體包 處理系統中自該處理氣體形成錢。“二露 該峨殘留物,但對介電層之介電常= 洲ΐΐίί—實施例來闡述自基板上之介電層中的特徵部移除 =ί=ίίί;ί Γ咖包含:一·處理室,用 理處理室並用以執行使贱處理氣體的處 甘士外八ί處乳體包含一含氮氣體、一含氫氣體及一含氧氣體, 其中戎含氧氣體包含氧CO或C〇2或〇2或任何1组人。^^ 倾的介電常數值:且移除該_後i留i 對;I電層之介電常數值造成無實質上之改變。 田w 【實施方式】 爲材料處理方法學中’ 81型化侧包含了施加—感光材料薄 1(1 且)至絲之上表面,接續圖型化此感光材料^提供一遮 期間將此圖型轉移到下方之薄膜。通常感光材料t ’例如光微影系統藉由輕射源來使感光 關之光學裝置)而進行曝光;接著使用一顯影劑 照射區域祕1在正光㈣情況下)或未受照 射區域(例如在負光阻的情況下)移除。 減=來說’如圖1a_c中所示’包含了具有使用習知光微影技 刻遮^ 6 ^之感光層3的侧遮罩可用作為介電層4之触 感光層3中之遮罩圖型2係使用糊處理而轉移 的較下層。例如,停止層可二= 光材料及二後’剩餘的感 在钱刻處理後’移除蝴後殘留物。通常習知之作法為使用 7 除此類殘留物,但本發明人觀察到該此習知之卢 才f 電層,且在許多情況下會引起介雷展夕义二上知之處理會 先進半導體裳置中,介電層包含低介^ 數增加。在 介,常數層之介電常數值低於Si〇2^nm撕。例如, c者:^ ^ f ^iam〇ndTM)cvD , (Novellus Systems)所販售的珊蝴吩瓜 二〆,糸統 電層可包含單相㈣,例如具有阳鍵&^=多孔介 在硬化處理期間會斷裂以產生小空隙氧^基貝’该项鍵 貝’该有機材料(例如成孔劑)孔隙在硬化處理期間合基乳= 包含無機之矽酸鹽系材料,如使用W技;:二:之 Γ ^^[hydr〇gensilsesq^〇xane ^hylsilsesq_ane(MSQ)]。例如此類膜包含 ^
Commg)所販售的FqxHSQ、由道康寧(D〇w c⑽㈣所販^ =孔HSQ及由JSR微電子所販售之JSRLKD 5i〇9 者 =可铲使用SOD技術所沈積的有機材料。例如二: 由陶氏化于(Dow Chemical)所販售的SiLK4、SiLK J、趾冗、 電樹脂,及FLAM™,及由漢威 "在-貫施例中將敘述使用包含含氮⑽氣體、含氫⑻氣體及含 乳(〇)氣體之處理氣體自介電層移除_後殘留物的處理。或者, 可將氮(N)、氣(H)及氧(〇)之兩或更多者包含在單—氣體中。例如, 可通入氨-氧(NHVO2)系之化學品來作為自1〇w_k介電層移除侧 1336107 • f施例中,可施加氮-純W/〇2)系 困一 :11'㈣;丨電層移除射彳後殘留物。或者,可添力σ或使 . 械^㈣來取代前所述之兩化學品中的〇2。或者,可六力 ^使,二氧化碳(co2)來取代前所述之三化學品中的〇2。或者; , J)理軋體更可包含惰性氣體’如貴重氣體(即氦、氖、氬、氪、; • ^根據T實施例,圖2中所示之電聚處理系統1包含:電激處 L夂1^連接至電聚處理$10之診斷系、统12、及連接至診斷系 f H與賴處理室1G的控制器14。控制器14係用以執行包含了 Φ 同之化學品(即’簡3/〇2、聊2/〇2、nh3/co、n2/h2/co、 zro;、’c02等)中至少-者之處理配方,以自=移 二=後殘留物。此外,控制器14係用以接收來自診斷系统3 終ί訊號’並對該至少一終點訊號進行後處理以精確地 『i使用賴來進行材料處理。電聚處理系統i可^弍亥, ,據圖3中所示之實施例,電漿處理系 :ω ;基板支座2Q,待處理的基板25係爾於心; • ίϊίϊ二基工25可為半導體基板、晶圓或液晶顯示板。 鲁電f生点拉以在與基板25之表面相鄰的處理區15中促進 ^成。藉氣體注射系統(未圖示)通入可離子化之氣體蚊體 °例如可使用一控制機構(未 定^= 對預定材料處理來產生特 統la可用於處理各種尺土寸!^裸如路2t〇移除f料。電祕理系 或更大者。合徑尺寸的基板’如200咖基板、300 mm基板 笑搞靜敍具系,絲將基板25固絲基板支座上。此外’ ς i更可包含具有再循環冷卻劑流的冷卻夺咣,&自旯;^ 如〇接收熱並將熱傳到-熱交換系統(未圖示;^在== ^熱。此外’可藉由__氣 25 20 此類系控制基板潘度時'可使用 t ^ ^ 25. t 室壁中及電漿魏翁室10之腔 曰在圖3所示之實施例中,基板支座2〇可包含_ 亥電極而輕合至處理空間15中之處理電漿。例如,可二 支座20以使過阻抗匹配網路5〇而將卵能量輸送到基^ 壓可作為熱轩_賴_。在聽置 侧_反絲來作貌魏進行齡,其巾 射電極係作為接地表面。RP偏壓之通常頻率範圍可。二 至約電漿處理之奸系統係為此項技藝者所熟知。 減少反射能量,以在賴處理室i〇 A 。RF此里至電毁之輸送效率。阻抗匹配拓撲(例如L型、冗型、τ 型等)及自動控制方法係為此項技藝者所熟知。 一真控泵抽系統3〇可包含例如泵抽速度可達每秒5000升(及更 南)之上触分子真空泵浦(ΤΜΡ),及調節腔室壓力關閥。在乾 電装钱刻用之習知電漿處理裝置中,通常使用每秒1〇〇〇至3〇〇〇 升巧ΜΡ。TMPs係使用在低壓力處理(通常低於約5〇滿句。針 對南壓力處理(即,高於約100mT〇rr),可使用機械助力泵浦 (mechanicalb〇0sterpump)及乾式粗抽泵浦㈣·ghingpump)。此 外丄可使一腔室壓力監控裝置(未圖示)連接至電漿處理室1〇。壓 力莖測裝置可為例如由MKS Instruments公司(Andover, MA)所販 售之628B Baratron型絕對電容壓力器。 數位包含題理11 ‘、記㈣及触輸人細接口。該 夠產生控制電壓,此控制電壓不但足以溝通 细牧π々/ 制态14可連接至即產生器40、阻抗匹酡 至注射系統(未圖示)、真空泵抽系統30,而且可連接 S二=夹/系,(未圖示),並與上述者交換資訊= 系统^之^ 用以根據一製程處方來活化至電毁處理 2 = 件的輸入’以施行有機ARC狀侧方法。控 =14可使用直接連結、内部網路或網際網路或其任何組合 v種來與電聚處理系統la交換資料。控制器14可連接 裝置製造者)之内部網路,或可連接至供應 部網t。此外,另—台電腦(如控制器、‘器: 制Θ 14 U藉由直接賴、㈣網路或網際網路或其任 合中之至少一種來交換資料。 、 / —斷系統12可包含光學診斷次系統(未圖示)。該光學診斷次 糸統可包含一偵測器如(石夕)光二極體或光電倍增管(pMT),以測量 自電漿所射出之光強度。該診斷系統12更可包含光學濾件,如窄 頻雜訊干擾濾件。在另一實施例中,診斷系統12可包含一線性 CCD(電荷耦合裝置器’ charge c〇upled如㈣、aD(電荷注射裝置) 陣列或散光裝置如光栅或棱鏡,或任何其組合,此外,診斷系統 12可包含一單色儀(例如光柵/彳貞測系統)以測量在特定波長下之 光,或一光譜儀(例如具有旋轉光柵)以測量光譜,舉例來說,如美 國專利編號5,888,337中所述之裝置。 、 诊斷系統12可包含一高解析度之光學放射光譜(〇ES)感測 1336107 器,如 Peak Sensor Systems 公司.或 Verity Instruments 公司所生產 者。此類OES感測器具有橫越紫外光(uy)、可見光(VIS)及近紅外 光(NIR^光造的廣闊光谱範圍。其解析度約I #埃,即自240至1〇〇〇 nm該感測裔能夠採集5550種波長。例如,該〇ES感測器可配有 向感度之微型光纖UV-VIS-NIR光譜儀,其接下來將與2048像素 ,之線性CCD陣列整合。 ” ,光譜儀接收經由單一條或一束光纖傳輸之光,其中自光纖輸 出之光被分散到使用固定光柵之線性CCD陣列各處。利用上述配 置,藉由一凸面球面鏡片可使傳輸通過光學真空窗口之光聚焦至 光纖之輸入端。三具光譜儀形成處理室10之感測器,每一光譜使 ® 係經過特別調整以用於特定之光譜範圍(UV、VIS及NIR)。每一 光譜包含一獨立的A/D轉換器。最後,依據感測器之使用,每〇1 至1.0秒吾人可記錄一次全放射光譜。 在圖4所示之實施例中,電漿處理系統lb可類似於圖2或3 之實1例,除了包含參照圖2及圖3所述之該些元件外,並更包 含-靜止或機械式或電子式旋轉的磁場系统6〇,以潛在性地增加 電毁密度及/或改善電毁處理之均勻度。此外,控制器14可連接至 磁場系統60以調變其旋轉速度及磁場強度。該旋轉式磁場的設計 及施行係為此項技藝者所熟知。 • 〇 ^圖5所示之實施例中,電漿處理系統lc可類似於圖2或圖 之貫施例’但更可包含一上電極7〇,财能量可自即產生器72 經過阻抗匹配網路74而搞合至該上電極7〇。施加处能量至上電 極所用&之,常頻率顧可自約Q丨臟2至約2⑻MHz。此外,施 里至下電極所用之通常頻率範圍可自約ai MHz至約100 > z。又’控制器連接至即產生器及阻抗匹配網路%,以控制 極%的^能量。該上電極之設計及施行係為此項技 6所不之貫施例中,電漿處理系統ld可類似於圖2或圖 之貫施例’但更可包含一感應線圈8〇,处能量可藉由处產生 12 1336107 器82經過阻抗匹配網路84而耦合至該感應線圈8〇t>Rp能量自感 應線圈80經過介電窗口而感應式地耦合至電漿處理區15。施加 RF能量至感應線圈80所用之通常頻率範圍可自約〗〇mhz至約 100 MHz。類似地’施加Rp能量至夾頭電極所用之通常頻率範圍 可自約0.1 MHz至約1〇〇 MHz。此外,可使用一槽口法拉第屏板(未 圖示)以減少感應線圈80與電漿間之電容耦合。此外,控制器14 連接"if產生器82與阻抗匹配網路84以控制施加至感應線圈 80之能量。在另一實施例中,感應線圈8〇可為「螺旋」線圈或「扁 平」線圈,同於在變壓器耦合電漿(TCP)反應器中者,自電漿處理
區15之上方與其作溝通。感應式粞合電漿(Icp)源之設計及施行係 為此項技藝者所熟知。 或者,可使用電子迴旋共振式源來形成電毅。在更另一實施 例中,由發射螺旋波來形成電漿。在更另一實施例中,自表面傳 導波來形成電毁。上述的每—種電漿源皆為此項技藝者所熟知。 在下列之纣論中,將闡述一種使用電漿處理裝置自介電芦 除侧後殘留物的方法。該電漿處理裝置可包含 曰 對圖2至圖6所述者,或其組合。 々針
在一/實施例中,自介電層移除蝕刻後殘留物的方法包含 nh3/co系化學品。例如,處理參數空間可包含:約2〇至 mT:rr ^腔室壓力、範圍自約5〇至約2_ sccm之丽3處理 流置、|(L圍自約50至約2000 sccm之CO處理氣體流量、範圍 約0至約2_ W之上(例如,5中所示之元件 固 及範圍自約10至約1000 W之下電極(例如,圖5中 20)RF偏壓。又’上電極偏壓頻率範圍可自約〇1贿2至 MHz,例如約60 MHz。此外,下電極偏壓頻率範 〇 至約 100 MHZ,例如約 2 MHz。 目 J ϋ·1 MHz 在另-實施例中,自介電層移除侧後殘留 ΝΗ3/02系化學品。例如,處理參數空間可包含:約如至纟= mlbrr之腔室壓力、範圍自約5〇至約2〇〇〇吻之鸣=氣體 13 1336107 流量、範圍自約50至約1000 seem之〇2處理氣體流量、範圍自約 〇至約2000 W之上電極(例如,圖5中所示之元件7〇)rf偏壓、及 範圍自約至約1000 W之下電極(例如,圖5中所示之元件2〇)即 偏壓。又,上電極偏壓頻率範圍可自約O.i MHz至約2〇〇MHz, 例如約60 MHz。此外’下電極偏壓頻率範圍可自約〇丨mjjz至約 100 MHz,例如約 2 MHz ° 在更另一實施例中,自介電層移除蝕刻後殘留物的方法包含 NHVCO2系化學品。例如,處理參數空間可包含:約2〇至約1〇〇〇 mTorr之腔至壓力、範圍自約50至約2000 seem之NH3處理氣體 流量、範圍自約50至約1000 sccm之C〇2處理氣體流量、範圍自 約0至約2000 W之上電極(例如,圖5中所示之元件7〇)Rp 及範圍自約10至約1000 W之下電極(例如,圖5中所示之元件 20)RF偏壓。又,上電極偏壓頻率範圍可自約〇丨MHz至約 MHz,例如約60 MHz。此外,下電極偏壓頻率範圍可自約〇丨MHz 至約100 MHz ’例如約2 MHz。 在一例中,闡述一種使用如圖5中所示之電漿處理裝置自介 電層移刻後殘留物的方法。然而,前所討論之方法並不限於 此例所不之範疇。表1顯示:在移除了蝕刻後殘留物後,介電層 (SiCOHlow-k)中蝕刻特徵部的關鍵尺寸(CD)(上、中及下)(奈米广 在使用下個示性處理配方移除侧後殘__移除後y特徵 ,之關鍵尺寸的偏移(CD偏移)。而該例示性配方則如下所示:腔 室壓力=約400mT〇rr;上電極Rp能量=約·;下電極卯能量= 約300W ;處理氣體流量應3圮〇=約12〇〇/6〇〇 sccm ;電極7〇(見 =5)之下表面與基板支座2〇上之基板25的上表面間的間距約為 f、職;下電極溫度(例如在圖5中之基板支座20)=、約20 °C ;上電 (例如圖5中之電極聲約6〇〇c ;腔室壁溫度=約6〇〇c ; 二央/邊緣,背側_壓力=約1〇/35加;及約⑵秒之侧時 i特式特徵部(間距窄之特徵部)及孤立特徵部(間距寬 之特徵部)_鍵尺寸及_尺寸偏移。 14 ^36107 此外,提供自介電層移除蝕刻後殘留物之兩其他處理配方的 =鍵尺=及麵尺寸偏移。首先,提供—f知氧(。2)純學品之配 至愿力=約2〇mT〇IT ;上電極1117能量=约300w ;下電極 1,力0W,處理軋體流量〇2/Ar=約200/500 sccm;電極7見 圖5)之下表面與基板支座2〇上之基板25的上表 極溫度(例如在圖5中之基板支錢)=_= 中央/邊緣之背側減壓力'約1〇/3 皿度2 60 C ’ 電極RP能量,0W ; 严力=約彻mlbrr ;上 nh3'約 1800sccm;電極之:主3_ ;㈣ 基板25的上表面間的間距約H5)之下表=與基板支座2〇上之 中之基板支座20)=約2G°C 電極溫度(例如在圖5 約60。(:;腔室壁溫度,6〇〇c電二亟^(例如圖5中之電極70)= l〇/35Tbrr ;及約120秒之_日_。、邊緣之#側氦氣壓力=約 15 1336107
如表1中所示,當吾人使用氨_3)系化學品與C〇時,CD 偏移大幅地緘少。例如,巢式特徵部之CD偏移係少於或等於5 ^,而孤立特徵部的CD偏移係少於l〇nm。又,關鍵尺寸之偏 移不可大於約關鍵尺寸之10%。雖然僅使用氨系化 ,合理的,但本發明人體娜使用此化學品尨 留物會導致(low-k)介電層下方之蝕刻停止層的過度移除。 圖7顯示根據本發明之一實施例在電漿處理系統中自基 之(l〇w-k)介電層移除蝕刻後殘留物的方法流程圖。程 於步驟41G,在41G中將處理氣體通人至賴處理系統,其中^ 16 1336107 氣體包含一含氮(N)氣體、一含氫(Η)氣體、一含氧(〇)氣體。例如, ' 該處理氣體可包含氨(ΝΗ3)及雙原子氧(〇2)。或者,該處理氣體可 包含又原子氮(Ν2)、雙原子氫(¾)及雙原子氧(〇2)。或者,處理氣 體可包含氨_3)及一氧化碳(CO)。或者,處理氣體可包含雙原子 氮(N2)、雙原子氫(¾)及一氧化碳(CO)。或者,處理氣體可^含氨 (ΝΉ3)及二氧化碳(C〇2)。或者,該處理氣體可包含雙原子氮(n2)、 雙原子氫(¾)及二氧化碳(C〇2)。或者,該處理氣體更可包含惰性 氣體,如責重氣體。 在步驟420中’於電聚處理系統中使用如圖2至圖6中所述 之系統或其組合,自處理氣體形成電漿。 春 在步驟430中,包含了具有蝕刻後殘留物於其上之介電層的 基板係暴露至在步驟420所形成的電漿中,以在不損害介電層的 情況下移除蝕刻後殘留物。在此實施例中,暴露至電漿會使介電 層下方之钱刻停止層的厚度減少約少於1〇〇/Q。 雖然以上僅就本發明之數個實施例進行詳細闡述,但熟知此 項技藝者應庄意:在不實質上脫離本發明之新穎教示及優點的情 況下,可對實施例作許多修改。因此所有此類之修改皆應包含於 本發明之範疇内。 17 【圖式簡單說明】 ^ 、1B及1C顯示圖型化蝕刻一薄膜之典型程序示意圖。 國。θ係根據本發明之一實施例顯示電漿處理系統的簡化示意 ^係根據本發日月之另—實施例之魏處理系統的示意圖。 H 糸根據本發明之另—實施例之電漿處理系統的示意圖。 二糸根據本發明之另—實施例之賴處理系統的示意圖。 二”本f明之另—實施例之電裝處理系統的示意圖。 叫,丨小肌佩昍之—實施例顯 板上之介電層移除蝕刻殘留物的方法 示在一電漿處理系統中自基 0 【元件符號之說明】 1 :電聚處理系統 la ·電漿處理系統 lb :電漿處理系統 lc :電漿處理系統 Id :電漿處理系統 2 :圖型 3 ♦感光層 4 :介電層 5 :基板 6:钱刻遮罩 7·钱刻停止層 8:蝕刻後殘留物 9 :圖型 10 :電漿處理室 12 ’·診斷系統 Η :控制器 10 :電漿處理室 1336107 處理區 基板支座 基板 真空泵抽系統 RF產生器 阻抗匹配網路 磁場糸統 上電極 RF產生器 阻抗匹配網路 感應線圈 RF產生器 阻抗匹配網路 :程序 :通入處理氣體 :形成電漿 :暴露基板

Claims (1)

  1. 年j 修(更)正本
    申請專利範圍 ▼ 雩 *,· 蔣且1重自^板移除侧後朗物的方法,包含下列步驟: 介電層具有以ίϊί=:ί;ί理系統中,其中該 特徵=;由,;理而形成於其上的;=殘=中該 4丑氣 將$美二系統中自該處理氣體形成一電漿;及 路至該電漿以移除該蝕刻後殘留物, /、中該;丨電層之介電常數小於Si02之介電當數,且盆中取士 =ίίίί驟包含將射頻’能量麵合至具有基板設置於其上的 法 範圍第1項之自基板移除侧後殘留物的方 八中通入該處理氣體的步驟更包含通人—惰性氣體。 法 1範圍第2項之自基板移除侧後殘留物的方 ,、中k該奴性氣體的步驟包含通入一貴重氣體。 法 t 圍第1項之自基板移除侧後殘留物的方 :、氮氣體及該含氫氣體的步驟包含通入氨_3)。 法ϋ月i利範圍第1項之自基板移除姓刻後殘留物的方 其中通人料缝體及該含氫氣體的步驟包含通人邮2)及氣 決範圍第1項之自基板移除姓刻後殘留物的方 去,其中通^邊處理氣體的步驟包含通入nh3&co。 丰I 範圍第1項之自基板移除姓刻後殘留物的方 去,其中通人鱗理氣體的步驟包含通人卿及〇2。 法第1項之自基板移除侧後殘留物的方 去’其中該;|電層包令--多孔介電層。 去第1項之自基板移除侧後殘留物的方 去,其中該;I电層具有低於25的一介電常數。 20 !3361〇7 --- 99年3月22日修正替換頁 95128131(無劃線) W· —種自基板移除蝕刻後殘留物的方法,包含下列步驟: '八+將具有一介電層之該基板設置到一電漿處理系統中,其中該 ' ;1電層具有利用一蝕刻處理形成於其中的一特徵部,且該特徵部 :有藉由該蝕刻處理而形成於其上的該蝕刻後殘留物,其中該介 • 電層之介電常數低於Si02之介電常數; S
    ,入包含-含氮氣體、—含氫氣體及—含氧氣體的一處 體,其中該含氧氣體包含co或C02或兩者; 在該電聚處理系統中自該處理氣體形成一電裝;及 將該基板暴露至該賴崎除紐織殘留物,同時使該介 電層之該介電常數無實質改變, 電層之該基板的步驟包含:設置具有該介 暴二二層下方設—侧停止層,且其中將該基板 度的約=漿 該侧停止層的損失少浦_停止層厚 法,矛=圍第10項之自基板移除侧後殘留物的方 去’、中該蝕刻停止層包含氮化矽或碳化矽。 12.-種魏處理系統,肋自—基板上之—介電層中之 :==2=物’ ί中該特徵部包含該雜娜移除 關鍵尺寸產生一偏移,該偏移不 10%,該電槳處⑽、統包含: 心纖尺寸的約 -電漿處理室’用以幫助自一處理氣體形 特徵部移除該钱刻後殘留物;及 战電漿以自該 的’i,該電聚處理室並用以執行使用該處理氣體 ,該處理氣體包含—含氮氣體、n 喊體,其中該含氧氣體包含co或 3 ^風體及一含 t該介電層之-介電常數低於Si〇2之介電”且組合’其 殘留物使該介電層之該介電常數無實質上之改變移除該則後 13.如申請專利範圍第12項之縣處理系統,其中該含氣氣 21 1336107 99年3月22日修正替換頁 95128131(無劃線) 體及該含氫氣體包含ΝΉ3。 ---~- 之該&㈣,糾該介電層 係與==圍4=:上處理系統,其中該介電層 f〇r所導致該贿;層之損於該;=== 备4/田種電腦可讀媒體,包含在電腦系統上執行之采口々社人 ===一 ’使該電腦系統控制-4iV 基板設置到一爾理系統中,… 體,r二氧=氧 24*Τ'^: 其以留物, 22
TW095128131A 2005-08-03 2006-08-01 Damage-free ashing process and system for post low-k etch TWI336107B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/195,854 US7279427B2 (en) 2005-08-03 2005-08-03 Damage-free ashing process and system for post low-k etch

Publications (2)

Publication Number Publication Date
TW200721300A TW200721300A (en) 2007-06-01
TWI336107B true TWI336107B (en) 2011-01-11

Family

ID=37718178

Family Applications (1)

Application Number Title Priority Date Filing Date
TW095128131A TWI336107B (en) 2005-08-03 2006-08-01 Damage-free ashing process and system for post low-k etch

Country Status (6)

Country Link
US (1) US7279427B2 (zh)
JP (1) JP2009503889A (zh)
KR (1) KR20080034001A (zh)
CN (1) CN100595891C (zh)
TW (1) TWI336107B (zh)
WO (1) WO2007018678A2 (zh)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5100057B2 (ja) * 2006-08-18 2012-12-19 東京エレクトロン株式会社 半導体装置の製造方法
US7595005B2 (en) * 2006-12-11 2009-09-29 Tokyo Electron Limited Method and apparatus for ashing a substrate using carbon dioxide
CN101762993B (zh) * 2008-12-25 2012-01-25 中芯国际集成电路制造(上海)有限公司 光刻胶的去除方法及连接孔的制造方法
CN101930179B (zh) * 2009-06-19 2012-08-22 中芯国际集成电路制造(上海)有限公司 钝化光刻胶表面的方法以及光刻方法
US7637269B1 (en) * 2009-07-29 2009-12-29 Tokyo Electron Limited Low damage method for ashing a substrate using CO2/CO-based process
CN102142393B (zh) * 2010-01-28 2013-07-03 中芯国际集成电路制造(上海)有限公司 互连结构的形成方法
US8916793B2 (en) 2010-06-08 2014-12-23 Applied Materials, Inc. Temperature control in plasma processing apparatus using pulsed heat transfer fluid flow
US9338871B2 (en) 2010-01-29 2016-05-10 Applied Materials, Inc. Feedforward temperature control for plasma processing apparatus
US9017933B2 (en) * 2010-03-29 2015-04-28 Tokyo Electron Limited Method for integrating low-k dielectrics
US8741775B2 (en) * 2011-07-20 2014-06-03 Applied Materials, Inc. Method of patterning a low-K dielectric film
US10274270B2 (en) 2011-10-27 2019-04-30 Applied Materials, Inc. Dual zone common catch heat exchanger/chiller
CN103187360B (zh) * 2011-12-30 2016-01-06 中芯国际集成电路制造(上海)有限公司 形成互连结构的方法
US8592327B2 (en) * 2012-03-07 2013-11-26 Tokyo Electron Limited Formation of SiOCl-containing layer on exposed low-k surfaces to reduce low-k damage
CN103545163B (zh) * 2012-07-10 2016-11-16 中芯国际集成电路制造(上海)有限公司 具有氟残留或氯残留的半导体结构的处理方法
US8802572B2 (en) * 2012-07-10 2014-08-12 Applied Materials, Inc. Method of patterning a low-k dielectric film
US9165783B2 (en) 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
CN103871961B (zh) 2012-12-17 2017-08-25 中芯国际集成电路制造(上海)有限公司 互连结构及其制造方法
CN103871959B (zh) 2012-12-17 2017-11-03 中芯国际集成电路制造(上海)有限公司 互连结构及其制造方法
CN103871962B (zh) 2012-12-18 2017-12-29 中芯国际集成电路制造(上海)有限公司 互连结构及其制造方法
FR3000602B1 (fr) * 2012-12-28 2016-06-24 Commissariat A L Energie Atomique Et Aux Energies Alternatives Procede de gravure d'un materiau dielectrique poreux
US9190317B2 (en) 2013-01-10 2015-11-17 Semiconductor Manufacturing International (Shanghai) Corporation Interconnection structures and fabrication method thereof
US8987139B2 (en) * 2013-01-29 2015-03-24 Applied Materials, Inc. Method of patterning a low-k dielectric film
US9385000B2 (en) 2014-01-24 2016-07-05 United Microelectronics Corp. Method of performing etching process
CN103943555B (zh) * 2014-04-28 2016-11-02 上海华力微电子有限公司 一种有源区制备方法
US10312075B2 (en) 2015-09-30 2019-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Treatment system and method
US10199223B2 (en) * 2016-01-26 2019-02-05 Asm Ip Holding B.V. Semiconductor device fabrication using etch stop layer
CN109742019B (zh) * 2019-01-21 2019-10-01 广东工业大学 一种利用紫外激光加工干法刻蚀中硬掩膜板的方法
JP7296093B2 (ja) * 2019-02-04 2023-06-22 国立大学法人東海国立大学機構 窒化炭素膜の製造方法および窒化炭素被覆体の製造方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3193265B2 (ja) * 1995-05-20 2001-07-30 東京エレクトロン株式会社 プラズマエッチング装置
JP2001077086A (ja) * 1999-08-31 2001-03-23 Oki Electric Ind Co Ltd 半導体装置のドライエッチング方法
US6967173B2 (en) * 2000-11-15 2005-11-22 Texas Instruments Incorporated Hydrogen plasma photoresist strip and polymeric residue cleanup processs for low dielectric constant materials
US6849559B2 (en) * 2002-04-16 2005-02-01 Tokyo Electron Limited Method for removing photoresist and etch residues
CN1678961B (zh) * 2002-08-22 2010-05-05 大金工业株式会社 剥离液
JP2004158691A (ja) * 2002-11-07 2004-06-03 Yac Co Ltd レジスト除去方法
WO2004061919A1 (en) * 2002-12-23 2004-07-22 Tokyo Electron Limited Method and apparatus for bilayer photoresist dry development
US7344991B2 (en) * 2002-12-23 2008-03-18 Tokyo Electron Limited Method and apparatus for multilayer photoresist dry development
US7309448B2 (en) * 2003-08-08 2007-12-18 Applied Materials, Inc. Selective etch process of a sacrificial light absorbing material (SLAM) over a dielectric material
US7176141B2 (en) * 2004-09-07 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma treatment to improve barrier layer performance over porous low-K insulating dielectrics

Also Published As

Publication number Publication date
JP2009503889A (ja) 2009-01-29
US20070032087A1 (en) 2007-02-08
CN101238551A (zh) 2008-08-06
CN100595891C (zh) 2010-03-24
KR20080034001A (ko) 2008-04-17
WO2007018678A2 (en) 2007-02-15
US7279427B2 (en) 2007-10-09
WO2007018678A3 (en) 2007-07-12
TW200721300A (en) 2007-06-01

Similar Documents

Publication Publication Date Title
TWI336107B (en) Damage-free ashing process and system for post low-k etch
US7595005B2 (en) Method and apparatus for ashing a substrate using carbon dioxide
TWI310223B (en) Low-pressure removal of photoresist and etch residue
JP5468113B2 (ja) シリコンに対する誘電材料の選択エッチング方法及びシステム
KR101220073B1 (ko) 기판 상의 실리콘층을 에칭하는 방법, 기판 상의 실리콘층을 에칭하기 위한 플라즈마 처리 시스템 및 컴퓨터 판독가능한 매체
TWI278922B (en) Method and system for etching a film stack
TWI295815B (en) Method and system for treating a hard mask to improve etch characteristics
TW201903899A (zh) 圖案化低介電常數介電膜之方法
TW200947550A (en) Line width roughness improvement with noble gas plasma
US7465673B2 (en) Method and apparatus for bilayer photoresist dry development
TWI286840B (en) Method and system for etching a gate stack
TW200425247A (en) Method and apparatus for multilayer photoresist dry development
JP2007521665A (ja) 基材からフォトレジストを除去する方法及び装置
TW200402762A (en) Method for controlling the extent of notch or undercut in an etched profile using optical reflectometry
US20040102009A1 (en) Method for removing contaminants on a substrate
TWI390627B (zh) 利用側壁鈍化及遮罩鈍化的多層遮罩乾式顯影方法與系統
Stillahn The role of plasma-surface interactions in process chemistry: Mechanistic studies of a-carbon nitride deposition and sulfur fluoride/oxygen etching of silicon
Stillahn et al. The role of plasma-surface interactions in process chemistry: mechanistic studies of a-CNx deposition and SF6/O2 etching of silicon
WO2005067024A1 (en) Method and apparatus for etching an organic layer
TW200426937A (en) Method and system for etching a high-k dielectric material

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees