CN105719949A - 选择性氮化物蚀刻 - Google Patents
选择性氮化物蚀刻 Download PDFInfo
- Publication number
- CN105719949A CN105719949A CN201510957911.8A CN201510957911A CN105719949A CN 105719949 A CN105719949 A CN 105719949A CN 201510957911 A CN201510957911 A CN 201510957911A CN 105719949 A CN105719949 A CN 105719949A
- Authority
- CN
- China
- Prior art keywords
- gas
- plasma
- silicon
- substrate
- defluorinate
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 150000004767 nitrides Chemical class 0.000 title description 9
- MWUXSHHQAYIFBG-UHFFFAOYSA-N Nitric oxide Chemical compound O=[N] MWUXSHHQAYIFBG-UHFFFAOYSA-N 0.000 claims abstract description 192
- 238000000034 method Methods 0.000 claims abstract description 133
- 239000007789 gas Substances 0.000 claims abstract description 125
- 239000000758 substrate Substances 0.000 claims abstract description 112
- GQPLMRYTRLFLPF-UHFFFAOYSA-N Nitrous Oxide Chemical compound [O-][N+]#N GQPLMRYTRLFLPF-UHFFFAOYSA-N 0.000 claims abstract description 97
- 229910052581 Si3N4 Inorganic materials 0.000 claims abstract description 84
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims abstract description 84
- 238000005530 etching Methods 0.000 claims abstract description 54
- 239000001272 nitrous oxide Substances 0.000 claims abstract description 47
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims abstract description 44
- 229910052760 oxygen Inorganic materials 0.000 claims abstract description 44
- 239000001301 oxygen Substances 0.000 claims abstract description 44
- 238000007514 turning Methods 0.000 claims abstract description 3
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 70
- 230000008569 process Effects 0.000 claims description 55
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 48
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 48
- 229910052710 silicon Inorganic materials 0.000 claims description 42
- 239000010703 silicon Substances 0.000 claims description 38
- 239000004065 semiconductor Substances 0.000 claims description 21
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 20
- 239000000463 material Substances 0.000 claims description 19
- 238000010926 purge Methods 0.000 claims description 18
- 238000004519 manufacturing process Methods 0.000 claims description 16
- 239000000203 mixture Substances 0.000 claims description 13
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 12
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 claims description 12
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 claims description 11
- 239000000377 silicon dioxide Substances 0.000 claims description 10
- UGFAIRIUMAVXCW-UHFFFAOYSA-N Carbon monoxide Chemical compound [O+]#[C-] UGFAIRIUMAVXCW-UHFFFAOYSA-N 0.000 claims description 8
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 claims description 8
- 229910002092 carbon dioxide Inorganic materials 0.000 claims description 8
- 239000001569 carbon dioxide Substances 0.000 claims description 8
- 229910002091 carbon monoxide Inorganic materials 0.000 claims description 8
- 238000005520 cutting process Methods 0.000 claims description 8
- 235000012239 silicon dioxide Nutrition 0.000 claims description 8
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 claims description 8
- 239000002210 silicon-based material Substances 0.000 claims description 8
- 229910052757 nitrogen Inorganic materials 0.000 claims description 7
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 claims description 7
- 238000012545 processing Methods 0.000 claims description 7
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 6
- 229910052786 argon Inorganic materials 0.000 claims description 6
- 229910052799 carbon Inorganic materials 0.000 claims description 6
- 239000001307 helium Substances 0.000 claims description 6
- 229910052734 helium Inorganic materials 0.000 claims description 6
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 6
- 241001012508 Carpiodes cyprinus Species 0.000 claims description 5
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 claims description 5
- 229910052743 krypton Inorganic materials 0.000 claims description 5
- DNNSSWSSYDEUBZ-UHFFFAOYSA-N krypton atom Chemical compound [Kr] DNNSSWSSYDEUBZ-UHFFFAOYSA-N 0.000 claims description 5
- 229910052754 neon Inorganic materials 0.000 claims description 5
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 claims description 5
- 229910052724 xenon Inorganic materials 0.000 claims description 5
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 claims description 5
- 230000004308 accommodation Effects 0.000 claims description 4
- 229910021529 ammonia Inorganic materials 0.000 claims description 4
- 229910000069 nitrogen hydride Inorganic materials 0.000 claims description 4
- ABTOQLMXBSRXSM-UHFFFAOYSA-N silicon tetrafluoride Chemical compound F[Si](F)(F)F ABTOQLMXBSRXSM-UHFFFAOYSA-N 0.000 claims description 4
- CZDYPVPMEAXLPK-UHFFFAOYSA-N tetramethylsilane Chemical compound C[Si](C)(C)C CZDYPVPMEAXLPK-UHFFFAOYSA-N 0.000 claims description 4
- NTQGILPNLZZOJH-UHFFFAOYSA-N disilicon Chemical compound [Si]#[Si] NTQGILPNLZZOJH-UHFFFAOYSA-N 0.000 claims description 3
- 229910000077 silane Inorganic materials 0.000 claims description 3
- 238000012423 maintenance Methods 0.000 claims description 2
- 239000010410 layer Substances 0.000 description 63
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 18
- 238000006243 chemical reaction Methods 0.000 description 14
- -1 polysilicon Chemical compound 0.000 description 11
- 238000012360 testing method Methods 0.000 description 9
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 8
- 230000001276 controlling effect Effects 0.000 description 8
- 238000002474 experimental method Methods 0.000 description 8
- 239000011737 fluorine Substances 0.000 description 8
- 229910052731 fluorine Inorganic materials 0.000 description 8
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 7
- 229920005591 polysilicon Polymers 0.000 description 7
- 239000000126 substance Substances 0.000 description 6
- 230000008878 coupling Effects 0.000 description 5
- 238000010168 coupling process Methods 0.000 description 5
- 238000005859 coupling reaction Methods 0.000 description 5
- 238000009616 inductively coupled plasma Methods 0.000 description 5
- 229910052751 metal Inorganic materials 0.000 description 5
- 239000002184 metal Substances 0.000 description 5
- 229910052756 noble gas Inorganic materials 0.000 description 5
- 238000001020 plasma etching Methods 0.000 description 5
- 238000000151 deposition Methods 0.000 description 4
- 230000008021 deposition Effects 0.000 description 4
- 238000003795 desorption Methods 0.000 description 4
- RWRIWBAIICGTTQ-UHFFFAOYSA-N difluoromethane Chemical compound FCF RWRIWBAIICGTTQ-UHFFFAOYSA-N 0.000 description 4
- 238000005516 engineering process Methods 0.000 description 4
- 230000005281 excited state Effects 0.000 description 4
- 230000003647 oxidation Effects 0.000 description 4
- 238000007254 oxidation reaction Methods 0.000 description 4
- 239000005368 silicate glass Substances 0.000 description 4
- 230000008859 change Effects 0.000 description 3
- 238000005229 chemical vapour deposition Methods 0.000 description 3
- 238000010586 diagram Methods 0.000 description 3
- 239000003792 electrolyte Substances 0.000 description 3
- 230000005283 ground state Effects 0.000 description 3
- 238000010438 heat treatment Methods 0.000 description 3
- 238000010606 normalization Methods 0.000 description 3
- 229920002120 photoresistant polymer Polymers 0.000 description 3
- 238000005240 physical vapour deposition Methods 0.000 description 3
- 150000003254 radicals Chemical class 0.000 description 3
- 238000003860 storage Methods 0.000 description 3
- RWNKSTSCBHKHTB-UHFFFAOYSA-N Hexachloro-1,3-butadiene Chemical compound ClC(Cl)=C(Cl)C(Cl)=C(Cl)Cl RWNKSTSCBHKHTB-UHFFFAOYSA-N 0.000 description 2
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 2
- 229910018557 Si O Inorganic materials 0.000 description 2
- PRPAGESBURMWTI-UHFFFAOYSA-N [C].[F] Chemical class [C].[F] PRPAGESBURMWTI-UHFFFAOYSA-N 0.000 description 2
- 238000000429 assembly Methods 0.000 description 2
- 230000000712 assembly Effects 0.000 description 2
- 125000004429 atom Chemical group 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 239000006227 byproduct Substances 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 239000011248 coating agent Substances 0.000 description 2
- 238000000576 coating method Methods 0.000 description 2
- 238000004891 communication Methods 0.000 description 2
- 239000004020 conductor Substances 0.000 description 2
- 229910021419 crystalline silicon Inorganic materials 0.000 description 2
- 230000007423 decrease Effects 0.000 description 2
- 238000006115 defluorination reaction Methods 0.000 description 2
- 229910001882 dioxygen Inorganic materials 0.000 description 2
- 238000009826 distribution Methods 0.000 description 2
- WMIYKQLTONQJES-UHFFFAOYSA-N hexafluoroethane Chemical compound FC(F)(F)C(F)(F)F WMIYKQLTONQJES-UHFFFAOYSA-N 0.000 description 2
- 239000001257 hydrogen Substances 0.000 description 2
- 229910052739 hydrogen Inorganic materials 0.000 description 2
- 230000000670 limiting effect Effects 0.000 description 2
- 238000001459 lithography Methods 0.000 description 2
- 238000003754 machining Methods 0.000 description 2
- 230000007246 mechanism Effects 0.000 description 2
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 description 2
- QYSGYZVSCZSLHT-UHFFFAOYSA-N octafluoropropane Chemical compound FC(F)(F)C(F)(F)C(F)(F)F QYSGYZVSCZSLHT-UHFFFAOYSA-N 0.000 description 2
- 238000002161 passivation Methods 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- 239000005360 phosphosilicate glass Substances 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- 230000001105 regulatory effect Effects 0.000 description 2
- 230000003252 repetitive effect Effects 0.000 description 2
- 229910021332 silicide Inorganic materials 0.000 description 2
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 2
- 238000012546 transfer Methods 0.000 description 2
- YBMDPYAEZDJWNY-UHFFFAOYSA-N 1,2,3,3,4,4,5,5-octafluorocyclopentene Chemical compound FC1=C(F)C(F)(F)C(F)(F)C1(F)F YBMDPYAEZDJWNY-UHFFFAOYSA-N 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- MYMOFIZGZYHOMD-UHFFFAOYSA-N Dioxygen Chemical compound O=O MYMOFIZGZYHOMD-UHFFFAOYSA-N 0.000 description 1
- 101100373011 Drosophila melanogaster wapl gene Proteins 0.000 description 1
- KRHYYFGTRYWZRS-UHFFFAOYSA-M Fluoride anion Chemical compound [F-] KRHYYFGTRYWZRS-UHFFFAOYSA-M 0.000 description 1
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 1
- 229910007991 Si-N Inorganic materials 0.000 description 1
- 229910004014 SiF4 Inorganic materials 0.000 description 1
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 1
- 229910006294 Si—N Inorganic materials 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- 238000010521 absorption reaction Methods 0.000 description 1
- 239000013543 active substance Substances 0.000 description 1
- 238000013019 agitation Methods 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 229910003481 amorphous carbon Inorganic materials 0.000 description 1
- 229910021417 amorphous silicon Inorganic materials 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- YXTPWUNVHCYOSP-UHFFFAOYSA-N bis($l^{2}-silanylidene)molybdenum Chemical compound [Si]=[Mo]=[Si] YXTPWUNVHCYOSP-UHFFFAOYSA-N 0.000 description 1
- YZYDPPZYDIRSJT-UHFFFAOYSA-K boron phosphate Chemical compound [B+3].[O-]P([O-])([O-])=O YZYDPPZYDIRSJT-UHFFFAOYSA-K 0.000 description 1
- 229910000149 boron phosphate Inorganic materials 0.000 description 1
- 238000004364 calculation method Methods 0.000 description 1
- 210000004027 cell Anatomy 0.000 description 1
- 238000003486 chemical etching Methods 0.000 description 1
- 239000003795 chemical substances by application Substances 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 230000019771 cognition Effects 0.000 description 1
- 230000002860 competitive effect Effects 0.000 description 1
- 230000001143 conditioned effect Effects 0.000 description 1
- 230000008602 contraction Effects 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- 230000001351 cycling effect Effects 0.000 description 1
- 230000009849 deactivation Effects 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 229910003460 diamond Inorganic materials 0.000 description 1
- 239000010432 diamond Substances 0.000 description 1
- KPUWHANPEXNPJT-UHFFFAOYSA-N disiloxane Chemical class [SiH3]O[SiH3] KPUWHANPEXNPJT-UHFFFAOYSA-N 0.000 description 1
- 238000010494 dissociation reaction Methods 0.000 description 1
- 230000005593 dissociations Effects 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 125000001153 fluoro group Chemical group F* 0.000 description 1
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 1
- 238000009472 formulation Methods 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- HCDGVLDPFQMKDK-UHFFFAOYSA-N hexafluoropropylene Chemical compound FC(F)=C(F)C(F)(F)F HCDGVLDPFQMKDK-UHFFFAOYSA-N 0.000 description 1
- 230000000415 inactivating effect Effects 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 238000010849 ion bombardment Methods 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 238000005304 joining Methods 0.000 description 1
- 238000005007 materials handling Methods 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 239000011733 molybdenum Substances 0.000 description 1
- 229910021344 molybdenum silicide Inorganic materials 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- 230000007935 neutral effect Effects 0.000 description 1
- 125000004433 nitrogen atom Chemical group N* 0.000 description 1
- BCCOBQSFUDVTJQ-UHFFFAOYSA-N octafluorocyclobutane Chemical compound FC1(F)C(F)(F)C(F)(F)C1(F)F BCCOBQSFUDVTJQ-UHFFFAOYSA-N 0.000 description 1
- 235000019407 octafluorocyclobutane Nutrition 0.000 description 1
- 125000004430 oxygen atom Chemical group O* 0.000 description 1
- 230000036961 partial effect Effects 0.000 description 1
- 210000004483 pasc Anatomy 0.000 description 1
- 229960004065 perflutren Drugs 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 238000002360 preparation method Methods 0.000 description 1
- 239000011241 protective layer Substances 0.000 description 1
- 238000005086 pumping Methods 0.000 description 1
- 239000000376 reactant Substances 0.000 description 1
- 230000008439 repair process Effects 0.000 description 1
- 229920006395 saturated elastomer Polymers 0.000 description 1
- 238000004088 simulation Methods 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 238000007592 spray painting technique Methods 0.000 description 1
- 238000006557 surface reaction Methods 0.000 description 1
- 239000010936 titanium Substances 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 229910021341 titanium silicide Inorganic materials 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- WQJQOUPTWCFRMM-UHFFFAOYSA-N tungsten disilicide Chemical compound [Si]#[W]#[Si] WQJQOUPTWCFRMM-UHFFFAOYSA-N 0.000 description 1
- 229910021342 tungsten silicide Inorganic materials 0.000 description 1
- 238000004804 winding Methods 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/0217—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
-
- C—CHEMISTRY; METALLURGY
- C09—DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
- C09K—MATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
- C09K13/00—Etching, surface-brightening or pickling compositions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
- H01J37/32449—Gas control, e.g. control of the gas flow
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02337—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
- H01L21/0234—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67069—Apparatus for fluid treatment for etching for drying etching
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Materials Engineering (AREA)
- Organic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Drying Of Semiconductors (AREA)
- Analytical Chemistry (AREA)
Abstract
本发明涉及选择性氮化物蚀刻。提供了高选择性蚀刻氮化硅的方法。使氮化硅层暴露于氟化气体和一氧化氮(NO),一氧化氮(NO)可以在等离子体中通过使一氧化二氮(N2O)与氧气(O2)反应形成。方法还包括在关闭所述等离子体之前使所述衬底脱氟以增大氮化硅的蚀刻选择率。
Description
技术领域
本发明总体上涉及半导体领域,更具体地涉及选择性氮化物蚀刻。
背景技术
半导体制造通常涉及图案化方案和其它工艺,由此氮化硅被选择性地蚀刻,从而防止蚀刻衬底的其它暴露表面。随着器件的几何尺寸变得越来越小,使用高的蚀刻选择性工艺以在诸如氮化硅等电介质层中实现开口的有效等离子体蚀刻。
发明内容
本发明提供了蚀刻具有高选择性的氮化硅的方法。一个方面涉及一种通过下述步骤蚀刻衬底上的氮化硅的方法:(a)将一氧化二氮(N2O)、氧气(O2)和氟化气体引入并点燃等离子体以形成蚀刻物质;以及(b)使所述氮化硅暴露于所述蚀刻物质以在所述衬底上相对于其它含硅材料选择性地蚀刻所述氮化硅。在一些实施方式中,该方法还包括重复(a)和(b)。在多种实施方式中,氧气的流率与一氧化二氮的流率之比介于约0.75:1和约1:1.5之间。
在一些实施方式中,所述氟化气体包括具有式CHxFy的一种或多种气体;其中x和y是介于0和4之间并包括0和4的整数,并且x+y=4。所引入的所述氟化气体的量可以为总气体流量的约10%或10%以下。
在多种实施方式中,处理温度介于约0℃和约80℃之间。在一些实施方式中,氮化硅与电介质的蚀刻选择率至少为约100:1。在多种实施方式中,所述其它含硅材料选自由二氧化硅、一氧化硅、氮氧化硅、碳掺杂的氧化硅和硅组成的组。
在多种实施方式中,所述方法还包括(c)将一氧化氮(NO)引入到等离子体。
在多种实施方式中,所述方法还包括(c)使含硅化合物流动。所述含硅化合物可以选自由硅烷、四氯硅烷、四氟硅烷、原硅酸四乙酯、乙硅烷和四甲基硅烷组成的组。在一些实施方式中,所述含硅化合物的分压小于容纳所述衬底的室的压力的约10%。
在一些实施方式中,所述方法还包括(c)使所述衬底脱氟。
在多种实施方式中,使所述衬底脱氟进一步包括用吹扫气体吹扫容纳所述衬底的室。所述吹扫气体可以选自由氩、氮、氦、氖、氪和氙组成的组。
使所述衬底脱氟可以包括使清除气体流动。所述清除气体可以选自由一氧化二氮(N2O)、一氧化氮(NO)、氮气(N2)、二氧化碳(CO2)、一氧化碳(CO)、氧气(O2)、氨气(NH3)以及它们的组合组成的组。
在一些实施方式中,使所述衬底脱氟包括开通RF偏置并让RF偏置保持开通、或给RF偏置施加脉冲。在一些实施方式中,使所述衬底脱氟包括在不存在氟化气体的情况下产生一氧化二氮(N2O)气体和氧气(O2)的等离子体。
在一些实施方式中,所述方法还包括使所述衬底脱氟后,引入含氧气体。
另一个方面涉及一种通过下述步骤蚀刻衬底的方法:使所述衬底暴露于一氧化氮和氟化气体并且点燃等离子体以蚀刻所述衬底上的氮化硅;以及使所述衬底脱氟。
在多种实施方式中,所引入的氟化气体的量为总气体流量的约10%或10%以下。在多种实施方式中,使所述衬底脱氟进一步包括用吹扫气体吹扫容纳所述衬底的室。所述吹扫气体可以选自由氩、氮、氦、氖、氪和氙组成的组。在多种实施方式中,使所述衬底脱氟包括使清除气体流动。所述清除气体可以选自由一氧化二氮(N2O)、一氧化氮(NO)、氮气(N2)、二氧化碳(CO2)、一氧化碳(CO)、氧气(O2)、氨气(NH3)以及它们的组合组成的组。
在多种实施方式中,使所述衬底脱氟包括在不存在氟化气体的情况下产生一氧化氮的等离子体。在多种实施方式中,使所述衬底脱氟包括开通RF偏置。在一些实施方式中,所述方法包括在使所述衬底脱氟后,引入含氧气体。在多种实施方式中,通过使一氧化二氮(N2O)和氧气(O2)反应并点燃等离子体产生一氧化氮。在一些实施方式中,通过使氮气(N2)和氧气(O2)反应并点燃等离子体产生一氧化氮。
在一些实施方式中,所述氟化气体包括具有式CHxFy的一种或多种气体;且其中x和y是介于0和4之间并包括0和4的整数,并且x+y=4。
另一个方面涉及一种用于处理半导体衬底的装置,该装置包括:(a)一个或多个处理室,每个处理室包括喷头和基座;(b)一个或多个气体入口,其被配置成耦合到所述一个或多个处理室和相关的流量控制硬件;(c)等离子体发生器;和(d)具有存储器和至少一个处理器的控制器,所述存储器包括用于下述操作的计算机可执行指令:(i)将一氧化二氮、氧气、和一种或多种氟化气体引入到所述一个或多个处理室中的至少一个,以及(ii)点燃等离子体。
在多种实施方式中,所述等离子体发生器是远程等离子体发生器。在一些实施方式中,所述基座还包括静电卡盘。
在多种实施方式中,所述用于将所述一氧化二氮、氧气和一种或多种氟化气体引入的计算机可执行指令包括用于使介于约0.75:1和约1:1.5之间的比率的氧气与一氧化二氮流动的指令。
在一些实施方式中,所述存储器还包括用于使含硅化合物流动的计算机可执行指令。在一些实施方式中,所述存储器还包括用于将一氧化氮(NO)引入到等离子体的计算机可执行指令。在一些实施方式中,所述存储器还包括用于关断所述一种或多种氟化气体的气流的计算机可执行指令。
在多种实施方式中,所述存储器还包括用于在关闭所述等离子体之前用吹扫气体吹扫所述室的计算机可执行指令。在一些实施方式中,所述存储器还包括用于在关闭所述等离子体之前使清除气体流动的计算机可执行指令。
在一些实施方式中,所述存储器还包括用于在关闭所述等离子体之前开通RF偏置的计算机可执行指令。在多种实施方式中,所述存储器还包括用于在关闭所述等离子体之前引入含氧气体的计算机可执行指令。
下文参照附图进一步描述这些以及其它的方面。
附图说明
图1是根据所公开的实施方式描述一种方法的操作的处理流程图。
图2A-2D是根据所公开的实施方式的可能的机制的实施例的示意描绘。
图3A和3B是根据所公开的实施方式的蚀刻方案的示意性图。
图4和5是根据所公开的实施方式的执行方法的处理室的实施例的示意图。
图6-10是描绘氮化硅蚀刻的实验结果的图表。
具体实施方式
在下面的描述中,阐述了许多具体的细节以提供对本发明的实施方式的彻底理解。可以在没有一些或所有这些具体细节的情形下实施所公开的实施方式。在其它的情形下,未详细描述众所周知的工艺操作以免不必要地模糊所公开的实施方式。虽然将结合具体的实施方式描述所公开的实施方式,但是应当理解的是不旨在限制所公开的实施方式。
一些半导体制造工艺涉及采用等离子体相对于下面和/或上面的电介质层选择性地蚀刻薄的氮化硅层。例如,可以在制造镶嵌结构或触点的过程中蚀刻氮化硅层。在制造这样的结构、特征(例如触点、通孔、导线等)的过程中,通过蚀刻电介质材料(例如氧化物层)形成。各种传统的氮化硅蚀刻工艺涉及离子轰击,但是可能有降低的选择性。氮化硅在一些制造过程中可被用作蚀刻停止层。
本文提供了利用有效的化学蚀刻各向同性地选择性蚀刻氮化硅的方法。方法涉及使用可以由氧(O2)和一氧化二氮(N2O)反应生成的一氧化氮(NO)蚀刻和氟化气体化学物并利用等离子体蚀刻以在对氧化硅和硅(包括多晶硅、非晶硅和晶体硅)具有高选择率的情况下蚀刻氮化硅。本文所述的方法实现大于约50:1或大于约100:1的蚀刻选择率。在氮化硅层用作蚀刻停止层之后本文所述的方法可用于例如蚀刻氮化硅层。
所公开的实施方式用于制造包含多种电介质、半导体或金属层的多层结构。电介质层包括:氮化硅、氮氧化硅、二氧化硅,掺杂氧化硅(例如氟氧化硅玻璃(FSG))、硅酸盐玻璃(例如磷酸硼硅酸盐玻璃(BPSG)和磷硅酸盐玻璃(PSG))、原子层沉积的硅氧化物、原子层沉积的氮化硅层、有机硅氧烷聚合物、碳掺杂硅酸盐玻璃、硅倍半氧烷玻璃(silsequioxaneglass)、氟化碳掺杂硅酸盐玻璃、类金刚石无定形碳、碳掺杂的石英玻璃或其它合适的电介质材料。半导体层包括:硅、硅锗和锗。这样的多层可覆盖中间层,例如屏障层和导体或半导体层,例如多晶硅,金属例如铝、铜、钛、钨、钼或其合金;氮化物,例如氮化钛;和金属硅化物,例如硅化钛、硅化钴、硅化钨、钼硅化物等等。
图1是根据所公开的实施方式的描述方法的操作的工艺流程图。图1中所述的方法包括通过将衬底暴露于包含激发态一氧化氮(本文所用的NO*)和氟化气体的等离子体中在所述衬底上选择性蚀刻氮化硅。
许多物质可存在于等离子体中,例如离子、电子、自由基、中性物质、亚稳定物质以及其它物质。NO*比是自由基的基态NO的化学活性更强。在一些实施方式中,NO*是本文所述的蚀刻过程中所涉及的一氧化氮的活性物质。本领域普通技术人员应理解的是,本文所述的等离子体包括如上所述的各种物质,并且这些物质的一些参与蚀刻工艺。
图1的操作104和106将在下文与图2A-2D一起描述。图2A-2D描述了根据所公开的实施方式用于蚀刻氮化硅的示例化学机理的示意图。图2A还描绘了在操作104过程中可能出现的各种反应201、203-A和203-B。
用于相对于图1所述的方法的工艺条件取决于衬底尺寸、衬底组成、激发态一氧化氮(NO*)和氟化气体的量、室体积和所用的等离子体处理工具的类型。在某些实施方式中,图1的方法可在约0℃至约80℃之间、或在约0℃至约20℃之间或在约5℃至约20℃之间的温度下进行。在某些实施方式中,所述温度为约10℃。所述温度可以是衬底温度,其可以与基座温度耦合。所述基座温度可用作用于所公开的实施方式的衬底温度的替代。在一些实施方式中,所述温度可以更高或更低,具体取决于室体积、NO*和氟化气体的量和等离子体处理条件。
在多个实施方式中,在图1的操作过程中惰性气体可任选地流动。示例的惰性气体包括氩气、氦气、氖气、氪气、氮气和氙气。所述室的压力可取决于等离子体室的类型。所述室压力可维持在适于维持在室内的等离子体的水平。一般情况下,不受特定理论的限制,太低的室压可能导致NO*的形成不足,这可能导致刻蚀速率降低和选择率降低,而过高的压力可能导致形成过量的NO*,从而通过衬底表面的饱和的化学吸附的NO或通过在NO2或NOF作为副产物形成时消耗NO而抑制蚀刻速度。
在多种实施方式中,含硅气体可在图1的方法过程中的背景下流动。示例性含硅气体包括硅烷(SiH4)、四氟硅烷(SiF4)、四氯硅烷(SiCl4)、原硅酸四乙酯(TEOS)、乙硅烷(Si2H6)和四甲基硅烷((CH3)4Si)。在一些实施方式中,含硅气体的量小于总室压强的约10%或小于约5%。
图1的方法可以在衬底例如半导体衬底上进行。所述衬底可以是硅晶片,例如,200mm的晶片、300mm的晶片、450mm的晶片,包括具有上面沉积有一或多层材料(例如电介质、导体或半导体材料)的晶片。例如,所述衬底可以是上面沉积有至少一个氮化硅层和至少一个氧化硅层的硅晶片。图2A描绘了上面沉积有氧化硅205和氮化硅207的衬底200的示例。在一些实施方式中,所述衬底200可以包括已图案化的氧化硅205或氮化硅207或硅层(未示出)。应当注意的是,虽然所述氧化物205层和氮化物207层被描绘为彼此水平地相邻,但所述氮化物和氧化物可以不必在水平方向彼此相邻。在一些实施方式中,氮化物层和氧化物层可以是在任何方向相邻,并且另外可以不是物理上彼此相邻,而是可以具有将它们分开的一些其它一种更或更多种材料。所述衬底200的表面可以是特征的侧壁。应当注意的是,氧化硅205可含有各种Si-O键212并且也可含有硅悬键210。同样地,氮化硅层207可以包括一些悬挂键210。下面还参照脱氟工艺描述悬挂键。虚线代表边界,从而水平线描述待蚀刻的氮化硅的量,而垂直线描述氧化硅205层和氮化硅层207之间的界面。在一些实施方式中,可以不存在一个或更多个边界。
所述衬底200还可以包括各种特征。特征可由狭窄的和/或内凹的开口,所述特征内的收缩和高深宽比中的一个或多个来表征。在一些实施方式中,所述特征可以具有至少约2:1、至少约10:1、至少约20:1、至少约50:1或更大的深宽比。所述特征孔或特征狭缝也可具有小于约40nm的近似于开口的尺寸,例如,开口直径或线宽度、或开口宽度、或关键尺寸,还已知特征宽度小于1×nm。在一些实施方式中,所述特征可以是窄沟槽。在一些实施方式中,所述沟槽可以具有介于约20nm至约130nm之间的宽度。所述特征可以被称为未填充的特征或简单地称为特征。
在操作104中,所述衬底被暴露于NO和氟化气体中,并且等离子体被点燃。在多个实施方式中,等离子体可以是电感耦合等离子体、电容耦合等离子体或微波激发的等离子体。在一些实施方式中,电容耦合等离子体的等离子体密度会小于电感耦合等离子体的等离子体密度。在一些实施方式中,电容耦合等离子体中的等离子体比电感耦合等离子体中的等离子体会具有更高的能量。在多种实施方式中,利用电感耦合等离子体根据所公开的实施方式进行操作可能是更有效的。
所述氟化气体可以是任何合适的含氟蚀刻剂,例如氟(F2)、四氟化碳(CF4)、六氟乙烷(C2F6)、六氟丙烯(C3F6)、八氟丙烷(C3F8)、1,3-六氟丁二烯(C4F6)、八氟环丁烷(C4F8)、全氟环戊烯(C5F8)、六氟化硅(SF6)和三氟化氮(NF3)。在一些实施方式中,除了氟化气体之外,具有通式CHxFy(其中x=1、2或3,并且y=4-x或其中x+y=4,并且x和y是介于0和4之间并且包括0和4的整数)的含氢氟化气体也可以在操作中加入。例如,除了氟化气体之外也可加入下面的含氢氟烃:CHF3、CH2F2和CH3F。
当等离子体被点燃时,所述氟化物质形成氟自由基。例如,如图2A的201所示,氟化气体四氟化碳(CF4)可由等离子体激发以形成氟自由基(·F)。
工艺气体还包括NO,其可以直接被加入到所述室中或通过使用两种或更多种反应物的反应形成。在多个实施方式中,将可从不同的制造商获得NO引入到处理室(见203-B)中并且在点燃等离子体时激发。在多个实施方式中,NO可通过将一氧化二氮(N2O)和氧气(O2)引入到所述室中而形成,而不是引入NO。在一些实施方式中,N2O、O2和NO的组合一起被引入到所述室中。
不受特定理论的限制,相信等离子体可激发氧而在所述室中形成原子氧(O)(在下面的图2的203-A和反应1所示)并且原子氧可与N2O反应形成NO,如下面的203-A和反应2所示。在一些实施方式中,N2O也可被激发以形成NO(反应3)。
O2→2O反应1
O+N2O→2NO反应2
N2O+e‐→NO+N反应3
控制流速使得N2O和O2形成氧化氮(NO2)的竞争反应被减轻。在一些实施方式中,氧气气体的流速和一氧化二氮气体的流速的比例可以为约0.75:1至约1:1.5,或约0.8:1至约1:1.2,或约1:1。氟化气体进入所述室的流速的数量级可小于一氧化二氮的流速的数量级。在一些实施方式中,氟化气体进入所述室的流速可以是进入所述室的总气体流量的约10%或更低。应当注意的是,气体的流速取决于所述衬底的尺寸、等离子体室的类型、功率设置、真空压力、等离子体源的离解速度以及其他因素。
所述等离子体可激发NO,由此形成激发态NO*,其随后与衬底表面相互作用。在一些实施方式中,一些基态NO可少量存在于所述室中。激发态NO*可比基态NO自由基的化学活性更强或反应性更强。作为气体加入到所述室中的NO可以由等离子体激发形成NO*,其可以与衬底表面反应。不受特定理论的限制,相信NO可通过更换最初键合在硅上的氮或氧原子而化学吸附到衬底表面上,如下所述。
可通过调节条件,例如等离子体功率、室压力、温度和流速控制NO*的形成和/或存在,由此增大所述室中NO*的浓度。NO*的浓度增加可能有利于化学吸附到氧化硅或硅表面上的NO比氮化硅表面上的多。NO对氧化硅、硅和氮化硅的相对化学吸附也可以使用这些参数来控制。应当注意的是,这些参数也被调节以避免NO和氟自由基之间形成NOF的竞争化学反应。虽然氧化硅层在所公开的实施方式中进行了描述,但是应当理解的是,任何介电氧化硅或硅层可以替代存在,例如多晶硅、结晶硅、无定形硅、二氧化硅、氮氧化硅和碳掺杂的氧化硅。
可以控制流速和等离子体条件使得当等离子体被点燃时产生的NO*可化学吸附到大部分氧化硅表面的和/或硅表面上。图2A描述了含有氧化硅205和氮化硅207的衬底200。氧化硅包括表面的多个Si-O键212以及一些硅悬挂键210。氮化硅也可包括一些硅悬挂键210。图2B描述了在224键合在大部分或整个氧化硅层的表面上的硅上的NO,而在222,NO仅键合在氮化硅层上的一些硅上。在一些实施方式中,NO*浓度使得氧化硅主要被化学吸附,但氮化硅含有较少化学吸附的NO。即,相比于氧化硅或硅层而言,较少的NO可被化学吸附在氮化硅层的表面上。这可以通过改变所述室中的相对条件,包括改变等离子体的功率、室压力和温度来控制。调节所述条件以完全钝化含有NO的氧化硅层和/或硅层,但不完全钝化氮化硅层。例如,过高的压力可导致氮化硅和氧化硅表面变得钝化,而在太低的压力下,氧化物和氮化物的表面均不完全钝化。当表面被部分钝化时,NO化学吸附可以提高蚀刻速度,但是当表面被完全钝化时蚀刻速度可能降低。在一些实施方式中,当氮化硅表面被部分地钝化而氧化硅表面被完全钝化时发生氮化硅相对氧化硅的高选择性蚀刻。
在操作104过程中,在一些实施方式中,可以调节电子温度以防止一氧化二氮解离。电子温度可限定为等离子体中电子的麦克斯韦玻尔兹曼分布的温度。电子温度与所产生的等离子体的密度相关。切换等离子体参数可调节电子温度。过高的电子温度可导致一氧化二氮解离成原子或双原子氧和原子或双原子氮。因此,在一些实施方式中,在操作104过程中控制一氧化二氮形成NO而不解离。同样地,维持电子温度以防止NO解离。
不受特定理论的限制,相信一旦NO化学吸附到硅原子上,那么NO开始解吸附,使得一旦NO解吸附,那么可保留硅悬挂键(如图220所示),其然后可被氟自由基攻击,如图2C中230所示。这可形成良好的离去基团,由此切割Si-N键并蚀刻该SiN层。
控制等离子体的条件使得相比于氮化硅层而言更多化学吸附的NO在氧化硅和/或硅层上。这些条件包括等离子体功率、等离子体类型和等离子体频率。在本文所述的多个实施方式中,等离子体功率为约500W-5000W,例如约1500W-2000W。
在操作106中,将衬底暴露于等离子体以选择性地蚀刻氮化硅。如图2C的230所示,等离子体中从氟化气体产生的氟自由基与硅反应以除去衬底200上的氮化硅表面的硅原子。氧化硅层可以不与氮化硅层一样被迅速蚀刻,因为在所述室条件下氧化硅层上的硅原子可维持键合至NO(224)上。即,NO可在氧化硅的表面上形成保护层,同时蚀刻氮化硅,从而提高氮化硅蚀刻的选择性。图2D描述了衬底200的示例,其中图2C中氮化硅层被蚀刻并且保留了氧化硅,从而显示出对SiN的高蚀刻选择率。在蚀刻时,SiF4会被泵出室(240)。氮化硅层被选择性地蚀刻,如图242所示,应当注意的是,连接膜中的硅和氮原子的各种键在蚀刻所述层时断裂。应当注意的是,虽然NO可能已在氧化硅层的表面上解吸附,但是NO可继续化学吸附到氧化硅(224)的表面上,因为等离子体连续激发NO以形成NO*。蚀刻速度可通过调节处理室的条件进行调节。
在一些实施方式中,在操作108中,操作104和106可任选地重复循环以选择性地蚀刻氮化硅。
在多个实施方式中,在氮化硅被选择性地蚀刻之后和关闭等离子体之前,衬底任选地在操作110中脱氟。脱氟被定义为从室或从衬底除去或清除氟的工艺。一旦等离子体被关闭,脱氟可防止氧化物的蚀刻。不受特定理论的限制,相信脱氟可防止氟自由基被键合在氧化硅层上的硅原子上,或者可以除去氧化硅层上的键合氟以在等离子体被关闭后减少氧化物的损失。在不脱氟的情形下,键合在氧化硅层上的NO可解吸附,通过室中残留的氟自由基使得氧化硅容易蚀刻。本文所述的脱氟方法由此可以提高氮化硅对氧化硅的蚀刻选择率。脱氟可在氮化硅暴露于包含NO*和氟化气体的等离子体之后进行。在一些实施方式中,脱氟可在用于产生NO和氟化气体的其他技术用于蚀刻氮化硅之后进行。例如,NO可通过使N2和O2反应形成,并且衬底上的氮化硅层可暴露于N2、O2和氟化气体,同时点燃等离子体。
衬底可通过从室泵送氟(诸如利用吹扫气体)来脱氟。在一些实施方式中,吹扫气体为惰性气体,诸如氦或氩。所述衬底可使用清除气体来脱氟。所述清除气体结合到硅悬挂键而不是氟上并避免氧化硅表面被蚀刻。清除气体可包括NO、NO2、N2、CO、CO2、O2、NH3以及它们的组合。在一些实施方式中,衬底可通过在不存在含氟气体的情况下使用NO2气体和O2生成等离子体来脱氟。
在一些实施方式中,衬底通过在衬底上施加RF(射频)偏置来脱氟。在一些实施方式中,基座是静电卡盘。不受特定理论的束缚,相信施加射频偏置有助于除去氧化硅表面上化学吸附的氟而不蚀刻氧化硅层。这些方法中的一种或多种可一起用于将所述衬底脱氟。例如,可引入清除气体同时开通射频偏置。一旦脱氟,就可关闭等离子体并避免过量氧化物损失。在一些实施方式中,偏置还可以是脉冲的以调制脱氟并减少特征或沟槽顶部的刻面(faceting)。例如,可以以介于约500Hz和约2000Hz之间的频率与介于约1%和约100%之间的占空比给偏置施加脉冲。
在多种实施方式中,在操作110的脱氟期间,开通等离子体以连续钝化表面直至降低和/或消除任何残余的氟浓度。在一些实施方式中,在脱氟之后,在操作112中,用氧将衬底上的氧化硅层的表面钝化。可将含氧气体在脱氟之后但在关闭等离子体之前引入室中以修复氧化硅而不将其污染。在一些实施方式中,含氧气体还可保护衬底上暴露的硅层。在多种实施方式中,脱氟可与氧钝化组合进行。例如,可将氧用作含氧气体。在一些实施方式中,重复操作110和112以保持氮化硅的高蚀刻选择率并避免蚀刻衬底上暴露的氧化硅或硅层。
图3A和3B提供了可进行所公开的实施方式的图案化方案的实例。图3A示出具有硅或硅层301、氮化硅层302、二氧化硅层303和掩模层304的半导体衬底的截面。图3A中所描绘的截面已经部分图案化以形成两个沟槽305。虽然示出了硅层301,但其它物质可存在于衬底上,诸如电介质材料、半导体材料、或导体材料。
图3B示出了其中掩模层304下方的氮化硅层的暴露部分选择性蚀刻到二氧化硅层303、掩模层304和衬底层301。可使用诸如上文参照图1所述的方法之类的方法来高选择性地各向同性蚀刻氮化硅以形成诸如图3B中所示的结构。
装置
在一些实施方式中,所公开的实施方式在处理室,诸如等离子体室中进行。所述方法可电感耦合等离子体或电容耦合等离子体室中进行。
图4是根据各种实施方式的电容耦合等离子体蚀刻室的实例的示意图。等离子体蚀刻室400包括其间生成等离子体的上电极402和下电极404。其上具有氮化硅膜和如上所述的衬底499可定位在下电极404上并且可由静电卡盘(ESC)保持在适当位置。还可使用其它夹持机构。等离子体蚀刻室400包括等离子体约束环406,其将等离子体保持在衬底上方并远离室壁。可使用其它等离子体约束结构,例如,充当内壁的护罩。在一些实施方式中,等离子体蚀刻室可以不包括任何此类等离子体约束结构。
在图4的实例中,等离子体蚀刻室400包括两个RF源,其中RF源410连接至上电极402并且RF源412连接至下电极404。RF源410和412各自可包括任何适当频率的一个或多个源,适当频率包括2MHz、13.56MHz、27MHz、和60MHz。可将气体从一个或多个气体源414、416和418中引入室中。例如,气体源414可包括惰性气体,气体源416可包括一氧化氮(NO)、一氧化二氮(N2O)或氧(O2),并且气体源418可包括氟化气体。在另一个实例中,气体源414可包括惰性气体,气体源416可包括一氧化二氮(N2O)和氧(O2),并且气体源418可包括氟化气体(例如CF4)和含氢氟化气体(例如CH2F2)。气体源还可包括含硅气体(例如,SiH4)。可通过入口420将气体引入室中,其中过量气体和反应副产物经由排放泵422排出。
可使用的等离子体蚀刻室的一个实例为可购自LamResearchCorp.(Fremont,CA)的FlexTM反应性离子刻蚀工具。等离子蚀刻室的进一步描述可见于美国专利No.6,841,943和No.8,552,334,上述专利出于所有目的以引用方式并入本文。
参见图4,控制器430连接至RF源410和412以及与气体源414、416和418相关联的阀,和排放泵422。在一些实施方式中,控制器430控制等离子体蚀刻室400的所有活动。控制器430可执行存储在大容量存储设备440中、存入存储器装置442中并在处理器444上执行的控制软件438。替代地,控制逻辑可硬编码在控制器430中。可将专用集成电路,可编程逻辑设备(例如,现场可编程门阵列或FPGA)等用于这些目的。在以下讨论中,只要是使用“软件”或“代码”之处,均可将功能相当的硬编码逻辑用于该位置。控制软件438可包括用于控制时序、气体混合物、气体流量、室压、室温、晶片温度、RF频率、RF功率电平、衬底基座、卡盘和/或台座定位、以及由等离子体蚀刻室400进行的特定工艺的其它参数的指令。可以任何合适的方式构造控制软件438。例如,可写入各种加工工具组件子程序或控制对象以控制所使用的加工工具组件的操作从而进行各种加工工具处理。可以任何合适的计算机可读编程语言来编码控制软件438。
在一些实施方式中,控制软件438可包括用于控制上述各种参数的输入/输出控制(IOC)测序指令。在一些实施方式中,可使用储存在与控制器430相关联的大容量存储设备440和/或存储设备442上的其它计算机软件和/或程序。用于该目的的程序或程序部分的实例包括工艺气体控制程序、压力控制程序、和RF源控制程序。
工艺气体控制程序可包括用于控制气体组成(例如,如本文所述的氧化和还原气体)和流速以及任选用于在沉积之前使气体流入室以稳定室中压力的代码。压力控制程序可包括用于通过调节例如室的排放系统中的节流阀、进入室中的气流等来控制室中压力的代码。RF源控制代码可包括用于根据本文的实施方式设定施加于电极的RF功率电平的代码。
在一些实施方式中,可具有与控制器430相关联的用户界面。用户界面可包括显示屏、所述装置和/或工艺条件的图形软件显示、和用户输入设备诸如定点设备、键盘、触摸屏、麦克风等。
在一些实施方式中,通过控制器430调节的参数可涉及工艺条件。非限制性实例包括工艺气体条件和流速、温度、压力、等离子体条件(诸如RF偏置功率电平)、压力、温度等。可将这些参数以可利用用户界面输入的配方形式提供给使用者。
用于监控过程的信号可通过系统控制器430的模拟和/或数字输入连接从各种加工工具传感器提供。用于控制过程的信号可以通过等离子体蚀刻室400的模拟和数字输出连接输出。可监控的传感器的非限制性实例包括质量流量控制器、压力传感器(例如压力计)、热电偶等。适当编程的反馈和控制算法可与来自这些传感器的数据一起使用以维持工艺条件。
控制器430可提供程序指令以实施上述选择性蚀刻工艺。所述程序指令可控制多种工艺参数,诸如RF偏置功率电平、压力、温度等。根据本文所述的各种实施方式,所述指令可控制参数以选择性蚀刻氮化硅膜。
控制器430将通常包括一个或多个存储器设备和一个或多个处理器,所述处理器被构造成执行指令使得装置可进行根据所公开的实施方式的方法。包括用于控制根据所公开的实施方式的工艺操作的指令在内的机器可读介质可耦合至例如如上所述的控制器430。
在一些实现方式中,控制器430可以是系统控制器的一部分或形成系统控制器的一部分,该系统控制器可以是上述实例的一部分。这种系统可以包括半导体处理设备,包括一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种元件或子部件。根据处理条件和/或系统的类型,控制器可以被编程以控制本文公开的任何工艺,包括控制工艺气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其它转移工具和/或与具体系统连接或通过接口连接的装载锁。
宽泛地讲,系统控制器可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)通信到控制器的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定过程的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的用于在制备晶片的一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实现方式中,系统控制器可以是与系统集成、耦合或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦合。例如,控制器可以在“云端”或者是fab主机系统的全部或一部分,它们可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机通信到系统。在一些实例中,系统控制器接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,系统控制器被配置成连接或控制该工具类型。因此,如上所述,系统控制器可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实例可以是与结合以控制室内工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
在非限制性的条件下,示例的系统可以包括等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、剥离室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其它的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,系统控制器可以与一个或多个其它的工具电路或模块、其它工具组件、组合工具、其它工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
本文所述的设备/工艺可以与光刻图案化工具或工艺结合使用,例如,用于制备或制造半导体器件、显示器、LED、光伏电池板等。通常,虽然不是必要地,这些工具/工艺将在共同的制造设施中一起使用或操作。膜的光刻图案化通常包括以下操作中的一些或所有,每个操作启用多个可行的工具:(1)使用旋涂或喷涂工具在工件,即,衬底上涂覆光致抗蚀剂;(2)使用热板或加热炉或紫外线固化工具固化光致抗蚀剂;(3)使用例如晶片步进曝光机之类的工具使光致抗蚀剂暴露于可见光或紫外线或X射线;(4)使抗蚀剂显影以便选择性地去除抗蚀剂并且从而使用例如湿式清洗台之类的工具将其图案化;(5)通过使用干式或等离子体辅助蚀刻工具将抗蚀剂图案转移到下伏的膜或工件上;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。
替代地,所公开的实施方式的工艺可在电感耦合等离子体蚀刻室诸如图5所示的室500中进行。室500包括内部502,所述内部502由连接到室的下壁中的出口504的真空泵维持在期望的真空压力下。可将蚀刻气体供应到喷头装置以将气体从气体源506供应到围绕电介质窗口510的下侧延伸的增压室508。可通过将RF能从RF源512供应到室顶部上电介质窗口510外部的外部RF天线514(诸如具有一个或多个匝的平面螺旋线圈)而在室中生成高密度等离子体。等离子体发生源可以为以真空密闭方式可移除地安装在室上端的模块安装装置的部分。
将半导体衬底516(诸如晶片)放置在室内的衬底支架518上,如由模块安装装置从室的侧壁可移除地支撑的悬臂卡盘装置上。衬底支架518可包括用于在衬底加工期间将RF偏置施加到衬底上的下电极。
衬底支架518在以悬臂方式安装的支撑臂的一个末端处,使得整个衬底支架/支撑臂组件可通过使该组件穿过室的侧壁中的开口而从室中移除。衬底支架518可包括卡持装置,诸如静电卡盘520,并且衬底可由电介质聚焦环522包围。卡盘可包括在蚀刻过程中将RF偏置施加到衬底的RF偏置电极。由气体供应源506提供的蚀刻气体可通过介于窗口510和下伏的气体分布板524之间的通道流动并通过板524中的气体出口进入内部502中。所述室还可包括从板524延伸的圆柱形或圆锥形加热内衬526。
如上参照图4所述的系统控制器可利用图5中的蚀刻室实施。
实验
实验1:压强
进行实验以评价相对于室压强的蚀刻速率和氮化硅蚀刻选择性。向处理室提供具有氮化硅和TEOS沉积的氧化硅的衬底。在每次试验中,使衬底暴露于具有等离子体的一氧化二氮(N2O)、氧气(O2)和四氟化碳(CF4)。测量氮化硅的蚀刻速率并且对于在约0.6托、1.0托、1.5托、2.0托和2.5托的压强下操作的工艺计算对于氧化硅的蚀刻选择性。将结果绘图于图6中。曲线601示出相对于压强的归一化氮化硅蚀刻速率。曲线603示出相对于压强,氮化硅对氧化硅的蚀刻选择率趋势。注意峰值蚀刻速率和最高选择率两者均在1.5托下观察到。蚀刻速率和选择率在0.5托和2.5托下显著下降。不受特定理论的束缚,相信在约1托或更小的压强下,在衬底表面处没有足够的NO*浓度来提高氮化物蚀刻速率。对于约2托或更大的压强而言,NO*浓度太高,从而氮化硅表面被钝化。
实验2:功率
进行实验以评价相对于等离子体功率的蚀刻速率和氮化硅的蚀刻选择性。向处理室提供具有氮化硅和TEOS沉积的氧化硅的衬底。在每次试验中,使衬底暴露于具有等离子体的一氧化二氮(N2O)、氧气(O2)和四氟化碳(CF4)。在2000W、3000W和4000W的功率下操作等离子体。将结果绘图于图7中。曲线710示出了相对于功率的归一化氮化硅蚀刻速率。注意在2000W和4000W下,蚀刻速率比在3000W下低得多。图703示出相对于功率,对氧化物的蚀刻选择率。在此,随着功率增加,蚀刻选择率下降。该实验的结果表明通过切换功率使用蚀刻速率和选择率之间的平衡以获得高蚀刻速率和对氧化硅的高蚀刻选择率。
实验3:添加CHxFy
进行实验以评价添加含氢碳氟化合物对氮化硅蚀刻工艺的影响。所述实验涉及具有氮化硅和氧化硅的衬底,以及具有氮化硅和多晶硅的衬底。在每次试验中,使衬底暴露于具有等离子体的一氧化二氮(N2O)、氧气(O2)和四氟化碳(CF4)以及二氟甲烷(CH2F2)。图8示出了相对于CH2F2流速,对使用TEOS沉积的氧化硅的蚀刻选择率的结果。如所示的,最高蚀刻选择率在约300sccm的流量下实现。
图9示出了相对于流率比,对氧化硅的蚀刻选择率(901)和对多晶硅的蚀刻选择率(903)的结果。用于1、2和3的CH2F2流率分别为100sccm、200sccm和300sccm。结果也示于下表1中。
表1.在添加CHxFy的情况下,SiN对SiO和多晶硅的蚀刻选择率
方法 | 对氧化物的选择率S | 对多晶硅的选择率 |
不添加CHxFy | 27 | 7 |
200sccm CHxFy流量 | 54 | 14 |
300sccm CHxFy流量 | 109 | 无数据 |
通过加入含氢碳氟化合物实现大于50:1的蚀刻选择率。
实验4:温度
进行实验以评价相对于衬底温度的蚀刻速率和氮化硅相对于TEOS沉积的氧化硅的蚀刻选择率。向处理室提供具有氮化硅和热的二氧化硅的衬底。在每次试验中,使衬底暴露于具有等离子体的一氧化二氮(N2O)、氧气(O2)和四氟化碳(CF4)。将结果绘图在图10中。曲线1001示出了相对于温度的归一化氮化硅蚀刻速率。注意蚀刻速率峰值在约10℃处,但接近0℃的温度产生低蚀刻速率并且蚀刻速率随晶片温度增大而稳步下降。曲线1003示出了相对于温度,对热氧化硅的蚀刻选择率。如同蚀刻速率,选择率在约5-10℃具有峰值,但在0℃下极低,并且随晶片温度增大超过15℃而稳步下降。这些结果表明蚀刻速率和选择率在约10℃下最高。
实验5:脱氟
进行实验以测定在蚀刻氮化硅之后和关闭等离子体之前将衬底脱氟的影响。向处理室提供具有氮化硅和氧化硅的衬底。使一个衬底暴露于具有等离子体的一氧化二氮(N2O)、氧气(O2)和四氟化碳(CF4),然后在不脱氟的情况下关闭等离子体。使第二衬底暴露于具有等离子体的一氧化二氮(N2O)、氧气(O2)和四氟化碳(CF4),并且然后在关闭等离子体之前通过在衬底RF偏置的情况下运行N2O和O2的等离子体45秒将衬底脱氟。如下表2所示,对于两个衬底评价氮化硅蚀刻速率,并且计算对氧化物的选择率。注意在脱氟的情况下,对氧化物的蚀刻选择率为至少200或更大。
表2:在SiN蚀刻之后脱氟
结论
虽然出于清楚理解的目的一定程度详细描述了前述实施方式,但应当理解可在所附权利要求的范围内实施某些改变和修改。应当注意对于实施本发明实施方式的方法、系统和装置具有许多可供选择的方式。因此,本发明实施方式应看成是说明性而不是限制性的,并且所述实施方式不应限于本文所给出的细节。
Claims (43)
1.一种蚀刻衬底上的氮化硅的方法,该方法包括:
(a)将一氧化二氮(N2O)、氧气(O2)和氟化气体引入并点燃等离子体以形成蚀刻物质;以及
(b)使所述氮化硅暴露于所述蚀刻物质以在所述衬底上相对于其它含硅材料选择性地蚀刻所述氮化硅。
2.根据权利要求1所述的方法,其中所述氟化气体包括具有式CHxFy的一种或多种气体;且其中x和y是介于0和4之间并包括0和4的整数,并且x+y=4。
3.根据权利要求1所述的方法,其中氧气的流率与一氧化二氮的流率之比介于约0.75:1和约1:1.5之间。
4.根据权利要求1所述的方法,其中所引入的所述氟化气体的量为总气体流量的约10%或10%以下。
5.根据权利要求1-4中任一项所述的方法,其还包括(c)使含硅化合物流动。
6.根据权利要求5所述的方法,其中所述含硅化合物选自由硅烷、四氯硅烷、四氟硅烷、原硅酸四乙酯、乙硅烷和四甲基硅烷组成的组。
7.根据权利要求5所述的方法,其中所述含硅化合物的分压小于容纳所述衬底的室的压力的约10%。
8.根据权利要求1-4中任一项所述的方法,其还包括(c)将一氧化氮(NO)引入到所述等离子体。
9.根据权利要求1-4中任一项所述的方法,其还包括(c)使所述衬底脱氟。
10.根据权利要求9所述的方法,其中使所述衬底脱氟进一步包括用吹扫气体吹扫容纳所述衬底的室。
11.根据权利要求9所述的方法,其中使所述衬底脱氟包括使清除气体流动。
12.根据权利要求11所述的方法,其中所述清除气体选自由一氧化二氮(N2O)、一氧化氮(NO)、氮气(N2)、二氧化碳(CO2)、一氧化碳(CO)、氧(O2)、氨气(NH3)以及它们的组合组成的组。
13.根据权利要求9所述的方法,其中使所述衬底脱氟包括开通RF偏置并让RF偏置保持开通、或给RF偏置施加脉冲。
14.根据权利要求9所述的方法,其中使所述衬底脱氟包括在不存在氟化气体的情况下产生一氧化二氮(N2O)气体和氧气(O2)的等离子体。
15.根据权利要求9所述的方法,其还包括在使所述衬底脱氟后,引入含氧气体。
16.根据权利要求1-15中任一项所述的方法,其还包括重复(a)和(b)。
17.根据权利要求1-15中任一项所述的方法,其中处理温度介于约0℃和约80℃之间。
18.根据权利要求1-15中任一项所述的方法,其中氮化硅与电介质的蚀刻选择率至少为约100:1。
19.根据权利要求1-15中任一项所述的方法,其中所述其它含硅材料选自由二氧化硅、一氧化硅、氮氧化硅、碳掺杂的氧化硅和硅组成的组。
20.根据权利要求10所述的方法,其中所述吹扫气体选自由氩、氮、氦、氖、氪和氙组成的组。
21.一种蚀刻衬底的方法,该方法包括:
使所述衬底暴露于一氧化氮(NO)和氟化气体并且点燃等离子体以蚀刻所述衬底上的氮化硅;以及
使所述衬底脱氟。
22.根据权利要求21所述的方法,其中所引入的所述氟化气体的量为总气体流量的约10%或10%以下。
23.根据权利要求22所述的方法,其中使所述衬底脱氟进一步包括用吹扫气体吹扫容纳所述衬底的室。
24.根据权利要求22所述的方法,其中使所述衬底脱氟包括使清除气体流动。
25.根据权利要求24所述的方法,其中所述清除气体选自由一氧化二氮、一氧化氮、氮气、二氧化碳、一氧化碳、氧、氨气以及它们的组合组成的组。
26.根据权利要求21所述的方法,其中使所述衬底脱氟包括在不存在氟化气体的情况下保持一氧化氮的等离子体。
27.根据权利要求21所述的方法,其中使所述衬底脱氟包括开通RF偏置。
28.根据权利要求21-27中任一项所述的方法,其还包括在使所述衬底脱氟后,引入含氧气体。
29.根据权利要求21-27中任一项所述的方法,其中,通过使一氧化二氮(N2O)和氧气(O2)反应并点燃等离子体产生一氧化氮。
30.根据权利要求21-27中任一项所述的方法,其中通过使氮气(N2)和氧气(O2)反应并点燃等离子体产生一氧化氮。
31.根据权利要求21-27中任一项所述的方法,其中所述氟化气体包括具有式CHxFy的一种或多种气体;且其中x和y是介于0和4之间并包括0和4的整数,并且x+y=4。
32.根据权利要求23所述的方法,其中所述吹扫气体选自由氩、氮、氦、氖、氪和氙组成的组。
33.一种用于处理半导体衬底的装置,该装置包括:
(a)一个或多个处理室,每个处理室包括喷头和基座;
(b)一个或多个气体入口,其被配置成耦合到所述一个或多个处理室和相关的流量控制硬件;
(c)等离子体发生器;和
(d)具有存储器和至少一个处理器的控制器,所述存储器包括用于下述操作的计算机可执行指令:
(i)将一氧化二氮(N2O)、氧气(O2)、和一种或多种氟化气体引入到所述一个或多个处理室中的至少一个,以及
(ii)点燃等离子体。
34.根据权利要求33所述的装置,其中所述等离子体发生器是远程等离子体发生器。
35.根据权利要求33所述的装置,其中,所述基座还包括静电卡盘。
36.根据权利要求33所述的装置,所述用于将所述一氧化二氮、所述氧气和所述一种或多种氟化气体引入的计算机可执行指令包括用于使介于约0.75:1和约1:1.5之间的比率的氧气与一氧化二氮流动的指令。
37.根据权利要求33-36中任一项所述的装置,其中,所述存储器还包括用于使含硅化合物流动的计算机可执行指令。
38.根据权利要求33-36中任一项所述的装置,其中,所述存储器还包括用于将一氧化氮(NO)引入到所述等离子体的计算机可执行指令。
39.根据权利要求33-36中任一项所述的装置,其中,所述存储器还包括用于关断所述一种或多种氟化气体的气流的计算机可执行指令。
40.根据权利要求33-36中任一项所述的装置,其中,所述存储器还包括用于在关闭所述等离子体之前用吹扫气体吹扫所述室的计算机可执行指令。
41.根据权利要求33-36中任一项所述的装置,其中,所述存储器还包括用于在关闭所述等离子体之前使清除气体流动的计算机可执行指令。
42.根据权利要求33-36中任一项所述的装置,其中,所述存储器还包括用于在关闭所述等离子体之前开通RF偏置的计算机可执行指令。
43.根据权利要求33-36中任一项所述的装置,其中,所述存储器还包括用于在关闭所述等离子体之前引入含氧气体的计算机可执行指令。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US14/576,020 US20160181116A1 (en) | 2014-12-18 | 2014-12-18 | Selective nitride etch |
US14/576,020 | 2014-12-18 |
Publications (1)
Publication Number | Publication Date |
---|---|
CN105719949A true CN105719949A (zh) | 2016-06-29 |
Family
ID=54849769
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201510957911.8A Pending CN105719949A (zh) | 2014-12-18 | 2015-12-18 | 选择性氮化物蚀刻 |
Country Status (6)
Country | Link |
---|---|
US (1) | US20160181116A1 (zh) |
EP (1) | EP3038142A1 (zh) |
KR (1) | KR20160075358A (zh) |
CN (1) | CN105719949A (zh) |
SG (1) | SG10201510382QA (zh) |
TW (1) | TW201635381A (zh) |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN111117625A (zh) * | 2018-10-31 | 2020-05-08 | Oci有限公司 | 硅基板蚀刻溶液及利用其的半导体器件的制造方法 |
CN111363550A (zh) * | 2018-12-26 | 2020-07-03 | 上海新阳半导体材料股份有限公司 | 选择性刻蚀液组合物及其制备方法和应用 |
WO2022159765A1 (en) * | 2021-01-25 | 2022-07-28 | Lam Research Corporation | Selective silicon trim by thermal etching |
Families Citing this family (102)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9911620B2 (en) | 2015-02-23 | 2018-03-06 | Lam Research Corporation | Method for achieving ultra-high selectivity while etching silicon nitride |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US9985122B2 (en) * | 2015-05-19 | 2018-05-29 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structures |
US10957561B2 (en) | 2015-07-30 | 2021-03-23 | Lam Research Corporation | Gas delivery system |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US9837286B2 (en) | 2015-09-04 | 2017-12-05 | Lam Research Corporation | Systems and methods for selectively etching tungsten in a downstream reactor |
US10192751B2 (en) | 2015-10-15 | 2019-01-29 | Lam Research Corporation | Systems and methods for ultrahigh selective nitride etch |
US10825659B2 (en) | 2016-01-07 | 2020-11-03 | Lam Research Corporation | Substrate processing chamber including multiple gas injection points and dual injector |
US10147588B2 (en) | 2016-02-12 | 2018-12-04 | Lam Research Corporation | System and method for increasing electron density levels in a plasma of a substrate processing system |
US10699878B2 (en) | 2016-02-12 | 2020-06-30 | Lam Research Corporation | Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring |
US10651015B2 (en) | 2016-02-12 | 2020-05-12 | Lam Research Corporation | Variable depth edge ring for etch uniformity control |
US10438833B2 (en) | 2016-02-16 | 2019-10-08 | Lam Research Corporation | Wafer lift ring system for wafer transfer |
JP6770825B2 (ja) * | 2016-04-27 | 2020-10-21 | 東京エレクトロン株式会社 | 基板処理方法及び基板処理装置 |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
WO2017210141A1 (en) * | 2016-05-29 | 2017-12-07 | Tokyo Electron Limited | Method of sidewall image transfer |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10410832B2 (en) | 2016-08-19 | 2019-09-10 | Lam Research Corporation | Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062579B2 (en) * | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10134600B2 (en) * | 2017-02-06 | 2018-11-20 | Lam Research Corporation | Dielectric contact etch |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10381238B2 (en) * | 2017-03-03 | 2019-08-13 | Tokyo Electron Limited | Process for performing self-limited etching of organic materials |
JP6796519B2 (ja) | 2017-03-10 | 2020-12-09 | 東京エレクトロン株式会社 | エッチング方法 |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10283324B1 (en) * | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) * | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
JP6860537B2 (ja) * | 2018-09-25 | 2021-04-14 | 株式会社Kokusai Electric | クリーニング方法、半導体装置の製造方法、基板処理装置、およびプログラム |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
TW202117847A (zh) | 2019-07-17 | 2021-05-01 | 美商得昇科技股份有限公司 | 使用沉積製程和蝕刻製程的工件處理 |
JP7433016B2 (ja) * | 2019-10-28 | 2024-02-19 | 東京エレクトロン株式会社 | 基板処理方法および基板処理システム |
CN114678270A (zh) * | 2020-12-24 | 2022-06-28 | 中微半导体设备(上海)股份有限公司 | 一种电感耦合等离子处理装置及其刻蚀方法 |
US11709477B2 (en) | 2021-01-06 | 2023-07-25 | Applied Materials, Inc. | Autonomous substrate processing system |
US20230411164A1 (en) * | 2022-06-15 | 2023-12-21 | Nanya Technology Corporation | Method of plasma etching |
Citations (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6060400A (en) * | 1998-03-26 | 2000-05-09 | The Research Foundation Of State University Of New York | Highly selective chemical dry etching of silicon nitride over silicon and silicon dioxide |
CN1369021A (zh) * | 1999-08-17 | 2002-09-11 | 东京电子株式会社 | 脉冲等离子体处理方法及其设备 |
US20090221117A1 (en) * | 2008-02-29 | 2009-09-03 | Chartered Semiconductor Manufacturing Ltd. | Integrated circuit system employing resistance altering techniques |
CN101752291A (zh) * | 2008-12-22 | 2010-06-23 | 中芯国际集成电路制造(上海)有限公司 | 浅沟槽隔离结构的制造方法 |
US20100178770A1 (en) * | 2009-01-14 | 2010-07-15 | Tokyo Electron Limited | Method of etching a thin film using pressure modulation |
CN103430289A (zh) * | 2011-03-14 | 2013-12-04 | 应用材料公司 | 用于蚀刻sin膜的方法 |
CN103779203A (zh) * | 2012-10-17 | 2014-05-07 | 株式会社日立高新技术 | 等离子蚀刻方法 |
US20140141621A1 (en) * | 2012-11-20 | 2014-05-22 | Applied Materials, Inc. | Dry-etch selectivity |
Family Cites Families (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
NL8004005A (nl) * | 1980-07-11 | 1982-02-01 | Philips Nv | Werkwijze voor het vervaardigen van een halfgeleiderinrichting. |
EP0424299A3 (en) * | 1989-10-20 | 1991-08-28 | International Business Machines Corporation | Selective silicon nitride plasma etching |
US6579805B1 (en) * | 1999-01-05 | 2003-06-17 | Ronal Systems Corp. | In situ chemical generator and method |
US7199328B2 (en) * | 2001-08-29 | 2007-04-03 | Tokyo Electron Limited | Apparatus and method for plasma processing |
US6841943B2 (en) | 2002-06-27 | 2005-01-11 | Lam Research Corp. | Plasma processor with electrode simultaneously responsive to plural frequencies |
JP5759177B2 (ja) | 2008-02-08 | 2015-08-05 | ラム リサーチ コーポレーションLam Research Corporation | プラズマ処理装置、半導体基板を処理する方法、および軸直角変位ベローズユニット |
CN102754201A (zh) * | 2009-10-26 | 2012-10-24 | 苏威氟有限公司 | 用于生产tft基质的蚀刻方法 |
US20140271097A1 (en) * | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
-
2014
- 2014-12-18 US US14/576,020 patent/US20160181116A1/en not_active Abandoned
-
2015
- 2015-12-10 EP EP15199363.1A patent/EP3038142A1/en not_active Withdrawn
- 2015-12-17 TW TW104142384A patent/TW201635381A/zh unknown
- 2015-12-17 KR KR1020150181071A patent/KR20160075358A/ko unknown
- 2015-12-17 SG SG10201510382QA patent/SG10201510382QA/en unknown
- 2015-12-18 CN CN201510957911.8A patent/CN105719949A/zh active Pending
Patent Citations (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6060400A (en) * | 1998-03-26 | 2000-05-09 | The Research Foundation Of State University Of New York | Highly selective chemical dry etching of silicon nitride over silicon and silicon dioxide |
CN1369021A (zh) * | 1999-08-17 | 2002-09-11 | 东京电子株式会社 | 脉冲等离子体处理方法及其设备 |
US20090221117A1 (en) * | 2008-02-29 | 2009-09-03 | Chartered Semiconductor Manufacturing Ltd. | Integrated circuit system employing resistance altering techniques |
CN101752291A (zh) * | 2008-12-22 | 2010-06-23 | 中芯国际集成电路制造(上海)有限公司 | 浅沟槽隔离结构的制造方法 |
US20100178770A1 (en) * | 2009-01-14 | 2010-07-15 | Tokyo Electron Limited | Method of etching a thin film using pressure modulation |
CN103430289A (zh) * | 2011-03-14 | 2013-12-04 | 应用材料公司 | 用于蚀刻sin膜的方法 |
CN103779203A (zh) * | 2012-10-17 | 2014-05-07 | 株式会社日立高新技术 | 等离子蚀刻方法 |
US20140141621A1 (en) * | 2012-11-20 | 2014-05-22 | Applied Materials, Inc. | Dry-etch selectivity |
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN111117625A (zh) * | 2018-10-31 | 2020-05-08 | Oci有限公司 | 硅基板蚀刻溶液及利用其的半导体器件的制造方法 |
CN111117625B (zh) * | 2018-10-31 | 2022-08-23 | Oci有限公司 | 硅基板蚀刻溶液及利用其的半导体器件的制造方法 |
CN111363550A (zh) * | 2018-12-26 | 2020-07-03 | 上海新阳半导体材料股份有限公司 | 选择性刻蚀液组合物及其制备方法和应用 |
WO2022159765A1 (en) * | 2021-01-25 | 2022-07-28 | Lam Research Corporation | Selective silicon trim by thermal etching |
Also Published As
Publication number | Publication date |
---|---|
SG10201510382QA (en) | 2016-07-28 |
KR20160075358A (ko) | 2016-06-29 |
TW201635381A (zh) | 2016-10-01 |
US20160181116A1 (en) | 2016-06-23 |
EP3038142A1 (en) | 2016-06-29 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN105719949A (zh) | 选择性氮化物蚀刻 | |
US9911620B2 (en) | Method for achieving ultra-high selectivity while etching silicon nitride | |
CN111247269B (zh) | 介电膜的几何选择性沉积 | |
CN106057637B (zh) | 通过原子层沉积和原子层蚀刻沉积共形膜 | |
JP6742720B2 (ja) | 酸化物層のエッチング方法及びエッチング装置 | |
TWI699831B (zh) | 非等向性鎢蝕刻用方法及設備 | |
JP6562629B2 (ja) | パルスプラズマ暴露を伴うプラズマ原子層堆積 | |
JP6218836B2 (ja) | ラジカル構成要素の酸化物エッチング | |
CN104380440B (zh) | 图案形成方法和基板处理系统 | |
JP5492557B2 (ja) | 半導体基板を均一にエッチングするためのガス噴射 | |
CN105762072A (zh) | 使用no活化的用于硅氧化物的各向同性原子层蚀刻 | |
CN107799390A (zh) | 用于半导体图案化应用的高干法蚀刻速率材料 | |
CN105390389A (zh) | 高深宽比结构中的触点清洁 | |
US20220216050A1 (en) | Atomic layer etch and selective deposition process for extreme ultraviolet lithography resist improvement | |
CN105556643A (zh) | 用于利用循环蚀刻工艺对蚀刻停止层进行蚀刻的方法 | |
CN103843117A (zh) | 通过介稳氢终止的硅的选择性蚀刻 | |
JP2013516069A (ja) | シリコン含有膜の平滑SiConiエッチング | |
CN107017162B (zh) | 具有高产量的超高选择比的多晶硅蚀刻 | |
TW201611113A (zh) | 電漿處理方法 | |
TW200525611A (en) | Chamber cleaning method | |
JP2021512504A (ja) | マルチプルパターンニング処理での原子層堆積を使用するスペーサプロファイル制御 | |
TW202125627A (zh) | 形成氣隙的系統及方法 | |
JP2023540034A (ja) | 誘電体に対する選択性を有した半導体、金属、または金属酸化物の原子層エッチング | |
TW201709263A (zh) | 用以等向性蝕刻緊密空間中的矽之無殘留物的系統及方法 | |
TW202233883A (zh) | 藉由純化學手段的非晶碳硬遮罩膜的沉積速率改善 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
WD01 | Invention patent application deemed withdrawn after publication |
Application publication date: 20160629 |
|
WD01 | Invention patent application deemed withdrawn after publication |