CN105762072A - 使用no活化的用于硅氧化物的各向同性原子层蚀刻 - Google Patents

使用no活化的用于硅氧化物的各向同性原子层蚀刻 Download PDF

Info

Publication number
CN105762072A
CN105762072A CN201511021815.9A CN201511021815A CN105762072A CN 105762072 A CN105762072 A CN 105762072A CN 201511021815 A CN201511021815 A CN 201511021815A CN 105762072 A CN105762072 A CN 105762072A
Authority
CN
China
Prior art keywords
oxide
substrate
etch
process chamber
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201511021815.9A
Other languages
English (en)
Other versions
CN105762072B (zh
Inventor
伊凡·L·贝瑞三世
皮利翁·帕克
费萨尔·雅各布
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN105762072A publication Critical patent/CN105762072A/zh
Application granted granted Critical
Publication of CN105762072B publication Critical patent/CN105762072B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Abstract

本发明涉及使用NO活化的用于硅氧化物的各向同性原子层蚀刻,提供了用于以原子级精确度可控地各向同性蚀刻硅氧化物和氧化锗层的方法。所述方法利用氧化物表面的NO活化。一旦活化,含氟气体或蒸气就蚀刻活化的表面。蚀刻是自限制的,因为一旦移除活化的表面,蚀刻就停止,因为所述氟物质不自发与未活化的氧化物表面反应。这些方法可用于互连预清洁应用、栅极介电处理、存储器装置的制造、或在期望精确移除材料的一个或多个原子层的情况下的任何其它应用。

Description

使用NO活化的用于硅氧化物的各向同性原子层蚀刻
技术领域
本发明涉及除去衬底上的材料层的方法。所述方法尤其可用于以原子级精确度精确地各向同性除去半导体衬底上的二氧化硅和二氧化锗。
背景技术
在小型化的现代水平下制造集成电路(IC)装置要求可以以原子级操作的技术。IC装置的某些组件现在具有数十埃的尺寸,对应于仅几个材料原子层。例如,在现代IC晶体管中的栅极电介质可具有仅的厚度,其对应于仅四个二氧化硅原子层。常常期望通过改变其尺寸来微调这些组件的电子特性,其可涉及仅沉积或除去材料的几个原子层。虽然已经开发了原子层沉积(ALD)和原子级外延生长技术,但用于可控移除一个或数个原子层的方法仍然受到限制。
硅氧化物、二氧化硅及其碳掺杂的、硼掺杂的和磷掺杂的变体是用于IC装置的重要电介质材料。硅氧化物用作主体介电层中的绝缘体、晶体管中的栅极电介质、以及存储器装置如动态随机存取存储器(DRAM)中的电容器电介质。当部分加工制造的晶片暴露于空气时,硅氧化物也无意地在硅层上形成。这种类型的硅氧化物(被称为原生氧化物)在硅层上形成薄膜。原生氧化物膜连同在蚀刻和/或灰化期间产生的氧化物残余物对于进一步加工步骤经常存在问题。当形成于硅着落通道或接触孔的底部中时,原生氧化物和其它氧化物是高度不期望有的,因为其在通道用导电材料填充之后提高所述通道的总体电阻。
存在大量描述二氧化硅气相蚀刻的文献。这些方法中大多数基本上为等离子体方法,其中反应物气体引入位于处理室中的等离子体源中以生成离子和亚稳态物质,所述离子和亚稳态物质与硅氧化物表面反应并形成挥发性蚀刻副产物。在这些方法中的大多数中,硅氧化物的蚀刻速率由反应物气体的流率和组成、等离子体功率、衬底温度或室压控制。硅氧化物的蚀刻速率随时间推移基本恒定;因此,这些类型的方法不能很好地适用于精确地控制待在衬底上所有暴露表面内均匀且精确蚀刻的层的数量。这些方法不能适用于以原子级除去限定的材料量。
发明内容
小于10nm的装置将要求大量具有高选择性、优异加载性能和低缺陷率的各向同性蚀刻。具有原子级均匀度和低缺陷率,以原子级除去限定材料量的可靠方法使用一氧化氮(NO)以活化氧化物表面。一旦活化,含氟气体或蒸气就蚀刻活化的表面。蚀刻是自限制性的;一旦除去活化的表面,蚀刻就停止,因为含氟物质不自发地与未活化的氧化物表面反应。本文所提供的方法可用于一些工艺中,这些工艺用于:制造诸如在互连件和触头预清洁应用中的集成电路、栅极电介质处理、存储和逻辑装置的制造、或在期望精确除去材料的一个或多个原子层的条件下的任何其它应用。本发明提供了用于各向同性除去可控量的硅和锗氧化物的方法。
本文大致公开了一种可控地蚀刻衬底上的半导体(例如,硅、锗或硅锗)氧化物层的方法。所述方法涉及使容纳在处理室中的衬底与过量NO物质接触以通过形成Si/Ge(硅和/或锗,具体取决于基础半导体材料)-O-N-O键使衬底上的硅氧化物或锗氧化物表面改性,并且在经处理的氧化物表面用Si/Ge-O-N-O键布满(saturate)之后使衬底与F蚀刻剂接触。然后氮氧化物从经处理的氧化物表面的解吸导致以各种方式可控蚀刻氧化物表面。
在一个方面,本发明涉及一种可控地蚀刻衬底上的硅氧化物的方法。所述方法涉及使容纳在处理室中的衬底与过量NO物质接触以通过形成Si-O-N-O键使衬底上的硅氧化物表面改性。合适的NO物质可以为等离子体激发的NO气体或NO自由基。然后,氮氧化物从经处理的氧化物的表面解吸,同时留下活化的表面以有利于蚀刻。在经处理的氧化物表面用Si-O-N-O键布满之后,还可使衬底与F蚀刻剂诸如F自由基、NF3、F2、CF4、C2F6、HF和XeF2接触,使得F蚀刻剂蚀刻活化的表面。在该实施方式中,可在其中F蚀刻剂反应以蚀刻活化的氧化物表面层这样的条件下,在氮氧化物解吸的同时,将所述F蚀刻剂与NO物质一起引入处理室中。或者,可在氮氧化物从改性的硅氧化物表面解吸之后将F蚀刻剂引入处理室中以反应,从而蚀刻活化氧化物表面的层。
在另一个方面,所述方法涉及使容纳在处理室中的衬底与过量NO物质接触以通过形成Si-O-N-O键而改性并钝化衬底上的硅氧化物表面。合适的NO物质可以为等离子体激发的NO气体或NO自由基。还可使衬底与F蚀刻剂诸如F自由基、NF3、F2、CF4、C2F6、HF和XeF2接触,并且氟化学吸附到NO封端的表面上从而形成NOF键。当NOF从表面解吸时,其解吸为N2O+SiF4,蚀刻氧化物的表面层。在该实施方式中,蚀刻通过使化学吸附的F与活化的氧化物表面反应来实现,并且可在其中F蚀刻剂反应以化学吸附到NO封端的表面上从而形成NOF键这样的条件下,在一氧化氮解吸的同时,将所述F蚀刻剂与NO物质一起引入处理室中。或者,可在经处理的氧化物的表面上形成Si-O-N-O键之后且在氮氧化物从改性的硅氧化物表面解吸之前,将F蚀刻剂引入处理室中。
吸附可在介于约0-300℃的温度下适当进行,其中解吸操作在相同或更高的温度下进行。解吸在比吸附温度高约0-80℃的温度下进行。
可通过将NO物质连续供应到处理室中抑制氮氧化物从经由NO化学吸附而改性的硅氧化物表面解吸来控制蚀刻。通过停止将NO物质供应到处理室中或减少NO物质的供应,将使NO从改性的氧化物表面解吸,从而使得蚀刻在吸附或施加的F蚀刻剂存在下继续进行。
一旦完成蚀刻循环,就可用惰性气体泵出或吹扫所述室。
在一些实施例中,操作(a)-(d)的单个循环除去约0.5-10个硅氧化物的原子层,例如硅氧化物的原子单层。在许多情况下,进行操作(a)-(d)的至少两个循环并且常常更多个循环以便除去期望的材料量。
在另一个方面,一种用于可控地蚀刻衬底上的硅氧化物的蚀刻装置包括:具有引入工艺气体的入口的处理室,被构造成用于在蚀刻衬底上的硅氧化物期间将衬底保持在适当位置的处理室中的衬底支撑件,以及控制器。所述控制器包括用于以下操作的指令:(i)使容纳在处理室中的衬底与过量NO物质接触以通过形成Si/Ge-O-N-O键来使衬底上的半导体氧化物表面改性,(ii)使衬底与F蚀刻剂接触,(iii)解吸化学吸附的层,(iv)蚀刻氧化物表面,以及(v)任选用惰性气体吹扫所述室。所述控制器还可包括进行蚀刻操作两次或更多次的指令。
本发明的这些和其它特征结构和优点将以下参考附图更详细地描述。
附图说明
图1是如本文所述的蚀刻方法的大致工艺流程图。
图2是根据本文所提供的实施方式的一种蚀刻方法的工艺流程图。
图3A-3D示出了根据图2的实施方式进行蚀刻的衬底的剖视示意图。
图4是根据本文所提供的另一个实施方式的蚀刻方法的工艺流程图。
图5A-5D示出根据图4的实施方式进行蚀刻的衬底的剖视示意图。
图6是根据一个实施例,适用于进行本文所提供的蚀刻反应的装置的示意图。
具体实施方式
现在将详细参考本发明的具体实施方式。具体实施方式的实例在附图中解释说明。虽然本发明将结合这些具体实施方式进行描述,但应当理解其并非意在将本发明限制为此类具体实施方式。相反,其意在覆盖可包括在本发明的精神和范围内的替代、修改和等同方案。在以下说明中,许多具体细节被阐述,以便提供对本发明的彻底理解。本发明可以在没有部分或全部这些具体细节下实施。在其它情况下,公知的处理操作没有进行详细说明,以避免不必要地使本发明模糊化。
引入
一种在原子级均匀度和低缺陷率的情况下以原子级除去有限量硅氧烷的可靠方法使用一氧化氮(NO)以活化和钝化氧化物表面。一旦钝化,含氟气体或蒸气就蚀刻活化的表面或者作为另外一种选择附接到NO钝化表面。在解吸过程中,蚀刻衬底。蚀刻是自限制性的;一旦除去活化的表面,蚀刻就停止,因为含氟物质不自发地与未活化的氧化物表面反应。
本发明的方面涉及可控地蚀刻衬底,诸如部分加工制造的集成电路中的硅氧化物和氧化锗(包括掺杂和未掺杂的硅和锗氧化物)。虽然本发明的方法发现在半导体衬底加工中的特殊用途,但其也可用于其它应用中。本文所提供的方法在较小层级上,例如在约或更小的层级上,实现材料的精确且各向同性的移除。实际上,当期望仅除去几个埃或数十个埃的层时,可采用这些方法。本文所提供的原子层蚀刻方法允许通过重复蚀刻循环来控制蚀刻深度,其中每个循环可除去少达仅原子单层或亚单层的材料。这些方法可在CVD型或等离子体型装置中进行(例如在抗蚀剂剥离室中),并且可容易地与现有晶片制造工艺整合。
所述方法可用于蚀刻硅氧化物、氧化锗和这些氧化物的混合物。所述氧化物可以是掺杂的(例如,掺杂有氮、碳、硼、磷等)或未掺杂的。如本文所用,术语“硅氧化物”包括各种类型的氧化硅、硅酸盐和基于硅酸盐的玻璃,诸如原硅酸四乙酯(TEOS)、硼硅酸盐玻璃(BSG)、硼磷硅玻璃(BPSG)、高密度等离子体(HDP)CVD氧化物、和低于大气压(SA)的CVD氧化物。
原子层除去方法的应用包括但不限于预清洁操作、栅极电介质制造加工、鳍式(fin)氧化物凹部的加工、以及存储器装置(诸如动态随机存取存储器(DRAM)和闪存装置)中的电容器电介质的加工。连接器的原子层蚀刻预清洁可涉及从硅、活性硅、多晶硅和硅化物触头中除去硅氧化物。硅化物触头包括金属硅化物,诸如镍和钴硅化物、三元硅化物,诸如铂镍硅化物和其它硅化物材料,其通常用于连接器中。活化硅触头是指通常由电活性掺杂硅材料形成的电活性触头。所述方法尤其适用于加工制造在小于10nm水平的装置并可用于加工制造双栅极晶体管,如FinFET和环绕栅极(GAA)FET。
本发明所提供的方法允许在暴露的硅、锗或硅锗的存在下选择性蚀刻硅氧化物、氧化锗或它们的组合。选择性蚀刻是指至少5:1的选择率,其中所述比率是指蚀刻速率比。
图1是如本文所述的蚀刻方法的大致工艺流程图。本文大致公开了一种可控地蚀刻衬底上的半导体(例如,硅、锗或硅锗)氧化物层的方法。所述方法涉及在101处使容纳在处理室中的衬底与过量NO物质接触以通过形成表面-O-N-O键使衬底上的硅或锗氧化物表面改性。此后,在103处,一般方法继续,即,在经处理的氧化物表面用-O-N-O键布满之后,解吸吸附的NO并使衬底与F蚀刻剂接触。如果F蚀刻剂在NO解吸之后添加,则F将蚀刻活化的氧化物层。如果F蚀刻剂在氧化物表面用NO键布满的期间或同时施加,则F化学吸附到NO封端的表面,从而形成F-O键,并且然后氮氧化物从经处理氧化物的表面的后续解吸导致改性的氧化物被化学吸附的F可控蚀刻。在任一种情况下,在105处,取决于半导体衬底是Si、Ge还是SiGe,蚀刻产生于硅或锗氟化物(Si/GeF4)的解吸。接着,在操作107中,决定是否需要进一步蚀刻,并且确定是否需要进一步蚀刻来蚀刻氧化物的目标厚度,重复操作顺序。
虽然本发明所提供的方法可用于蚀刻硅氧化物和锗氧化物,但所述方法将使用硅氧化物作为实例来进一步解释说明。应当理解所有描述的原理和示例说明也均适用于氧化锗的蚀刻。
蚀刻可在具有或不具有凹陷特征(诸如通道、沟槽和接触孔)的衬底上进行,并且硅氧化物可从衬底上的任何位置中除去,所述位置包括场区、凹陷特征的侧壁和凹陷特征的底部。由于蚀刻反应的基于自限制吸附的机制,硅氧化物可各向同性地除去,即从场区、凹陷特征的侧壁和底部中除去基本上相同量的氧化物,并且除去的氧化物量基本上不依赖于凹陷特征的深宽比。另外,氧化物可以高均匀度除去,即,可从半导体晶片衬底的中心和边缘中除去基本上相同量的氧化物。重要的是应当注意不同于依赖于NH4F蚀刻剂的那些,本文所提供的方法不产生固体反应产物,从而导致低缺陷率和高深宽比凹陷特征内的优异的可重复各向同性蚀刻。
用NO活化来蚀刻硅氧化物
NO可化学吸附到硅氧化物表面上,从而形成Si-O-N-O键。该化学吸附是吸附的一种形式,其涉及氧化物吸附剂表面和NO吸附物之间的化学反应。新化学键在氧化物表面处生成。
如果在氧化物表面用NO键布满的期间或同时施加F蚀刻剂,则F不能蚀刻氧化物,而是化学吸附到NO封端的表面上,从而形成F-O键。
此后,在解吸循环中,NO以NO2的形式从表面解吸,留下硅悬空键,其与施加的F蚀刻剂或与吸附的F反应以形成SiF4,从而导致表面的蚀刻。NO解吸活化用于蚀刻的氧化物表面,因为该悬空键可与施加的或化学吸附的氟反应。
原子层蚀刻(ALF)可通过该NO吸附和钝化处理、之后进行F吸附和蚀刻、然后重复该循环来进行。
本发明所公开的方法不同于已知的各向同性蚀刻硅氧化物的方法,因为所述反应分解成可以两种不同方式应用的四个离散和自限制步骤。在第一实施方式中,所述步骤包括:(1)NO表面吸附(化学吸附),(2)NO表面解吸与氟表面反应的组合以及3)SiF4反应物解吸。在第二实施方式中,所述步骤包括:(1)NO表面吸附(化学吸附),(2)F表面吸附,(3)NO表面解吸,4)氟表面反应以及5)SiF4反应物解吸。其它材料诸如氮化硅经历与NO相似的反应,然而,吸附和解吸热响应非常不同。对于氮化物,化学吸附相比于氧化物在较低的温度下进行,并且在给定温度下相比于氧化物发生解吸快得多。这提供了温度和NO浓度窗,其中可选择性蚀刻氧化物然而不蚀刻氮化物。前述基于NO的氧化物蚀刻技术不将所述方法分成多个速率限制步骤。NO通过在氟存在下使吸附和解吸同时进行的浓度和温度下将NO和氟一起供入,而用于提高氟蚀刻速率。因此,蚀刻反应连续进行,并且因此不是原子层蚀刻。
图2是根据本文所述的一个实施方式,示出硅氧化物的蚀刻方法的工艺流程图,其中在使F蚀刻剂反应以蚀刻活化氧化物表面层这样的条件下,在NO解吸之后,或在NO解吸期间加入所述F蚀刻剂。图3A-3D示出了经历可控蚀刻的包含硅氧化物的衬底的剖视示意图。
参见图2,所述方法在201处开始,其中使处理室诸如感应耦合等离子室中的衬底与过量适宜的一氧化氮(NO)物质接触,如图3A中所述。适用于该暴露操作101的NO物质可以为等离子体激发的NO气体或以各种方式生成的NO自由基。例如,NO气体可以为通过直接注入处理室中的等离子体源中而等离子体激发的,或通过在等离子体源的下游注射并且由例如Ar、N2、He、Ne、Xe的等离子体激发而间接等离子体激发的。NO自由基(NO*)可通过使用O2和N2O气体的等离子体反应而形成,所述反应如下:
O2+等离子体->2O*,O*+N2O(g)->2NO*
或者
N2O+等离子体->N2+O*,O*+N2O->2NO*。
或者NO*可以通过使用O2和N2气体的等离子体反应形成,所述反应如下:
O2+等离子体->2O*,O*+N2->N2O,N2O+O*->2NO*。
进行NO暴露201使得存在到硅氧化物表面上的化学吸附,从而形成Si-O-N-O键,如图3B所述。适用于该化学吸附操作的条件可以为约0至300℃,例如80至150℃的温度,以及约0.1-760托,例如介于约1-20托之间的压强。一般来讲,将过量NO/NO*提供给氧化物表面使得氧化物表面用NO键布满,从而防止任何蚀刻发生,如下文进一步讨论的。
再次参见图2,在NO物质吸附到硅氧化物表面上之后,在操作203中,NO以NO2的形式从表面解吸,留下具有硅悬空键的活化表面,如图3C所述。适用于该化学吸附操作的条件可以为约0至300℃,例如80至150℃的温度,以及约0.1-760托,例如介于约1-20托之间的压强。
在操作205中,一旦NO解吸发生,蚀刻就可通过使衬底表面与F物质接触以蚀刻硅氧化物来进行。当表面布满NO键时,氟(F)将不与表面反应,因为其被阻止扩展吸附到表面。与氟的反应将仅在NO供应减少或消除以及NO开始从表面解吸时发生。因此,可通过将NO物质连续供应到处理室中抑制氮氧化物从经由NO化学吸附而改性的硅氧化物表面解吸来控制蚀刻。通过停止将NO物质供应到处理室中或减少NO物质的供应,将使NO能从改性的氧化物表面解吸,从而使得蚀刻能在F蚀刻剂存在下继续进行。一旦完成蚀刻循环,就可用惰性气体吹扫所述室。
在可供选择的实施方式中,参见图4,所述方法在410处通过使处理室如感应耦合等离子体室中的衬底与过量合适的一氧化氮(NO)物质接触来开始,如图5A中所述。适用于该暴露操作401的NO物质可以为等离子体激发NO气体或以多种方式生成的NO自由基。例如,NO气体可以为通过直接注入处理室中的等离子体源中而等离子体激发的,或通过在等离子体源的下游注射并且由例如Ar、N2、He、Ne、Xe的等离子体激发而间接等离子体激发的。NO自由基(NO*)可参见图2如上所述形成。
进行NO暴露401使得存在到硅氧化物表面上的化学吸附,从而形成Si-O-N-O键,如图5B所述。适用于该化学吸附操作的条件可以为约0至300℃,例如80至150℃的温度,以及约0.1-760托,例如介于约1-20托之间的压强。将过量NO/NO*提供给氧化物表面,使得氧化物表面布满NO键,从而防止任何蚀刻发生,如下文进一步讨论的。
再次参见图4,在NO物质吸附到硅氧化物表面上之后,在操作403中,施用氟蚀刻剂使得F化学吸附到吸附的NO上。在操作405中,关闭氟源,使氧化物表面布满O-N-O-F键,如图5C中所述。在操作407中,解吸O-N-O-F,使得一些表面氧化物除去。一旦关闭NO源,解吸就开始。解吸速率是操作温度的函数,并且可通过加热衬底而加速,在10℃下,NO解吸处理可进行约10秒的数量级。解吸速率随温度升高而增大。适用于该解吸操作的温度可在约0至300℃,例如约80-150℃的范围内。
当表面布满NO键时,氟(F)将不与表面硅反应,因为其被阻止达到硅位点。因为NO吸附和解吸同时进行,所以硅与氟的反应将仅在NO供应减少或消除并且NO开始从表面解吸时进行。因此,可通过将NO物质连续供应到处理室中抑制氮氧化物从经由NO化学吸附而改性的硅氧化物表面解吸来控制蚀刻。通过停止将NO物质供应到处理室中或减少NO物质的供应,将使NO能从改性的氧化物表面解吸,从而使得蚀刻在吸附的F蚀刻剂存在下继续进行。一旦完成蚀刻循环,就可用惰性气体吹扫所述室。
合适的蚀刻剂为基于F的,包括例如F自由基(F*)、NF3、F2、CF4、C2F6、HF和XeF2。根据多种实施例,可在氮氧化物解吸的同时,将F蚀刻剂与NO物质一起引入处理室中。替代地,可在经处理的氧化物的表面上形成Si-O-N-O键之后并且在氮氧化物从改性的硅氧化物表面解吸之前或之时将F蚀刻剂引入处理室中。适用于F蚀刻剂物质吸附到无钝化氧化物表面的条件可以为约0至300℃,例如80-150℃的温度,约100毫托至760托,例如约1-2托的压强。
所述蚀刻通常限于每次如上所述的循环除去单层的一部分至多个单层。所述蚀刻可定制成在整个改性条件下除去约0.5-10个硅氧化物原子层。循环的蚀刻部分通过在进行期望量的蚀刻之后,解吸F蚀刻反应产物SiF4来完成,如图3D或5D所述。可见在图3D或5D所示的经处理的衬底中,已经除去了控制量的硅氧化物。通过图2和3中所述实施例中吸附的NO量,或通过如图4和5中所述吸附的F量,通过处理温度和压力来确定除去的硅氧化物量。
再次参见图2和4,接着,在操作209/409中,决定是否需要进一步蚀刻以便蚀刻硅氧化物的目标厚度。如果在第一次蚀刻循环中除去的量足够,则完成蚀刻。如果任何反应产物或F蚀刻剂残留在室中,则吹扫和/或排空处理室。
如果,在操作209/409中,确定需要进一步蚀刻以蚀刻目标厚度的硅氧化物,则重复操作顺序。如果需要,在下一个循环开始之前,可吹扫或排空处理室以除去反应副产物。所述方法可根据需要进行多个循环。在一些实施方式中,进行至少两个循环,诸如约2-10个循环之间。
图1中所示的方法可用多个预处理和后处理改进以调理衬底的表面。在一些实施方式中,在操作101之前,衬底用干HF处理以除去硅氧化物表面上的硅醇键。然后吹扫或排空处理室以除去HF。其它预处理可包括等离子体处理或湿法清洁处理以除去表面污染物,诸如烃或氢-碳氟化合物。
用于每个蚀刻循环的操作的加工条件(诸如温度和压力)将取决于NO物质的性质、F蚀刻剂、和处理速度,并且可在本文所提供的指导内变化。在大多数实例中,温度在介于约0至约300℃的范围内,并且压力在介于约100毫托至约760托的范围内。下文提供的实例解释说明了具体的实施方式。
实施例
为了更充分地说明具体实施方式的特征结构,提供以下根据图4和5的蚀刻工艺的非限制性实例。蚀刻工艺的实施例为1)将包含氧化物的衬底加载到真空室中;2)任选地预处理样品以除去表面氧化物或硅醇键;3)在1.0–3.0托下激励NO气体(或按体积计约50%O2+50%N2O的气体混合物)等离子体以钝化氧化物表面;4)将含氟气体加入等离子体中,诸如CF4(按体积计,约5-7%);5)关闭等离子体和氟源,使NO解吸并引发蚀刻;6)任选用惰性气体(诸如氮或氩)吹扫真空室;7)根据需要重复步骤3-6以蚀刻目标膜厚度。
装置
本文所述的方法可在各种装置中实施,所述装置配备有被构造成用于顺序输送气态试剂的输送管线和控制机构。合适的处理室的实例包括等离子体蚀刻处理室、各向同性蚀刻处理室、和化学气相沉积处理室、以及抗蚀剂剥除室。为了防止由于使用腐蚀剂导致的损坏,所述装置的至少直接接触腐蚀性试剂的部分可包括抗蚀材料。例如,在一些实施方式中,处理室涂覆有抗F蚀刻剂聚合物,诸如被称为的乙烯和三氟氯乙烯的共聚物。在一些实施方式中,将所述室阳极氧化或镀镍。在一些实施方式中,输送试剂的输送管线由镍制成。
合适的装置包括处理室,所述处理室具有在蚀刻期间将衬底保持在适当位置的衬底支撑件,用于引入试剂的入口,通常与排空处理室的泵连接的出口,以及具有用于执行本发明所提供的方法中任一个步骤的程序指令的控制器。在一些实施方式中,根据需要,所述装置配备有构造成加热或冷却衬底的加热器和/或冷却器。所述加热器和/或冷却器可集成到衬底支撑件中。在一些实施方式中,衬底的加热可使用定位于衬底上方的灯来实施,其中所述灯将热辐照到衬底上。所述灯可用作加热的主要方法,或者除了衬底支架加热之外的加热的主要方法。在一些实施方式中,衬底支架包括马达并被构造成在加工期间使衬底旋转。在将直接等离子体用于处理室(用于NO气体激发或NO自由基生成)的那些实施方式中,所述装置配备有RF或微波等离子体发生器。在使用远程等离子体(用于NO气体激发或NO自由基生成)的那些实施方式中,所述装置包括其中使用RF或微波等离子体发生器生成远程等离子体的独立的室。远程等离子体室通过供给管道连接至容纳衬底的处理室并被配置成将远程等离子体中生成的物质输送至衬底的表面。
在电磁辐射(诸如紫外线照射和/或微波照射)用于加热衬底表面的那些实施方式中,装置还将包括电磁辐射源,诸如紫外灯或微波发生器。这些源通常通过可在使用时传输特定类型的电磁辐射的窗而与衬底隔开。例如,抗F蚀刻剂的紫外线传输窗,诸如蓝宝石覆盖的石英或块体石英窗可替换处理室的顶部,使得紫外线辐射能从定位在窗口上方的紫外线灯传输。
图6中示出了适用于实施本文所提供的蚀刻方法的处理室的实例的示意图。处理室600包括用于引入气态试剂的入口602,其中所述入口与截流阀或流量控制阀耦合。输送管线604将试剂源606与入口602连接。在一些实施方式中,输送管线604可包括多个独立的管线,例如NO输送管线和F蚀刻剂输送管线。在一些实施方式中,输送管线与热源连接并在试剂输送期间被加热以便防止管线内的试剂冷凝。试剂源包括NO源和F蚀刻剂物质源。试剂源还可包括用于吹扫处理室的惰性气体源和用于形成含氢等离子体的含氢气体源。包括输送管线的输送系统通常还可包括一个或多个流量计,其用于精确测量允许进入处理室中的试剂的剂量。处理室还具有与出口阀和泵610连接的出口608。当出口阀打开时,过量试剂、反应产物和吹扫气体通过出口离开所述室。处理室中的压力可通过控制试剂的流率和从处理室中泵出过量气体来精确控制。
衬底612固定在衬底支架基座616上,所述衬底支架基座还包括被配置成加热衬底的热电卡盘614。在所示实施方式中,处理室的顶部为任选的透明或半透明窗618,其将处理室与紫外灯620隔开,所述紫外灯用于用紫外光照射衬底以进行衬底加热。在其它实施方式中,将可发射辐射热的红外灯定位在处理室的顶部上方,并用于加热衬底的表面。在一些实施方式中,所述装置可包括紫外灯和红外灯两者,或在衬底上方的其它热源。控制器622与装置电连接并用于控制蚀刻过程的所有阶段。控制器622包括程序指令或内置逻辑以执行根据本文所述的实施方式中任一个的蚀刻方法。例如,控制器可包括规定下列项的代码:试剂输送的计时、蚀刻循环每阶段期间的温度和压力、以及与衬底照射相关联的参数。
在一些实现方式中,控制器可以是系统的一部分,该系统可以是上述实例的一部分。这种系统可以包括半导体处理设备,包括一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种元件或子部件。根据处理要求和/或系统的类型,控制器可以被编程以控制本文公开的任何工艺,包括控制工艺气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的装载锁。
宽泛地讲,控制器可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有多种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)通信到控制器的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定过程的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的用于在制备晶片的一个或多个(种)层、和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实施方式中,控制器可以是与系统集成、耦接或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦接。例如,控制器可以在“云端”或者是fab主机系统的全部或一部分,它们可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机通信到系统。在一些实例中,控制器接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实例可以是与结合以控制室内工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
在非限制性的条件下,示例的系统可以包括等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其他的半导体处理系统。各种系统可以与上述ALE室或模块一起用于一个制造设施中。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器可以与一个或多个其他的工具电路或模块、其他工具组件、组合工具、其他工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
本文所述的装置/方法可以与光刻图案化工具或过程结合使用,例如,用于制备或制造半导体器件、显示器、LED、光伏电池板等。通常,虽然不是必要地,这些工具/过程将在共同的制造设施中一起使用或操作。膜的光刻图案化通常包括以下步骤中的一些或所有,每个步骤启用多个可行的工具:(1)使用旋涂或喷涂工具在工件,即,衬底上涂覆光致抗蚀剂;(2)使用热板或加热炉或紫外线固化工具固化光致抗蚀剂;(3)使用例如晶片步进曝光机之类的工具使光致抗蚀剂暴露于可见光或紫外线或X射线;(4)使抗蚀剂显影以便选择性地去除抗蚀剂并且从而使用例如湿式工作台之类的工具将其图案化;(5)通过使用干式或等离子体辅助蚀刻工具将抗蚀剂图案转印到下方的膜或工件上;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。
应当理解,本文所述的实例和实施方式仅出于解释说明的目的并且鉴于此将向本领域技术人员提出各种修改和改变。虽然为了清楚起见省略了各种细节,但可实施各种设计的可供选择方案。因此,本发明实例应当被理解为说明性的而不是限制性的,并且本发明应当不限于本文中给出的细节,而是可以在所附权利要求的范围内进行修改。

Claims (20)

1.一种可控地蚀刻衬底上的半导体氧化物层的方法,所述方法包括:
(a)使容纳在处理室中的所述衬底与过量NO物质接触以通过吸附、形成Si/Ge-O-N-O键来使所述衬底上的硅或锗氧化物表面改性;
(b)在经改性的氧化物表面用Si/Ge-O-N-O键布满之后,使所述衬底与F蚀刻剂接触;
(c)使氮氧化物从所述改性的氧化物表面解吸,同时留下有利于蚀刻的活化的表面;
(d)使所述F蚀刻剂蚀刻所述活化的表面。
2.根据权利要求1所述的方法,其中所述半导体为硅并且蚀刻操作(d)包括使SiF4从所述氧化物表面解吸。
3.根据权利要求2所述的方法,其中操作(a)-(d)的单个循环除去约0.5-10个硅氧化物原子层。
4.根据权利要求2所述的方法,其中操作(a)-(d)的单个循环除去约一个硅氧化物原子单层。
5.根据权利要求2所述的方法,其包括进行至少两个循环,其中每个循环包括操作(a)-(d)。
6.根据权利要求2所述的方法,其中所述NO物质为等离子体激发的NO气体。
7.根据权利要求2所述的方法,其中所述NO物质为在等离子体中生成的NO自由基。
8.根据权利要求2所述的方法,其中所述F蚀刻剂选自F自由基、NF3、F2、CF4、C2F6、HF和XeF2
9.根据权利要求2所述的方法,其中在所述氮氧化物解吸的同时将所述F蚀刻剂引入所述处理室中。
10.根据权利要求2所述的方法,其中在将氮氧化物从改性的硅氧化物表面解吸之前,将所述F蚀刻剂引入所述处理室中。
11.根据权利要求10所述的方法,其中F蚀刻剂化学吸附在Si-O-N-O表面上,使得在氮氧化物解吸为N-O-F之前,所述改性的氧化物被Si-O-N-O-F键布满,并且活化的氧化物表面由化学吸附的F蚀刻。
12.根据权利要求2所述的方法,其中在氮氧化物以N2O形式从改性的硅氧化物表面解吸之后,将所述F蚀刻剂引入所述处理室中,留下有利于蚀刻的活化的表面,并且使所述F蚀刻剂能蚀刻所述活化的表面。
13.根据权利要求2所述的方法,其中(a)-(d)在介于约0-300℃之间的温度下进行。
14.根据权利要求2所述的方法,其中操作(a)和(b)包括将NO物质连续供应到所述处理室中,以防止氮氧化物从改性的硅氧化物表面解吸。
15.根据权利要求2所述的方法,其中操作(c)和(d)包括停止将NO物质供应到所述处理室中并减少NO物质的供应以使得氮氧化物从改性的二氧化硅表面解吸。
16.根据权利要求2所述的方法,其中操作(c)和(d)包括停止将所述F蚀刻剂供应到所述处理室中。
17.根据权利要求1所述的方法,其中在步骤(d)之后,用惰性气体吹扫所述室。
18.根据权利要求1所述的方法,其还包括:
向所述衬底施加光致抗蚀剂;
使所述光致抗蚀剂暴露于光;
使所述光致抗蚀剂图案化并将所述图案转印至所述衬底;
并且从所述衬底选择性移除所述光致抗蚀剂。
19.一种用于可控地蚀刻衬底上的半导体氧化物的蚀刻装置,所述装置包括:
(a)处理室,所述处理室具有用于引入工艺气体的入口;
(b)在所述处理室内的衬底支撑件,其被构造成用于在蚀刻所述衬底上的硅或锗氧化物期间将所述衬底保持在适当位置;以及
(d)控制器,所述控制器包括用于以下操作的指令:
(i)使容纳在所述处理室中的衬底与过量NO物质接触以通过形成Si/Ge-O-N-O键来使所述衬底上的氧化物表面改性;
(ii)在所述改性的氧化物表面用Si/Ge-O-N-O键布满之后,使所述衬底与F蚀刻剂接触;
(iii)使氮氧化物从所述改性的氧化物表面解吸,同时留下有利于蚀刻的活化的表面;
(iv)使所述F蚀刻剂蚀刻所述活化的氧化物表面。
(v)任选用惰性气体吹扫所述室。
20.根据权利要求19所述的蚀刻装置,其中所述控制器包括用于进行(d)(i)-(v)两次或更多次的指令。
CN201511021815.9A 2015-01-06 2015-12-30 使用no活化的用于硅氧化物的各向同性原子层蚀刻 Active CN105762072B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/590,801 2015-01-06
US14/590,801 US9425041B2 (en) 2015-01-06 2015-01-06 Isotropic atomic layer etch for silicon oxides using no activation

Publications (2)

Publication Number Publication Date
CN105762072A true CN105762072A (zh) 2016-07-13
CN105762072B CN105762072B (zh) 2020-02-11

Family

ID=56286870

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201511021815.9A Active CN105762072B (zh) 2015-01-06 2015-12-30 使用no活化的用于硅氧化物的各向同性原子层蚀刻

Country Status (5)

Country Link
US (2) US9425041B2 (zh)
JP (1) JP2016127285A (zh)
KR (1) KR102538779B1 (zh)
CN (1) CN105762072B (zh)
TW (1) TW201635383A (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107731845A (zh) * 2017-08-31 2018-02-23 长江存储科技有限责任公司 一种利用离子注入增大阶梯区域接触窗口的方法
CN110739204A (zh) * 2018-07-20 2020-01-31 Asm Ip 控股有限公司 用于介电材料的蚀刻的预清洁
CN111524788A (zh) * 2019-02-01 2020-08-11 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN112838003A (zh) * 2019-11-22 2021-05-25 株式会社国际电气 半导体器件的制造方法、衬底处理装置及记录介质

Families Citing this family (297)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
JP6574486B2 (ja) * 2015-02-15 2019-09-11 エーシーエム リサーチ (シャンハイ) インコーポレーテッド 金属層形成方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN113981414A (zh) * 2015-03-20 2022-01-28 应用材料公司 用于3d共形处理的原子层处理腔室
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10229837B2 (en) * 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
KR20170122910A (ko) * 2016-04-27 2017-11-07 성균관대학교산학협력단 원자층 식각방법
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10629473B2 (en) * 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
WO2018081144A1 (en) 2016-10-24 2018-05-03 Kla-Tencor Corporation Process module(s) integrated into a metrology and/or inspection tool
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
JP6763750B2 (ja) * 2016-11-07 2020-09-30 東京エレクトロン株式会社 被処理体を処理する方法
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
WO2018106955A1 (en) 2016-12-09 2018-06-14 Asm Ip Holding B.V. Thermal atomic layer etching processes
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10283319B2 (en) 2016-12-22 2019-05-07 Asm Ip Holding B.V. Atomic layer etching processes
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10224212B2 (en) * 2017-01-27 2019-03-05 Lam Research Corporation Isotropic etching of film with atomic layer control
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
JP6887307B2 (ja) * 2017-05-19 2021-06-16 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
JP6913569B2 (ja) * 2017-08-25 2021-08-04 東京エレクトロン株式会社 被処理体を処理する方法
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10529633B2 (en) * 2017-12-06 2020-01-07 International Business Machines Corporation Method of integrated circuit (IC) chip fabrication
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US10770305B2 (en) * 2018-05-11 2020-09-08 Tokyo Electron Limited Method of atomic layer etching of oxide
WO2019226341A1 (en) 2018-05-25 2019-11-28 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
CN108766869A (zh) * 2018-05-30 2018-11-06 苏州日弈新电子科技有限公司 一种太阳能电池硅片槽式清洗方法
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP7113681B2 (ja) * 2018-06-28 2022-08-05 株式会社日立ハイテク エッチング処理方法およびエッチング処理装置
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
EP3821457A4 (en) 2018-07-09 2022-04-13 Lam Research Corporation ETCHING ATOMIC LAYER ETCHING USING ELECTRON EXCITATION
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
CN112673456A (zh) * 2018-09-10 2021-04-16 朗姆研究公司 使用亚稳的活化自由基物质的原子层处理工艺
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP2020115498A (ja) * 2019-01-17 2020-07-30 東京エレクトロン株式会社 エッチング方法およびエッチング装置
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019201A (ja) 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
US11651969B2 (en) * 2019-07-18 2023-05-16 Kioxia Corporation Etching method, semiconductor manufacturing apparatus, and method of manufacturing semiconductor device
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
KR20240038985A (ko) * 2021-08-10 2024-03-26 가부시끼가이샤 레조낙 에칭 방법 및 반도체 소자의 제조 방법
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5431772A (en) * 1991-05-09 1995-07-11 International Business Machines Corporation Selective silicon nitride plasma etching process
CN102934208A (zh) * 2009-12-15 2013-02-13 休斯敦大学体系 用脉冲等离子体进行的原子层蚀刻

Family Cites Families (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI119941B (fi) 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
JPS5210151B2 (zh) 1972-04-03 1977-03-22
US4313782A (en) 1979-11-14 1982-02-02 Rca Corporation Method of manufacturing submicron channel transistors
US4414069A (en) 1982-06-30 1983-11-08 International Business Machines Corporation Negative ion beam selective etching process
US4695327A (en) 1985-06-13 1987-09-22 Purusar Corporation Surface treatment to remove impurities in microrecesses
FR2617333B1 (fr) 1987-06-25 1990-01-05 Straboni Alain Procede d'elimination de zones de nitrure ou d'oxynitrure de silicium
US4756794A (en) 1987-08-31 1988-07-12 The United States Of America As Represented By The Secretary Of The Navy Atomic layer etching
US5030319A (en) 1988-12-27 1991-07-09 Kabushiki Kaisha Toshiba Method of oxide etching with condensed plasma reaction product
JPH069195B2 (ja) 1989-05-06 1994-02-02 大日本スクリーン製造株式会社 基板の表面処理方法
US5268069A (en) 1991-10-28 1993-12-07 International Business Machines Corporation Safe method for etching silicon dioxide
US5234540A (en) 1992-04-30 1993-08-10 Submicron Systems, Inc. Process for etching oxide films in a sealed photochemical reactor
JP3005373B2 (ja) 1992-10-23 2000-01-31 東京エレクトロン株式会社 処理装置
US5282925A (en) 1992-11-09 1994-02-01 International Business Machines Corporation Device and method for accurate etching and removal of thin film
US5922624A (en) 1993-05-13 1999-07-13 Imec Vzw Method for semiconductor processing using mixtures of HF and carboxylic acid
US5505816A (en) 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
JP3328416B2 (ja) 1994-03-18 2002-09-24 富士通株式会社 半導体装置の製造方法と製造装置
US5439553A (en) 1994-03-30 1995-08-08 Penn State Research Foundation Controlled etching of oxides via gas phase reactions
US5635102A (en) 1994-09-28 1997-06-03 Fsi International Highly selective silicon oxide etching method
US5636320A (en) 1995-05-26 1997-06-03 International Business Machines Corporation Sealed chamber with heating lamps provided within transparent tubes
US5792275A (en) 1995-06-06 1998-08-11 International Business Machines Corporation Film removal by chemical transformation and aerosol clean
JPH09102490A (ja) 1995-07-31 1997-04-15 Toshiba Corp 半導体装置の製造方法及び半導体製造装置
US5880032A (en) 1995-07-31 1999-03-09 Kabushiki Kaisha Toshiba Method and apparatus for manufacturing a semiconductor device
US5685951A (en) 1996-02-15 1997-11-11 Micron Technology, Inc. Methods and etchants for etching oxides of silicon with low selectivity in a vapor phase system
US5963833A (en) 1996-07-03 1999-10-05 Micron Technology, Inc. Method for cleaning semiconductor wafers and
US7052941B2 (en) 2003-06-24 2006-05-30 Sang-Yun Lee Method for making a three-dimensional integrated circuit structure
JPH10154712A (ja) 1996-11-25 1998-06-09 Fujitsu Ltd 半導体装置の製造方法
US5766971A (en) 1996-12-13 1998-06-16 International Business Machines Corporation Oxide strip that improves planarity
US5913140A (en) 1996-12-23 1999-06-15 Lam Research Corporation Method for reduction of plasma charging damage during chemical vapor deposition
US5838055A (en) 1997-05-29 1998-11-17 International Business Machines Corporation Trench sidewall patterned by vapor phase etching
US6074951A (en) 1997-05-29 2000-06-13 International Business Machines Corporation Vapor phase etching of oxide masked by resist or masking material
US5876879A (en) 1997-05-29 1999-03-02 International Business Machines Corporation Oxide layer patterned by vapor phase etching
US6706334B1 (en) 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US5858830A (en) 1997-06-12 1999-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making dual isolation regions for logic and embedded memory devices
US5968279A (en) 1997-06-13 1999-10-19 Mattson Technology, Inc. Method of cleaning wafer substrates
JPH1149461A (ja) 1997-07-29 1999-02-23 Mitsubishi Denki Bill Techno Service Kk エレベータの戸開時間延長制御装置
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
JP3283477B2 (ja) 1997-10-27 2002-05-20 松下電器産業株式会社 ドライエッチング方法および半導体装置の製造方法
US6107192A (en) 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6030881A (en) 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6146970A (en) 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6204198B1 (en) 1998-11-24 2001-03-20 Texas Instruments Incorporated Rapid thermal annealing of doped polycrystalline silicon structures formed in a single-wafer cluster tool
US6740247B1 (en) 1999-02-05 2004-05-25 Massachusetts Institute Of Technology HF vapor phase wafer cleaning and oxide etching
US6265302B1 (en) 1999-07-12 2001-07-24 Chartered Semiconductor Manufacturing Ltd. Partially recessed shallow trench isolation method for fabricating borderless contacts
US6346489B1 (en) 1999-09-02 2002-02-12 Applied Materials, Inc. Precleaning process for metal plug that minimizes damage to low-κ dielectric
US20010016226A1 (en) 1999-12-15 2001-08-23 International Business Machines Corporation Method for preparing the surface of a dielectric
US6335261B1 (en) 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
US6483154B1 (en) * 2000-10-05 2002-11-19 Advanced Micro Devices, Inc. Nitrogen oxide plasma treatment for reduced nickel silicide bridging
US6573181B1 (en) 2000-10-26 2003-06-03 Applied Materials, Inc. Method of forming contact structures using nitrogen trifluoride preclean etch process and a titanium chemical vapor deposition step
US6926843B2 (en) 2000-11-30 2005-08-09 International Business Machines Corporation Etching of hard masks
US6652713B2 (en) 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
US7513971B2 (en) 2002-03-18 2009-04-07 Applied Materials, Inc. Flat style coil for improved precision etch uniformity
TWI278532B (en) 2002-06-23 2007-04-11 Asml Us Inc Method for energy-assisted atomic layer deposition and removal
US6803309B2 (en) 2002-07-03 2004-10-12 Taiwan Semiconductor Manufacturing Co., Ltd Method for depositing an adhesion/barrier layer to improve adhesion and contact resistance
US6817776B2 (en) 2002-11-19 2004-11-16 International Business Machines Corporation Method of bonding optical fibers and optical fiber assembly
US6774000B2 (en) 2002-11-20 2004-08-10 International Business Machines Corporation Method of manufacture of MOSFET device with in-situ doped, raised source and drain structures
US6858532B2 (en) 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
US6992011B2 (en) 2003-01-15 2006-01-31 Tokyo Electron Limited Method and apparatus for removing material from chamber and wafer surfaces by high temperature hydrogen-containing plasma
WO2004073850A1 (en) 2003-02-14 2004-09-02 Tokyo Electron Limited Gas feeding apparatus
US6951821B2 (en) 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US7029536B2 (en) 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US7214274B2 (en) 2003-03-17 2007-05-08 Tokyo Electron Limited Method and apparatus for thermally insulating adjacent temperature controlled processing chambers
US7079760B2 (en) 2003-03-17 2006-07-18 Tokyo Electron Limited Processing system and method for thermally treating a substrate
US6790733B1 (en) 2003-03-28 2004-09-14 International Business Machines Corporation Preserving TEOS hard mask using COR for raised source-drain including removable/disposable spacer
KR100498494B1 (ko) 2003-04-08 2005-07-01 삼성전자주식회사 회전 이동 방식의 원격 플라즈마 강화 세정 장치
US6882025B2 (en) 2003-04-25 2005-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Strained-channel transistor and methods of manufacture
US6693050B1 (en) 2003-05-06 2004-02-17 Applied Materials Inc. Gapfill process using a combination of spin-on-glass deposition and chemical vapor deposition techniques
US6716691B1 (en) 2003-06-25 2004-04-06 Sharp Laboratories Of America, Inc. Self-aligned shallow trench isolation process having improved polysilicon gate thickness control
KR100512939B1 (ko) 2003-07-10 2005-09-07 삼성전자주식회사 트렌치 소자분리 방법
KR100583637B1 (ko) 2003-08-19 2006-05-26 삼성전자주식회사 반도체 소자의 텅스텐 콘택 형성 방법 및 텅스텐 콘택형성 장비
US20050070120A1 (en) 2003-08-28 2005-03-31 International Sematech Methods and devices for an insulated dielectric interface between high-k material and silicon
US6967167B2 (en) 2003-09-30 2005-11-22 International Business Machines Corporation Silicon dioxide removing method
US7205233B2 (en) 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
US20050218113A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for adjusting a chemical oxide removal process using partial pressure
JP2005166700A (ja) 2003-11-28 2005-06-23 Toshiba Corp 半導体装置及びその製造方法
US6949481B1 (en) 2003-12-09 2005-09-27 Fasl, Llc Process for fabrication of spacer layer with reduced hydrogen content in semiconductor device
US7098116B2 (en) 2004-01-08 2006-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Shallow trench isolation method for reducing oxide thickness variations at different pattern densities
US6852584B1 (en) 2004-01-14 2005-02-08 Tokyo Electron Limited Method of trimming a gate electrode structure
US20060051966A1 (en) 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
KR20050110751A (ko) 2004-05-19 2005-11-23 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 형성 방법
KR100599437B1 (ko) 2004-06-30 2006-07-12 주식회사 하이닉스반도체 반도체소자의 소자분리 방법
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
WO2008088300A2 (en) 2005-03-08 2008-07-24 Primaxx, Inc. Selective etching of oxides from substrates
JP2006261451A (ja) 2005-03-17 2006-09-28 Sony Corp エッチング方法
EP1881525A4 (en) 2005-04-28 2011-05-11 Canon Anelva Corp SCRAPPING METHOD, METHOD FOR PRODUCING DIELECTRIC DIELECTRIC FILM WITH LOW DIELECTRIC CONSTANT, METHOD FOR PRODUCING POROUS MEMBER, SCALE SYSTEM, AND FINE FILM FORMING EQUIPMENT
KR100746223B1 (ko) 2005-09-09 2007-08-03 삼성전자주식회사 반도체소자의 트렌치 소자분리 방법
US20070063277A1 (en) 2005-09-22 2007-03-22 International Business Machines Corporation Multiple low and high k gate oxides on single gate for lower miller capacitance and improved drive current
US7435661B2 (en) 2006-01-27 2008-10-14 Atmel Corporation Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation
JP2007258266A (ja) 2006-03-20 2007-10-04 Fujitsu Ltd 半導体装置の製造方法
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
JP2008210909A (ja) 2007-02-26 2008-09-11 Toshiba Corp 半導体装置の製造方法
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
US20080233709A1 (en) 2007-03-22 2008-09-25 Infineon Technologies North America Corp. Method for removing material from a semiconductor
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
CN103117216B (zh) 2011-11-17 2015-08-05 中芯国际集成电路制造(上海)有限公司 避免浅沟槽隔离结构产生缺角的半导体器件的制作方法
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5431772A (en) * 1991-05-09 1995-07-11 International Business Machines Corporation Selective silicon nitride plasma etching process
CN102934208A (zh) * 2009-12-15 2013-02-13 休斯敦大学体系 用脉冲等离子体进行的原子层蚀刻

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
YUN YB等: ""Large Etch Rate Enhancement by NO-Induced Surface Chemical Reaction during Chemical Dry Etching of Silicon Oxide in F2 Remote Plasmas"", 《JOURNAL OF THE ELECTROCHEMICAL SOCIETY》 *

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107731845A (zh) * 2017-08-31 2018-02-23 长江存储科技有限责任公司 一种利用离子注入增大阶梯区域接触窗口的方法
CN107731845B (zh) * 2017-08-31 2020-09-11 长江存储科技有限责任公司 一种利用离子注入增大阶梯区域接触窗口的方法
CN110739204A (zh) * 2018-07-20 2020-01-31 Asm Ip 控股有限公司 用于介电材料的蚀刻的预清洁
CN110739204B (zh) * 2018-07-20 2023-08-29 Asm Ip 控股有限公司 用于介电材料的蚀刻的预清洁
CN111524788A (zh) * 2019-02-01 2020-08-11 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111524788B (zh) * 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN112838003A (zh) * 2019-11-22 2021-05-25 株式会社国际电气 半导体器件的制造方法、衬底处理装置及记录介质

Also Published As

Publication number Publication date
KR102538779B1 (ko) 2023-05-31
US10679868B2 (en) 2020-06-09
US9425041B2 (en) 2016-08-23
US20160329221A1 (en) 2016-11-10
CN105762072B (zh) 2020-02-11
TW201635383A (zh) 2016-10-01
US20160196969A1 (en) 2016-07-07
KR20160084812A (ko) 2016-07-14
JP2016127285A (ja) 2016-07-11

Similar Documents

Publication Publication Date Title
CN105762072A (zh) 使用no活化的用于硅氧化物的各向同性原子层蚀刻
CN105762060B (zh) 氧化硅和氧化锗的各向同性原子层蚀刻
CN105762073B (zh) 用于各向异性钨蚀刻的方法和装置
CN111247269B (zh) 介电膜的几何选择性沉积
US9911620B2 (en) Method for achieving ultra-high selectivity while etching silicon nitride
CN104641455B (zh) 自由基成分的氧化物蚀刻
CN105719949A (zh) 选择性氮化物蚀刻
CN105556643A (zh) 用于利用循环蚀刻工艺对蚀刻停止层进行蚀刻的方法
TW201704517A (zh) 藉由原子層沉積及原子層蝕刻的保形膜之沉積
CN105390389A (zh) 高深宽比结构中的触点清洁
JP6735408B2 (ja) 酸ハロゲン化物を用いた原子層エッチング
CN107017162B (zh) 具有高产量的超高选择比的多晶硅蚀刻
CN109417029B (zh) 对被处理体进行处理的方法
KR20230103852A (ko) 기판 처리 방법 및 기판 처리 장치
CN114078699A (zh) 蚀刻方法和等离子体蚀刻装置
CN117936406A (zh) 一种刻蚀装置及刻蚀方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant