CN105390389A - 高深宽比结构中的触点清洁 - Google Patents

高深宽比结构中的触点清洁 Download PDF

Info

Publication number
CN105390389A
CN105390389A CN201510543852.XA CN201510543852A CN105390389A CN 105390389 A CN105390389 A CN 105390389A CN 201510543852 A CN201510543852 A CN 201510543852A CN 105390389 A CN105390389 A CN 105390389A
Authority
CN
China
Prior art keywords
plasma
substrate
width ratio
silicon
etchant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201510543852.XA
Other languages
English (en)
Other versions
CN105390389B (zh
Inventor
巴渝·西德约伊斯沃罗
海伦·朱
琳达·马克斯
朴�俊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN105390389A publication Critical patent/CN105390389A/zh
Application granted granted Critical
Publication of CN105390389B publication Critical patent/CN105390389B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Public Health (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

本发明涉及高深宽比结构中的触点清洁。公开了清洁具有多个高深宽比开口的衬底的方法和装置。可以将衬底提供到等离子体处理室中,其中衬底包括多个高深宽比开口,多个高深宽比开口由具有交替的氧化物层和氮化物层或交替的氧化物层和多晶硅层的多个垂直结构限定。衬底可以包括在高深宽比开口内的在受损的或非晶硅层上的氧化硅层。为了去除氧化硅层,可以在低压下向等离子体室内施加偏置功率,并且基于氟的物质可用于蚀刻氧化硅层。为了去除下伏的受损的或非晶硅层,可以在等离子体处理室中施加源功率和偏置功率,并且基于氢的物质可用于蚀刻受损的或非晶硅层。

Description

高深宽比结构中的触点清洁
相关申请的交叉引用
本申请要求于2014年12月19日提交的名称为“CONTACTCLEANINHIGH-ASPECTRATIOSTRUCTURES,”的美国专利申请No.14/577977的优先权的权益,该美国申请要求于2014年8月29日提交的名称为“CONTACTCLEANINHIGH-ASPECTRATIOSTRUCTURES,”的美国临时申请No.62/044117的优先权的权益,这些申请中的每一个通过引用将其整体并入本文并用于所有目的。
技术领域
本公开总体上涉及清洁在衬底的高深宽比开口中的触点和狭缝,并且更具体地涉及干法蚀刻在高深宽比开口中的氧化层、氧化硅、受损的硅和其它污染物,该高深宽比开口由具有交替的氧化物层和氮化物层或氧化物层和多晶硅层的结构限定。
背景技术
在半导体器件和集成电路的制造中,基于等离子体的蚀刻可能是重要的处理步骤。然而,基于等离子体的蚀刻会导致污染物,该污染物包括氧化物和受损的或非晶硅。材料(包括含有硅和金属的半导体衬底)的表面上氧化物和受损的硅的存在会不利地影响随后的制造过程,从而影响半导体器件的性能。特别是,当氧化物和其它污染物在硅上形成时,所述氧化物或其它污染物可能是电绝缘的,并且对半导体器件或集成电路的触点的电通路会是有害的。
存储器设备(例如3维垂直NAND(V-NAND)存储器设备)可包括具有在硅衬底上的交替的氧化物层和氮化物层(ONON)的垂直结构。在一些实施方案中,设备可具有交替的氧化物层和多晶硅层(OPOP)。高深宽比开口可以在垂直结构中的每个之间形成。高深宽比开口随后可以用作在存储器设备的电触点。如本文所用,高深宽比开口也可被称为高深宽比触点。在一些实施方案中,高深宽比开口可以呈现孔形、狭缝形、或沟槽形。高深宽比开口可以使用基于等离子体的蚀刻来形成。基于等离子体的蚀刻可以使用显著浓度的氧气和高电离能,这可导致在高深宽比开口的底部形成氧化物(例如,氧化硅)、受损的硅以及其它污染物(例如,碳)。开口可以用金属填充或基本上用金属填充以创建在存储器设备的电触点。然而,在高深宽比开口内形成电触点时,不希望有的氧化物、受损的硅和其它污染物的存在对于存储器设备的性能可能是有害的。
发明内容
本发明涉及清洁具有多个高深宽比开口的衬底的方法。该方法包括:提供具有多个高深宽比开口的衬底到等离子体处理室中,所述开口中的每一个都具有大于约10:1的高度比横向尺寸的深宽比。该方法还包括:使包括基于氟的物质的第一蚀刻剂朝向所述衬底流动;以及施加第一偏置功率到所述等离子体处理室,以产生所述基于氟的物质的等离子体,从而去除高深宽比开口中的氧化硅。该方法还包括:使包括基于氢的物质的第二蚀刻剂朝向所述衬底流动;以及施加源功率和第二偏置功率到所述等离子体处理室,以产生所述基于氢的物质的等离子体,从而去除所述高深宽比开口中的硅。
在一些实施方案中,所述第二蚀刻剂仅包括氢。在一些实施方案中,所述第二蚀刻剂包括氢和三氟化氮,所述氢的浓度大于所述三氟化氮的浓度。在一些实施方案中,所述第一蚀刻剂仅包括三氟化氮。在一些实施方案中,所述衬底包括限定所述高深宽比开口中的每一个开口的多个垂直结构,所述垂直结构中的每一个包括交替的氧化物层和氮化物层。在一些实施方案中,用以去除所述硅的所述源功率和第二偏置功率之间的比为约等于或大于约2:1。
本发明还涉及一种清洁具有多个高深宽比开口的衬底的装置。所述装置包括等离子体处理室,所述等离子体处理室包括:远程等离子体源;和用于支撑具有多个高深宽比开口的衬底的衬底支撑件,所述开口中的每一个都具有大于约10:1的高度比横向尺寸的深宽比,并且所述衬底具有在所述高深宽比开口内的在硅层上的氧化硅层。所述装置还包括控制器,该控制器被构造成提供用于执行以下操作的指令:(a)使包括基于氟的物质的第一蚀刻剂朝向所述衬底流动;(b)施加第一偏置功率到所述等离子体处理室内的所述衬底支撑件,以产生所述基于氟的物质的等离子体,从而去除所述氧化硅层;(c)使包括基于氢的物质的第二蚀刻剂朝向所述衬底流动;以及(d)施加源功率到所述远程等离子体源以及施加第二偏置功率到所述等离子体处理室内的所述衬底支撑件,以产生所述基于氢的物质的等离子体,从而去除所述硅层。
在一些实施方案中,所述第二蚀刻剂仅包括氢。在一些实施方案中,所述第二蚀刻剂包括氢和三氟化氮,其中氢的浓度大于三氟化氮的浓度。在一些实施方案中,所述第一蚀刻剂仅包含三氟化氮。在一些实施方案中,所述衬底包括限定所述高深宽比开口中的每一个的多个垂直结构,所述垂直结构中的每一个包括交替的氧化物层和氮化物层。在一些实施方案中,用以去除所述硅层的所述源功率和第二偏置功率之间的比为约等于或大于约2:1。
本发明还涉及一种清洁具有多个高深宽比开口的衬底的方法。该方法包括:提供具有多个高深宽比开口的衬底到等离子体处理室中,所述开口中的每一个都具有大于约10:1的高度比横向尺寸的深宽比。该方法还包括:使包括基于氟的物质或基于氢的物质的第一蚀刻剂朝向所述衬底流动;以及施加第一偏置功率到所述等离子体处理室,以产生所述第一蚀刻剂的等离子体,从而去除所述高深宽比开口中的氧化硅。该方法还包括:使包括基于氢的物质的第二蚀刻剂朝向所述衬底流动;以及施加源功率和第二偏置功率到所述等离子体处理室,以产生所述第二蚀刻剂的等离子体,从而去除所述高深宽比开口中的硅。在一些实施方案中,所述第一蚀刻剂包括基于氢的物质,且所去除的所述氧化硅包括去除本征的氧化硅。
附图说明
图1根据一些实施方案示出了包括等离子体处理室的实施例的示意图,等离子体处理室被配备来提供源功率和偏置功率。
图2根据一些实施方案示出了下游等离子体装置的实施例的示意图。
图3根据一些实施方案示出了多站式处理工具的实施例的示意图。
图4A示出了器件结构的一个实施例的横截面示意图,该器件结构具有由多个垂直结构限定的多个高深宽比开口。
图4B示出图4A中的横截面示意图的放大图,其示出了在高深宽比开口的其中之一中的硅层上方的氧化物层。
图5示出了一种清洁具有多个高深宽比开口的衬底的方法的示范性流程图。
图6A-6C示出了图解纯H2等离子体的多晶硅蚀刻速率与温度、压强以及源功率的函数关系的曲线图。
图7A-7B示出了图解H2/NF3等离子体的多晶硅蚀刻速率与温度以及压强的函数关系的曲线图。
图8示出了具有交替的氧化硅层和氮化硅层的垂直结构的TEM图像。
图9A示出了具有硅层上方的氧化物层的高深宽比开口的TEM图像。
图9B示出了氧化物层和硅层被去除的高深宽比开口的TEM图像。
图10A-10C示出了在硅层去除期间,针对不同的源功率:偏置功率比,具有不同的蚀刻轮廓的高深宽比开口的TEM图像。
图11示出了图解当使用源功率和偏压功率两者时,多晶硅蚀刻速率与温度的函数关系的曲线图。
图12A-12B示出了在硅层去除期间,针对不同的温度,具有不同的蚀刻轮廓的高深宽比开口的TEM图像。
具体实施方式
引言
在以下描述中,阐述了许多具体细节以便提供对本发明的透彻理解。然而,本发明的构思可以在没有这些具体细节中的一些或全部的情况下实施。在其它情况下,未详细描述公知的工艺以免不必要地使本发明的构思难以理解。尽管将会结合具体的详细实施方式描述一些构思,但是应当理解,这些实施方式并不旨在进行限制。
清洁高深宽比触点的常规方法可能是高度复杂和昂贵的。一种这样的常规方法可能涉及湿法蚀刻工艺。然而,使用湿蚀刻剂去除触点底部的氧化物可以是昂贵的,可能会造成严重的安全问题,有可能无法相对于其它材料获得高的选择性,可能会导致额外暴露于环境条件而使本征氧化物再生长,并且对于涉及高深宽比特征的设备可能是有问题的。
例如,对于具有高深宽比特征的设备的湿法方法可以涉及一系列的湿法蚀刻工艺。高深宽比特征可能包括形成在触点底部的具有氧化物和受损的硅的高深宽比触点,高深宽比触点在ONON叠层的垂直结构之间。触点底部的氧化物可以首先用稀HF溶液去除,接着通过另一个湿法蚀刻步骤来去除受损的硅。然而,由于湿法蚀刻工艺缺乏方向性,因此稀释HF的暴露自然损害了通过横向凹进到氧化物层中的ONON叠层的氧化物侧壁。这个步骤是有问题的,因为它沿ONON叠层的侧壁形成“波状起伏”。由于这种波状起伏,衬底会经受另一湿法蚀刻步骤,该湿法蚀刻步骤使ONON叠层的氮化物层横向凹进,以试图拉直ONON侧壁。氧化物和氮化物修整步骤可能要重复多次,以完全拉直ONON侧壁。该系列的湿法蚀刻步骤可能是复杂的、耗时的和昂贵的。
一种替代湿法蚀刻工艺的方案可以是干法蚀刻工艺。干法蚀刻工艺可以包括基于等离子体的方法来去除氧化物,并去除在触点底部的受损/非晶硅。一种基于等离子体的方法可以使用基于氟的蚀刻剂,如三氟化氮(NF3)、氨和三氟化氮的混合物(NH3/NF3)、或氢和三氟化氮的混合物(H2/NF3)。但是,干法蚀刻工艺会面临不同的挑战,其中的一些描述如下。
触点的高深宽比的性质可能会对等离子体中产生的到达触点底部的活性物质造成耐迁移性的问题。根据活性物质的大小的不同,接近触点的底部,活性物质的浓度更可能耗尽。其结果是,在触点底部的氧化物、受损/非晶硅和其它污染物的去除效率可能会更低,并且完全从触点底部去除它们可能是极具挑战性的。
基于等离子体的方法可使用基于氟的蚀刻剂以去除在高深宽比开口的底部的氧化物和受损/非晶硅。通常情况下,基于氟的蚀刻剂有效地去除氧化硅。然而,由于基于氟的蚀刻剂缺乏选择性,因此它可以容易地与ONON叠层中的氧化硅层和氮化硅层反应。这意味着,当氧化硅在触点底部被去除时,基于氟的蚀刻剂造成损坏ONON侧壁的高风险。基于氟的蚀刻剂可以以不同的蚀刻速率攻击ONON叠层中的硅氧化物层和硅氮化物层,从而导致沿ONON侧壁的波状起伏。此外,基于氟的蚀刻剂在进一步向下穿过所述高深宽比开口时,其浓度可能会降低。这意味着,基于氟的蚀刻剂在靠近开口的顶部比在开口的底部耗尽更快,这意味着作为进入高深宽比开口的深度的函数的横向凹进氧化物层和氮化物层的量会减少。这种现象在ONON侧壁产生锥形,这是不希望有的。此外,基于氟的蚀刻剂在开口底部的低浓度意味着工艺必须运行相对长的时间段来清洁触点底部。较长时间会进一步提高破坏ONON侧壁的可能性。目前可用的干法蚀刻剂可以依赖于某些化学物质(NH3/NF3和H2/NF3)和产生六氟硅酸铵((NH4)2SiF6)的盐副产物,以便去除在触点底部的氧化物。然而,如果副产物未完全去除,那么将残余物遗留在高深宽比触点内和周围会损害器件的性能。
方案
本公开描述清洁高深宽比触点的方法。该方法包括氧化物击穿步骤和受损/非晶硅去除步骤。氧化物击穿步骤可使用基于氟的物质,例如NF3等离子体,其中仅使用偏置功率产生等离子体。在一些实施方案中,其中氧化物击穿步骤包括去除本征氧化硅,等离子体可包括纯H2等离子体。在一些实施方案中,等离子体是在低压条件下。偏置功率和低压提供等离子体的方向性,使得高深宽比触点的侧壁不被损坏。受损/非晶硅去除步骤使用基于氢的物质,等离子体诸如纯H2等离子体或H2/NF3等离子体,其中使用源功率和偏置功率两者产生等离子体。纯H2等离子体或H2/NF3等离子体对于暴露的氮化物和氧化物材料具有高选择性,使得对具有暴露的氮化物和氧化物的侧壁的任何损坏是可以忽略不计的。在一些实施方案中,等离子体对于暴露的氧化物和多晶硅材料会是选择性的。无论是采用NF3的高度定向性的氧化物击穿步骤,还是使用H2或H2/NF3的高度选择性的硅去除步骤都不产生不良的盐副产品。
装置
用于执行前述接触清洁的装置可包括等离子体处理室。例如半导体晶片之类的衬底可以定位在等离子体处理室中的基座上。在一些实施方案中,基座可以是静电卡盘(ESC)。用于输送气体进入等离子体处理室的喷头被定位在基座或ESC上方,其中该气体可以用于处理衬底。该气体可以被暴露到一个或多个等离子体源来产生用于处理衬底的等离子体。等离子体处理室可以连接到源功率和偏置功率,用于产生待被传递到衬底上的等离子体。
图1根据一些实施方案示出了包括等离子体处理室的实施例的示意图,等离子体处理室连接到源功率和偏置功率。装置100包括处理室118、系统控制器122和远程等离子体源106。处理室118包括用于支撑衬底124的基座120、喷头114以及下文所述的其他组件。在图1所示的实例中,装置100还包括RF功率源116。
处理气体102,如氢(H2)和三氟化氮(NF3),可以被提供给远程等离子体源106。其他气体可以包括但不限于氦(He)、氩(Ar)、氮(N2)、四氟化碳(CF4)和氨(NH3)。处理气体102可以从含有一种或多种试剂的混合物贮罐供给。处理气体102可通过连接线108流入处理室118,其中处理气体102通过喷头114分布以处理基座120上的衬底124。处理气体102可暴露于远程等离子体源106以产生等离子体,包括自由基、离子、和处理气体102的其它活性物质。自由基、离子、和处理气体102的其它活性物质可以通过喷头114分布以处理基座120上的衬底124。
其他工艺气体或载气112可以被提供给混合容器110。混合容器110可以提供用于输送到喷头114的混合和调节处理气体或载气112。一个或多个阀可控制工艺气体或载气112向混合容器110的引入。喷头114朝向衬底124分配工艺气体或载气112。应当理解的是,喷头114可具有任何合适的形状,并且可以具有任何合适数量和布置的端口以分配工艺气体或载气112至衬底124。在某些实施方式中,喷头114被配置为在不同的温度传送两种或更多的气体。这样的喷头的实例在2013年7月3日提交的、名称为“MULTI-PLENUM,DUAL-TEMPERATURESHOWERHEAD,”的美国专利申请No.13/934597中进一步讨论,在此通过引用将其整体并入本文。
处理室118可以连接到传感器128或包括传感器128,以感测各种材料及其代表的浓度、压力、温度和其它工艺参数,并且提供有关处理期间的条件的信息到系统控制器122。处理期间可以监控的室内传感器的实例包括位于基座120的质量流量控制器、压力传感器(例如压力计)、和热电偶。传感器128也可以包括红外检测器或光学检测器来监测气体在处理室118的存在。挥发性副产物和其它多余的气体可通过出口126从处理室118去除,出口126可以包括真空泵和阀。
在一些实施方案中,基座120可以被升高或降低以便将衬底124定位成更远离或更接近喷头114。基座120可以是机械或流体地联接到旋转单元和/或升降单元以提供相对于喷头114的旋转和/或高度调节。在一些实施方案中,基座120可以主动冷却或主动加热以控制衬底124的温度。在一个实例中,基座120的温度可以通过加热器控制。在另一实例中,基座120的温度可通过循环穿过在基座120内的流体通道的传热流体进行控制。
等离子体可以通过使用两个电容耦合板施加RF场到气体或气体混合物来产生。在一些实施方案中,基座120可用作电极中的一个。气体可以是通过喷头114供给的工艺气体112。电离板之间由RF场进行的气体的电离点燃等离子体,从而在两个电容耦合板之间的等离子体放电区域产生自由电子。这些电子可以由RF场加速并与气相反应物分子碰撞。这些电子与反应物分子的碰撞可形成参与衬底处理的活性物质。在一些实施方案中,等离子体放电区域可以直接在衬底124的表面上方形成。喷头114可与射频功率源116电连通,并且可以与基座120耦合,以形成等离子体放电区域。
如图1的实例所示,喷头114和基座120电连通RF功率源116和匹配网络116以激励等离子体。等离子体可以是喷头114和基座120之间的原位等离子体。在一些实施方案中,等离子体的能量可通过控制室的压强、气体的浓度、RF偏置功率、RF偏置频率以及等离子体功率脉冲时序中的一个或多个来控制。例如,RF功率源116和匹配网络可在任何合适的功率下进行操作,以形成具有所期望的活性物质的组分的等离子体。RF功率源116可以提供任何适当频率的RF功率。在一些实施方式中,RF功率源116可以被配置为控制彼此独立的高频RF功率源和低频RF功率源。示例性的低频RF频率可以包括,但不限于,介于50kHz和500kHz之间的频率。示例性的高频RF频率可以包括,但不限于,介于1.8MHz和2.45GHz之间的频率。应当理解,任何合适的参数可被离散地或连续地调制以提供用于在衬底124的表面反应的等离子体能量。可以控制等离子体功率以增加或减少对衬底124的表面的离子轰击。
在一些实施方式中,等离子体可由一个或多个等离子体监控器原位监控。在一种情形中,等离子体功率可通过一个或一个以上的电压、电流传感器(例如,VI探针)进行监控。在另一种情况下,等离子体密度和/或工艺气体的浓度可以由一个或多个光发射谱(OES)传感器来测量。在一些实施方式中,一个或多个等离子体参数可基于来自这样的原位等离子体监控器的测量结果通过编程方式进行调节。例如,OES传感器可用于反馈回路中以提供对等离子体功率的编程式控制。应理解的是,在一些实施方式中,可使用其它监控器来监控等离子体和其他工艺特性。这样的监控器可包括,但不限于,红外(IR)监控器、声学监控器、以及压强传感器。
在一些实施方式中,可以经由输入/输出控制(IOC)测序指令来控制等离子体。在一个示例中,用于设置等离子体激活阶段的等离子体条件的指令可被包括在工艺配方的相应的等离子体激活配方阶段中。在某些情况下,工艺配方阶段可按顺序排列,使得用于工艺阶段的所有指令与该工艺阶段同时执行。在一些实施方式中,用于设定一个或一个以上的等离子体参数的指令可以被包括在等离子体工艺阶段之前的配方阶段中。例如,第一配方阶段可以包括用于设置惰性气体和/或反应气体的流率的指令、用于设置等离子体发生器至功率设定点的指令、以及用于第一配方阶段的时延指令。后续的第二配方阶段可包括用于启用等离子体发生器的指令以及用于第二配方阶段的时延指令。第三配方阶段可以包括用于禁用等离子体发生器的指令以及用于第三配方阶段的时延指令。应当理解,这些配方阶段可进一步以在本公开的范围内的任何合适的方式细分和/或重复。
装置100可以包括用于控制各种工艺条件的系统控制器或控制器122。控制器122典型地将包括一个或多个存储器设备和一个或多个处理器。所述处理器可以包括CPU或计算机、模拟和/或数字输入/输出连接、步进电机控制器板等。通常会有与系统控制器122关联上的用户界面。用户界面可以包括显示屏、装置和/或加工条件的图像软件显示器、以及用户输入设备(例如,指向设备、键盘、触屏、麦克风等)。
在一些实施方案中,控制器122是系统的一部分,该系统可以是上述实例的一部分。这种系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种元件或子部件。根据处理要求和/或系统的类型,控制器122可以被编程以控制本文公开的任何工艺,包括控制工艺气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、RF发生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的装载锁。
宽泛地讲,控制器122可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)通信到控制器122、定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定工艺的操作参数的指令。在一些实施方式中,操作参数可以是由工艺工程师定义的用于在制备晶片的一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个加工步骤的配方(recipe)的一部分。
在一些实施方案中,控制器122可以是计算机的一部分或者与该计算机耦接,该计算机与系统集成、耦接到系统、或者通过网络连接到系统、或这些的组合。例如,控制器122可以在“云端”或者fab主机系统的全部或一部分,它们可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机通信到系统。在一些实例中,控制器122接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,控制器122被配置成连接或控制该工具类型。因此,如上所述,控制器122可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实例可以是与结合以控制室内工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室内的一个或多个集成电路。
在并非限制的情况下,示例的系统可以包括等离子体蚀刻室或模块、沉积室或模块、旋转清洁室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其他的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器122可以与一个或多个其他的工具电路或模块、其他工具组件、组合工具、其他工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者将晶片的容器搬运到半导体制造工厂中的工具位置和/或装载口以及从工具位置或装载口搬运晶片的容器的在材料搬运中使用的工具。
控制器122可以被配置为控制所述偏置功率和源功率以在等离子体处理室118内产生等离子体。装置100可以提供活性物质到等离子体处理室118,通过使用RF功率源116和匹配网络施加偏置功率,以在衬底124的表面上方产生原位等离子体。装置还可以提供活性物质到等离子体处理室118中,通过使用远程等离子体源106施加至少源功率,以产生远程等离子体。在一些实施方案中,源功率可以结合偏置功率施加来产生用于触点清洁的等离子体。处理气体102可暴露于远程等离子体源106,以产生处理气体102的自由基。等离子体可进一步包括离子和其它活性物质。自由基、离子和其它活性物质可以以气相被运载通过喷头114朝向衬底124。线圈(未示出)可以围绕远程等离子体源106的壁并在远程等离子体源106中产生远程等离子体。在一些实施方式中,线圈可以与RF功率源或微波功率源电连通。远程等离子体源106的实例可以包括由LamResearchCorporation(Fremont,CA)提供的2100,2130I2CP(InterlacedInductivelyCoupledPlasma(交错电感耦合等离子体)),G400,GxT,以及SIERRA。另一个实例可以在由马萨诸塞州威明顿的MKSInstruments制造的中找到。
在一些实施方式中,在图1中的远程等离子体源106也可以是下游等离子体装置的一部分。图2根据一些实施方案示出了下游等离子体装置的一个实例的示意图。下游等离子体装置200具有通过喷头组件206分离的等离子体源室202和暴露室204。喷头组件206包括喷头208。在暴露室204内,衬底212搁置在压盘、基座、台阶、ESC、或衬底支撑件214上。在一些实施方案中,喷头208面对衬底支撑件214的表面距离在衬底支撑件214上的衬底212的表面为约0.1至2.0英寸。衬底212的厚度一般少于约1毫米,因此在衬底212直接放置在衬底支撑件214上时,喷头208的表面和衬底212的表面之间的距离通常可以认为是喷头208的表面和衬底支撑件214之间的距离。如果衬底212搁置在衬底支撑件214上的支撑结构(例如,升降销或气流垫(blanket))上,则喷头208的表面和衬底212的表面之间的距离通常可以认为是喷头208的表面和支撑结构之间的距离。
在一些实施方案中,RF功率源可经配置以施加RF功率至衬底支撑件214,以提供偏置源。在一些实施方案中,RF功率源可以是低频功率源,并且在一些实施方案中,所述RF功率源可以是高频功率源。在进一步的实施方案中,RF功率源包括低频功率源和高频功率源两者。
在一些实施方案中,低压可以经由真空泵(未示出)通过导管218在暴露室204内获得。在一些实施方案中,在暴露室204中的压强可以是约200毫托(mTorr)至约3500毫托,在一些进一步的实施方案中,可以是约5毫托至200毫托以上。
气体源提供气体流经由进口220进入到下游等离子体装置200的等离子体源室202中。等离子体源室202是由感应线圈222部分地包围,感应线圈222进而连接到功率源224。可使用等离子体源室202和感应线圈222的不同配置和几何结构。例如,感应线圈222可以以交织模式环绕在等离子体源室202周围。在另一个实例中,等离子体源室202可成形为圆顶形而不是圆柱体形。控制器226,如前文较早描述的系统控制器,可以被连接到功率源224。控制器226可连接到下游等离子体装置200的其他部件以控制例如气体组成、压强以及衬底支撑件214的温度。机器可读介质可以耦合到控制器226,并包含控制用于在下游等离子体装置200中操作的工艺条件的指令。
根据本公开内容可以使用不同类型的等离子体源,包括使用RF、DC、和基于微波的等离子体源。在一些实施方案中,使用下游RF等离子体源。典型地,用于300毫米衬底的RF等离子体功率可以在约300瓦至约10千瓦之间的范围。在某些实施方案中,RF等离子体功率在约2000瓦和约5000瓦之间,例如约3500瓦。
在一些实施方案中,等离子体源室202可以是由各种材料制成的圆顶,各种材料包括石英、陶瓷、或氮化铝(AlN)材料。在石英圆顶内的如多晶硅之类的蚀刻材料可能导致氧化硅的形成。然而,在陶瓷圆顶内蚀刻多晶硅可以使氧化硅的形成最小化。圆顶表面也可以涂覆有如氧化钇或氟化钇之类的膜以减少或者说防止圆顶材料的降解。
在操作期间,将气体混合物引入到等离子体源室202,用功率源224激励感应线圈222以产生等离子体。换句话说,感应线圈222在等离子体源室202内产生感应耦合等离子体。引入等离子体源室202的气体混合物包含将被离子化和自由基化以形成等离子体的化学活性物质。喷头208包括多个孔或通道,来自等离子体的等离子体物质可通过这些孔或通道并进入暴露室204。在一些实施方式中,被施加电压的喷头208终止来自等离子体的离子流,并且使得自由基和其它中性物质能从等离子体流到暴露室204。喷头208可以接地或具有被施加的电压(例如,0-1000瓦的偏置)以吸引部分活性物质而不影响中性物质流到晶片。等离子体中的许多电活性物质在该喷头208复合。如前文较早所述的,衬底支撑件214可以具有给它施加的偏置。喷头208可以是金属板,其具有孔以将等离子体和惰性气体混合物引导进入暴露室204中。可以设置喷头孔的数量和布置以优化蚀刻操作。进入暴露室204的等离子体物质可以从衬底212去除材料。
远程等离子体源的其它实例在2011年12月27日公布的、名称为“REMOTEPLASMAPROCESSINGOFINTERFACESURFACES,”的美国专利No.8,084,339,以及2014年10月21日公布的、名称为“PLASMAGENERATORAPPARATUS,”的美国专利No.8,864,935中会被描述,其全部内容通过引用并入本文并用于所有目的。
图1中的装置100可以或可以不包括如图2所描述的下游等离子体装置200。在一些实施方案中,图1中的装置100被描述成具有等离子体处理室118的独立的处理装置。但是,应当理解,多个处理室或站可以包括在共同的处理工具环境中,如多站式处理工具中。此外,应当理解的是,在一些实施方案中,装置100的一个或多个硬件参数(包括在上面详细讨论的那些参数)可以通过一个或多个计算机控制器以编程方式进行调节。
图3根据一些实施方案示出了多站处理工具的一个实施例的概要视图。多站处理工具300可以包括入站加载锁302和出站加载锁304,其一者或者两者可以包括远程等离子体源。处于大气压的机械手306被配置为将晶片从通过舱308装载的盒,经由大气端口310移动至入站加载锁302。晶片由机械手306放置在入站加载锁302中的底座312上,大气端口310被关闭,且加载锁被抽空。当入站加载锁302包括远程等离子体源时,晶片在被导入处理室314之前,可以暴露于加载锁中的远程等离子体处理。此外,晶片也可以在入站加载锁302中加热,例如移除湿气和吸附气体。接下来,通向处理室314的室传输端口316被打开,且另一个机械手(未示出)将晶片放置到在反应器中被示出用于处理的第一站的底座上的反应器。尽管在图3中绘出的实施例包含加载锁,但应该理解的是,在一些实施例中,可以使晶片直接进入处理站。
绘出的处理室314包括4个处理站,图3所示的实施例中从1至4编号。每个站具有加热的基座(对于站1示出为318)和气体管线入口。应该理解的是,在一些实施方式中,每个处理站可以具有不同或者多个用途。例如,尽管绘出的处理室314包括4个站,但要理解的是根据本公开的处理室可以具有任何适当数量的站。例如,在一些实施方式中,处理室可以具有5个或5个以上的站,而在其他实施例中,处理室可以具有3个或者更少的站。
图3绘出了用于在处理室314内传输晶片的晶片处理系统390的实施方式。在一些实施方式中,晶片处理系统390可以在各种处理站之间和/或在处理站与加载锁之间传输晶片。应该理解的是,可以采用任何适当的晶片处理系统。非限制性示例包含晶片转盘和处理晶片的机械手。图3还绘出了采用来控制处理工具300的处理条件和硬件状态的系统控制器350的实施方案。系统控制器350可以包含一个或一个以上的存储器设备356、一个或一个以上的海量存储设备354和一个或一个以上的处理器352。处理器352可以包含CPU或者计算机、模拟和/或数字输入/输出连接、步进马达控制器板等。
在一些实施方案中,系统控制器350控制处理工具300的所有活动。系统控制器350执行存储在海量存储设备354、载入存储器设备356、并由处理器352执行的系统控制软件358。替代地,控制逻辑可以在控制器350中硬编码。专用集成电路、可编程逻辑设备(例如现场可编程栅极阵列、或者FPGA)等可以用于这些目的。在下面的讨论中,无论使用“软件”还是“代码”,都可以使用功能上相当的硬编码的逻辑来将其取代。系统控制软件358可以包含用于控制时序、气体的混合、亚饱和的气流的量、室和/或站压力、室和/或站温度、晶片温度、目标功率电平、RF功率电平、衬底基座、吸盘和/或底座位置、以及由处理工具300执行的特定处理的其他参数的指令。系统控制软件358可以以任何适当的方式配置。例如,各种处理工具组件子程序或者控制对象可以写入以控制进行各种处理工具处理所需要的处理工具组件的操作。系统控制软件358可以以任何适当的计算机可读编程语言来编码。
在一些实施方案中,系统控制软件358可以包含用于控制上述各种参数的输入/输出控制(IOC)序列指令。在一些实施方案中可以采用与系统控制器350关联的、存储在海量存储设备354和/或存储器设备356的其他计算机软件和/或程序。用于该目的的程序或者程序段的示例包含衬底定位程序、工艺气体控制程序、压力控制程序、加热器控制程序、以及等离子体控制程序。
器件结构
图4A示出了一种器件结构的一个实例的横截面示意图,该器件结构具有由多个垂直结构定义的多个高深宽比开口。器件结构400可包括衬底410,例如硅衬底。器件结构400可以包括多个高深宽比的特征。深宽比可以是就垂直尺寸相对于横向尺寸而言所定义的比率。高深宽比特征可以包括具有高度与直径的比为约10:1或更大、或约40:1或更大的任何特征。如在图4A所示的实例中,多个垂直结构450可以被布置在衬底410上。垂直结构450可以各自包括交替的氧化物层430和氮化物或多晶硅层440。因此,多个氧化物层430可以交替布置在多个氮化物层440之间,其中氧化物层430可包括氧化硅,而氮化物层440可包括氮化硅。或者,多个氧化物层430可以交替地设置在多个多晶硅层440之间。在一些实施方案中,氧化物层430和氮化物或多晶硅层440的厚度可以在约10nm与约100nm之间。垂直结构450可以限定在垂直结构450之间的多个高深宽比开口420,其中,开口420可以采取孔或槽的形状(例如,狭缝)。高深宽比开口420可在器件结构400中提供电触点。电触点的高深宽比(其可以延长到10:1和40:1以上)性质会给清洁触点底部带来挑战。在一些实施方案中,器件结构400可以是存储器装置(诸如三维的V-NAND存储器装置)的一部分。
如图4A所示的器件结构400可通过在衬底410上沉积交替的氧化物层430和氮化物或多晶硅层440来形成。高深宽比开口420可通过蚀刻在交替的氧化物层430和氮化物或多晶硅层440中形成。蚀刻步骤可以是利用高电离能和包括氧气的化学物质的等离子体蚀刻。等离子体蚀刻可在到达衬底410时终止。然而,等离子体蚀刻可以在高深宽比开口420的底部并在硅衬底的表面410上方产生氧化硅和受损/非晶硅层。一旦通过等离子体蚀刻形成高深宽比开口420,高深宽比开口420中的每一个都可以由具有交替的氧化物层430和氮化物或多晶硅层440的垂直结构450包围。在一些实施方案中,交替的氧化物层430和氮化物或多晶硅层440可形成用于存储器装置(诸如三维的V-NAND存储器装置)的ONON或OPOP叠层。
图4B示出了图4A中的横截面示意图的放大图,其示出了在一个高深宽比开口中的受损/非晶硅层上方的氧化物层。当在垂直结构450之间形成高深宽比开口420时,氧化物层460可以在受损/非晶硅层470上形成。在一些实施方案中,氧化物层460可包括氧化硅。氧化物层460可在受损/非晶硅层470上形成,受损/非晶硅层470与在高深宽比开口的底部的硅衬底410接口。氧化物层460和受损/非晶硅层470如果不去除就会增大器件结构400的接触电阻,从而会对存储器装置的性能有不利影响。其它污染物(未示出)也可能存在于高深宽比开口420的底部。
氧化物和受损/非晶硅的去除
这里公开的是用于清洁与高深宽比结构关联的触点的方法,高深宽比结构如在存储器装置,特别是三维的V-NAND存储器装置中使用的那些。高深宽比结构可以包括具有10:1或更大、或40:1或更大的高度比横向尺寸的深宽比的那些结构。在存储器装置中,高深宽比的开口,例如孔或沟槽,可以通过交替ONON(氧化物/氮化物)层或交替OPOP(氧化物/多晶硅)层包围,如图4A和4B中所示。触点的底部可以包括不希望有的氧化硅层、受损/非晶硅层、以及其它污染物(例如,碳)层。
本公开包括去除污染物同时尽量减少破坏周围的ONON或OPOP层的方法。清洁这种污染物的方法可涉及两个步骤的方法,其中第一步骤包括去除氧化硅,而第二步骤包括去除受损/非晶硅。在一些实施方案中,第二步骤可以进一步凹进到衬底中,以确保在衬底附近的污染物去除。为了在第一步骤中去除氧化硅,化学物质可以包括基于氟的气体的活性物质,而操作条件可包括施加偏置功率。在一些实施方案中,如果氧化物去除包括本征氧化物硅去除,则化学物质可以包括基于氢的气体的活性物质。在一些实施方案中,操作条件可以包括约10毫托或小于约10毫托的室压。在一些实施方案中,基于氟的气体是NF3,操作条件包括施加仅用于产生NF3等离子体的偏置功率,也就是说,没有施加源功率。因此,第一步骤可以是高度方向性的氧化物击穿步骤。
为了在第二步骤中去除受损/非晶硅,所述化学物质可以包括基于氢的气体的活性物质,而操作条件可包括施加偏置功率和源功率两者。在一些实施方案中,化学物质包括H2或包括H2和NF3的混合物。每个步骤应用不同的化学物质和不同的操作条件,以用于产生等离子体,从而去除污染物,同时尽量减少对周围的ONON或OPOP层的损坏。因此,第二步骤可以是硅去除步骤,其对于周围的氧化物层和氮化物或多晶硅层是选择性的。
图5示出了清洁具有多个高深宽比开口的衬底的方法的示范性流程图。工艺500可以在框505开始,其中提供具有多个高深宽比开口的衬底到等离子体处理室中。例如,衬底可以被提供到如在图1所描绘的等离子体处理室中的基座上。每个开口可以有一定的高度或深度以及一定的直径或宽度。开口可具有的高度比横向尺寸的深宽比大于约10:1,如大于约40:1。衬底可包括任何半导体晶片、部分集成的电路、印刷电路板或其他适当的工件。在某些实施方案中,半导体晶片可以是存储器装置的一部分。等离子体处理室可以包括用于支撑衬底的衬底支撑件和用于递送气体进入衬底支撑件上方的等离子体处理室中的喷头。等离子体处理室可以装备成使用源功率和偏置功率中的一个或两个来产生等离子体。在一些实施方案中,源功率可以在远程下游等离子体装置中(例如如图2所示的远程下游等离子体装置中)产生感应耦合等离子体。在一些实施方案中,偏置功率可以在喷头和基座之间产生电容耦合等离子体,这可以在图1中得以说明。
衬底可以是上面形成有多个垂直结构的硅衬底,其中多个垂直结构限定高深宽比开口中的每一个。换句话说,开口中的每一个可被垂直结构包围。垂直结构中的每一个可以包括交替的氧化物层和氮化物层或交替的氧化物层和多晶硅层。例如,垂直结构可以包括交替的氧化硅层和氮化硅层。在一些实施方案中,高深宽比开口的侧壁可包括交替的氧化物层和氮化物层,以及高深宽比开口的底表面可以包括在硅表面上的污染物亚层。污染物亚层可包括氧化硅和受损/非晶硅。
工艺500在框510继续,其中使包含基于氟的物质的第一蚀刻剂流向衬底。在一些实施方案中,第一蚀刻剂仅由NF3组成。替代地,如果去除氧化物包括去除本征氧化硅,则第一蚀刻剂包括基于氢的物质并流向衬底。在这种情况下,第一蚀刻剂可以仅由H2组成。
工艺在框515继续,其中将第一偏置功率施加到等离子体处理室,以产生基于氟的物质的等离子体,从而去除在高深宽比开口中的氧化硅。在被去除的氧化硅是本征氧化硅的实施方案中,可以施加第一偏置功率以产生基于氢的物质的等离子体。在一些实施方案中,第一偏置功率施加到在等离子体处理室中的衬底支撑件。可以在使气相的基于氟的物质或基于氢的物质通过喷头朝向等离子体处理室中流动的同时,施加第一偏置功率。RF功率源和匹配网络可与等离子体处理室电连通。在某些实施方案中,RF功率源及匹配网络可与该喷头与衬底支撑件电连通。RF功率源与匹配网络可以以任何合适的RF频率提供RF功率。因此,可以在喷头和衬底支撑件之间产生基于氟的物质或基于氢的物质的原位等离子体,其中该衬底支撑件可以通电作为电极。偏置功率可以被控制,以增加或减少离子对所产生的原位等离子体的轰击。在一些实施方案中,第一偏置功率可以介于约100瓦和约2000瓦之间,或介于约100瓦至约500瓦之间。
该第一偏置功率可以生成基于氟的物质的离子以蚀刻氧化硅。第一偏置功率可使等离子体具有方向性,使得可以实现各向异性蚀刻。第一偏置功率的施加可以减少离子散射的效果,并引导基于氟的物质的离子朝向高深宽比开口的底部。在使第一蚀刻剂流向衬底时,可以在没有任何源功率的情况下施加第一偏置功率。例如,没有源功率施加到远程下游等离子体设备,以便尽量减少离子的散射。第一蚀刻剂可以被以高度定向的方式引导朝向衬底,从而避免或者说最小化凹进垂直结构的侧壁。
该第一偏置功率可以产生等离子体,以使NF3离解,从而形成氟离子。NF3等离子体可以以相对高的蚀刻速率蚀刻氧化硅。另外,NF3等离子体可避免形成盐和聚合化学物。由于NF3等离子体可以利用第一偏置功率高度定向,因此NF3等离子体可避免或者说最小化攻击包含氧化物的垂直结构的侧壁。替代地,第一偏置功率可以产生等离子体,使H2离解以形成氢自由基,其中H2等离子体可以蚀刻本征硅氧化物。
在一些实施方案中,在使第一蚀刻剂流向衬底,并且施加第一偏置功率到等离子体处理室时,在等离子体处理室中的压强可以小于约10毫托。在一些实施方案中,压强可以小于约5毫托,或在约1毫托至约5毫托之间。通过在去除氧化硅的过程中减小等离子体处理室内部的压强,可以减小离子散射的效果,并且可以增强NF3等离子体的方向性。因此,仅在伴随低压环境的等离子体处理室内施加偏置功率赋予了NF3等离子体的反应物更强的方向性,并增大了它们到达高深宽比开口的底部的概率,而朝向垂直结构的侧壁的横向散射最小化。其结果是,氧化硅可以在高深宽比开口的底部被清除,而垂直结构的损害最小。
工艺500在框520继续,其中使包含基于氢的物质的第二蚀刻剂流向衬底。在一些实施方案中,第二蚀刻剂仅由H2组成。在其他实施方案中,第二蚀刻剂包括H2和NF3
工艺500在框525继续,其中将源功率和第二偏置功率施加到等离子体处理室,以产生基于氢的物质的等离子体,从而在高深宽比开口内去除硅。在一些实施方案中,源功率可施加到远程等离子体源,而第二偏置功率可以施加到衬底支撑件。可以在使气相的基于氢的物质通过喷头朝向等离子体处理室中流动的同时,施加源功率和第二偏置功率。在使第一蚀刻剂流动以去除在高深宽比开口内的氧化硅之后,可以改变等离子体处理室的条件,以执行第二步骤,即去除在高深宽比开口内的硅。功率源可以给感应线圈通电,以产生在远程等离子体源(诸如远程下游等离子体设备)内的等离子体。因此,可以在远程等离子体源中产生基于氢的物质的远程等离子体,其中,远程等离子体可以包括基于氢的物质的自由基。此外,除源功率外,还可将第二偏置功率施加到等离子体处理室。第二偏置功率可以与第一偏置功率不同。第二偏置功率可赋予方向性给基于氢的物质的反应物并增加离子轰击。在一些实施方案中,源功率和第二偏置功率之间的比率可以被控制,以平衡来自第二偏置功率的离子轰击和来自源功率的自由基化学蚀刻的力。在一些实施方案中,源功率可介于约100瓦与约2000瓦之间,或者介于约500瓦与约1500瓦之间,并且第二偏置功率可介于约50瓦至约1000瓦特之间,或者介于约200瓦至约800瓦之间。就源功率和第二偏置功率之间的比率而言,该比率可以是约等于约4:1或大于约4:1,约等于约2:1或大于约2:1,或约等于约1:1或大于约1:1。该比率可以是用于控制蚀刻轮廓的方向性的重要参数,因为它可以控制离子轰击和硅的自由基驱动的化学蚀刻之间的平衡。
被去除的硅可包括受损/非晶硅。在一些实施方案中,来自硅衬底的一些硅也在高深宽比开口的底部被去除,以确保在硅衬底的附近的周围的污染物更完全地去除。第二蚀刻剂的化学性质可以去除硅,同时根据它对于氧化物和氮化物/多晶硅的选择性避免或最小化凹进氧化物层和氮化物/多晶硅层。
源功率可以施加到在等离子体处理室中的远程等离子体源,其中使第二蚀刻剂流过可以包括使基于氢的物质暴露于远程等离子体源以产生基于氢的物质的自由基。该自由基可以被用于蚀刻在高深宽比开口的底部的硅。可将第二偏置功率施加到等离子体处理室,以产生基于氢的物质的离子,从而进一步蚀刻在高深宽比开口的底部处的硅。因为源功率可以提供不是很有方向性的自由基驱动的刻蚀,因此去除在高深宽比开口的底部处的硅时,随同源功率施加偏置功率可以提供更具方向性的蚀刻轮廓。因此,在框525,第二蚀刻剂可以利用方向性的蚀刻轮廓凹进衬底,并且可以仔细调整和控制凹进的量。
在一些实施方案中,第二蚀刻剂仅由H2组成。使用基于氢的物质(例如H2)可以有效地蚀刻硅,同时其作为还原剂,最大限度地减少其它暴露材料的氧化和损失。在本公开中,H2等离子体可以在基本上不含氧化剂(例如,氧气)的环境中与硅反应。使用H2等离子体的硅的蚀刻速率可以相对良好,对于暴露的氮化物和氧化物层的选择比可以是非常高的。例如,用偏置功率协助的使用H2等离子体的硅的刻蚀速率可以每分钟大于约150埃或每分钟大于约500埃,并且暴露的氮化物和/或氧化物层的蚀刻速率可以忽略不计,如每分钟小于约5埃或每分钟小于约1埃。通过施加源功率,可以产生包括氢自由基的远程等离子体以与硅反应。偏置功率施加还可以提供离子辅助的化学蚀刻,其可引起在触点底部的蚀刻方向性。虽然基于氢的自由基的存在可给出各向同性蚀刻的一些方面,但纯H2等离子体对于垂直结构中的暴露的氧化物层和氮化物层具有高度选择性。因此,能够避免对ONON侧壁的损坏。此外,纯H2等离子体不会发生反应来产生盐副产物,盐副产物可导致均匀性问题和衬底至衬底的可重复性的问题。用于去除氧化物和/或硅的基于先前的等离子体的方法的常规操作条件和化学过程易于产生副产物,从而损害器件性能。
在其它实施方案中,第二蚀刻剂包括H2和NF3。H2的浓度可以大于NF3的浓度。加入基于氟的物质(例如NF3)可以改变硅的刻蚀特性。加入NF3可以增大硅的刻蚀速率。为了在处理过程中提供增大的效率和吞吐量,这可能是有利的。但是,对于暴露的氧化物层和氮化物/多晶硅层,NF3可能不是有一样的选择性的。此外,当与使用仅由H2组成的蚀刻剂相比时,添加NF3可能无法给蚀刻轮廓提供一样的光滑度或方向性。在一些实施方案中,在H2/NF3等离子体中的基于氟的物质的浓度按照体积可以介于约0.1%至约10%之间,或按照体积介于约0.5%和约5%之间。反过来,基于氢的物质的浓度按照体积可以大于约95%,或者按照体积大于约90%。在其他实施方案中,基于氟的物质的浓度可以是零,使得远程等离子体是纯H2等离子体。就硅相对于氧化物而言,纯H2等离子体的选择比可大于约1000:1或者大于约10000:1或更大,并且就硅相对于氮化物而言,纯H2等离子体的选择比可大于约500:1或者大于约1000:1。纯H2等离子体比H2/NF3等离子体可提供更具方向性且更平滑的蚀刻轮廓。
等离子体处理室的条件可以被配置成使高深宽比开口的底部的硅的去除优化,同时最大限度地减少在衬底的垂直结构中的周围氧化物层和氮化物层的损失。在一些实施方案中,在等离子体处理室中的压强可介于约10毫托和约3500毫托之间,或介于约200毫托和约1500毫托之间。在一些实施方案中,衬底的温度可能会影响用于去除硅的蚀刻速率和刻蚀轮廓。在一些实施方案中,温度可以介于约5℃和约200℃之间,或介于约20℃和约100℃之间。
用于去除氧化硅和用于去除硅的操作条件的一个实例可以如表1所示。为了去除氧化硅,化学物质可以包括NF3等离子体。替代地,如果该去除包括去除本征氧化硅,则化学物质可以包括H2等离子体。为了去除硅,化学物质可以包括纯H2等离子体或H2/NF3等离子体。这些等离子体可以按顺序产生以使去除氧化硅和去除硅的工艺500可以按顺序执行。NF3等离子体步骤可以以高方向性来执行,而H2等离子体步骤可以以高选择性执行。各等离子体化学物质不会发生反应而形成不希望有的盐副产物。在一些实施方案中,可以在包括等离子体处理室的单个独立的处理装置中执行去除氧化硅的和去除硅的步骤,其一个实例示于图1或图2中。在一些实施方案中,去除氧化硅的和去除硅的步骤可以在多站式处理装置中的不同的站中进行,它的一个实例示于图3。
表1
在一些实施方案中,惰性载气可用于去除氧化硅和硅的步骤中的一个或两个。相信,惰性载气可以减少气相自由基的复合的可能性。惰性载气的实例可以包括稀有气体,如氦(He)、氖(Ne)和氩(Ar)。
高深宽比触点可使用上述的工艺500通过蚀刻在高深宽比开口的底部的氧化硅和硅进行清洁。工艺500可以被统称为触点清洁工艺。实际上,工艺500可在用于去除氧化硅和硅的任何清洁工艺中使用,特别是在具有高深宽比特征的器件中使用。在一些实施方案中,多个高深宽比开口是垂直NAND结构的一部分。在一些实施方案中,高深宽比开口可填充有金属或其它导电材料。金属可以形成在硅衬底上的垂直结构之间的电触点,其中金属接触硅衬底。使用如上所述的触点清洁工艺,电触点在器件中会具有改善的电性能,去除了电绝缘污染物,尽可能减少了横向凹进侧壁,并且尽可能减少了形成残留的盐副产物。
用于去除氧化硅和硅以清洁高深宽比开口的工艺500可参照图1-3执行。在一些实施方案中,在图1-3中的控制器中的任何一个可以配置有用于执行工艺500中的操作的指令。控制器可以提供用于操作等离子体处理室内的条件执行工艺500中的操作的指令。例如,用于清洁具有多个高深宽比开口的衬底的装置可包括等离子体处理室,其中等离子体处理室包括远程等离子体源和用于支撑衬底的衬底支撑件。衬底可以具有多个高深宽比开口,其中每个开口具有的高度比横向尺寸的深宽比大于约10:1或大于约40:1。衬底会被在高深宽比开口的底部处的硅层上以及在衬底的表面上的氧化硅层污染。该装置可进一步包括配置成提供用于执行以下操作的指令的控制器:(a)使包括基于氟的物质的第一蚀刻剂朝向衬底流动;(b)施加第一偏置功率到等离子体处理室内的衬底支撑件,以产生基于氟的物质的等离子体,从而去除氧化硅层;(c)使包括基于氢的物质的第二蚀刻剂朝向衬底流动;以及(d)施加源功率到远程等离子体源以及施加第二偏置功率到所述等离子体处理室内的衬底支撑件,以产生基于氢的物质的等离子体,从而去除硅层。在一些实施方案中,第一蚀刻剂可以仅包括NF3。替代地,在去除氧化硅包括去除本征氧化硅的情况下,第一蚀刻剂可包括基于氢的物质,如H2。在一些实施方案中,第二蚀刻剂可以仅包括H2。在一些实施方案中,第二蚀刻剂可仅包括H2和NF3,其中H2的浓度大于NF3的浓度。在一些实施方案中,衬底包括限定高深宽比开口中的每一个的多个垂直结构,所述垂直结构中的每一个包括交替的氧化物层和氮化物层或交替的氧化物层和多晶硅层。
数据
当去除硅时,用于去除硅的蚀刻速率可依赖于一个或多个操作参数。图6A-6C显示了图解对于纯H2等离子体的仅施加源功率的多晶硅蚀刻速率与温度、压强和源功率的函数关系的曲线图。图6A示出了对于纯H2等离子体,在温度和多晶硅蚀刻速率之间的关系。在温度小于约40℃时,随着温度升高,多晶硅蚀刻速率增大。在温度高于约40℃时,随着温度升高,多晶硅蚀刻速率减小。这样,多晶硅的最大蚀刻速率可以显示出最大温度(Tmax),如Tmax为约40℃。在Tmax以下时,升高温度就增强了用于挥发性硅烷(SiH4)形成的热活性,从而增大了多晶硅蚀刻速率。在Tmax以上时,可以在多晶硅表面上存在氢原子的复合,这会消耗形成挥发性SiH4所需的化学吸附的氢原子。
图6B示出了对于纯H2等离子体,多晶硅蚀刻速率与压强的函数关系曲线图。随着压强增大,多晶硅的刻蚀速率稳步减小。然而,可能的是,当压强低于600毫托时,可以观察到作为压强的函数的蚀刻速率的最大值。在压强大于约600毫托并且小于约3500毫托时,多晶硅的刻蚀速率减小。当压强增大时,预期在多晶硅表面的氢原子的物理吸附增强。表面的物理吸附的氢原子和化学吸附的氢原子的复合速率可能增大,以形成H2气体,导致SiHx前体的消耗。另外,表面(壁)和体积的氢原子的复合速率也随着压强的增大而增大,这可能导致降低氢自由基的浓度,氢自由基可用于与多晶硅表面的反应。
图6C示出了对于纯H2等离子体,多晶硅的蚀刻速率与源功率的函数关系的曲线图。对于纯H2等离子体,多晶硅的蚀刻速率随RF功率的增大而增大。对于单一的300毫米晶片,在一定的功率下多晶硅的蚀刻速率大约处于平稳状态(plateaus),该功率对于朗姆(Lam)研究公司伽玛GXT工具可以是约3000W。超出该最大功率,氢原子的复合会是足够显著的,使得多晶硅的蚀刻速率没有明显变化。
图7A-7B示出了图解对于H2/NF3等离子体的仅施加源功率的多晶硅蚀刻速率与温度以及压强的函数关系的曲线图。对于利用H2和NF3的气体混合物的等离子体,多晶硅蚀刻速率呈现了对温度的很强依赖性。图7A示出了多晶硅蚀刻速率与温度的函数关系。对于具有浓度为约5%的NF3的气体混合物,在约60℃及约80℃之间的Tmax,多晶硅蚀刻速率增大到最大值。当温度大于约Tmax时,多晶硅的刻蚀速率减小。对于具有浓度为约0.7%的NF3的气体混合物,当温度高于约30℃时,多晶硅的刻蚀速率减小。在这种情况下,Tmax可发生在小于约30℃的温度。此外,在大于约40℃的温度时,相比于0.7%的NF3,对于5%的NF3,多晶硅的刻蚀速率较高。因此,增大氟化气体物质的浓度可导致更高的多晶硅的蚀刻速率。
对于利用H2和NF3的气体混合物的等离子体,多晶硅蚀刻速率也表现出对压强有强的依赖性。图7B示出了多晶硅蚀刻速率与压强的函数关系。针对约5%的NF3的气体混合物,当温度固定在约100℃时,在约0.6托和约1.5托之间的压强下,多晶硅的刻蚀速率随压强增大而增大。然而,在压强超过约1.5托时,多晶硅的刻蚀速率随压强增大而减小。针对约5%的NF3的气体混合物,当温度固定在约60℃时,在约0.6托和约0.8托之间的压强下,多晶硅的刻蚀速率也随压强增大而增大。在约2托的高压下,发现蚀刻速率大幅下降。因此,对于60℃和100℃两者,在约5%的NF3,在约1.0托和约1.5托之间的压强Pmax下,都可以获得多晶硅的最大蚀刻速率。
图8示出了具有交替的氧化硅层和氮化硅层的垂直结构的TEM图像。TEM图像可以对应于ONON叠层的顶部。使用HF湿法蚀刻可产生相对于ONON叠层的波状起伏结构,以及使用常规的较少定向的等离子体蚀刻(例如,NF3等离子体蚀刻)可以产生相对于ONON叠层的锥形结构。然而,使用上述两个步骤的方法,即仅使用偏置功率的NF3等离子体蚀刻,与随后的使用源功率和偏置功率两者的H2等离子体蚀刻,对ONON叠层的损坏可以被最小化。ONON叠层在很大程度上保留未受影响的预清洁和后清洁,显示出最小侧壁损坏或没有侧壁损坏。
使用如在图8中的TEM图像之类的TEM图像,可以计算作为如上所述的使用触点清洁工艺的结果的氧化物层和氮化物层的横向损失。可以在触点清洁工艺之前拍摄一个TEM图像,而在触点清洁工艺之后可以拍摄另一个TEM图像。氧化物和氮化物的横向损失可以在ONON叠层中通过使用顶部的4对ONON叠层并将它们与底部的4对ONON叠层比较来表征。表2示出了针对顶部层和底部层,氧化物层横向损失的特征,而表3示出了针对顶部层和底部层,氮化物层横向损失的特征。
表2
表3
针对顶部的4个氧化物层的所计算的平均氧化物的损失为1.9纳米,而针对底部的4个氧化物层所计算的平均氧化物的损失为1.5纳米。高深宽比结构的顶层和底层之间的氧化物损失的0.4纳米的差小于氧化物层的原始宽度的约1%。氧化物层的原始宽度可以是约100纳米。
类似地,针对顶部的4个氮化物层的所计算的平均氮化物的损失为0.8纳米,而针对底部的4个氮化物层所计算的平均氮化物的损失为2.6纳米。高深宽比结构的顶层和底层之间的氮化物损失的1.8纳米的差小于氮化物层的原始宽度的约2%。氮化物层的原始宽度可以是约100纳米。
在表2和3所汇集并计算出的数据显示,氮化物和氧化物的损失最小。此外,数据显示,在高深宽比结构的顶部和底部之间的材料损失几乎没有差别,这意味着很少或者没有波状起伏从触点清洁工艺产生。因此,可能没必要有额外的处理来校正在高深宽比结构中的任何波状起伏。
图9A示出了具有在非晶硅层上方的氧化物层的高深宽比开口的TEM图像。图9B示出了氧化物层和非晶硅层被去除了的高深宽比开口的TEM图像。上述触点清洁工艺可以成功地去除硅衬底上的氧化物层和非晶硅层。氧化物层和非晶硅层可用有方向性的蚀刻轮廓去除。TEM图像的分析表明,触点的界面是相对干净的。该界面是没有氧和其他污染物的。此外,与触点后处理接口的硅衬底相当于原始状态的单晶硅。在一些实施方案中,触点清洁工艺凹进硅衬底,以确保在所述硅衬底的附近的所有污染物被完全去除。
图10A-10C示出了在硅层去除期间,针对不同的源功率:偏置功率比,具有不同的蚀刻轮廓的高深宽比开口的TEM图像。在氧化硅去除步骤之后,源功率和偏置功率可用于产生基于氢的物质(如H2)的等离子体,其中H2等离子体可以去除硅。源功率和偏置功率之间的比率可影响硅去除的蚀刻轮廓。该比率可通过固定源功率和改变偏置功率而变化。在一些实施方案中,源功率在图10A-10C可以被固定在1000瓦,偏置功率在图10A中可以是250瓦,在图10B中可以是500瓦,在图10C中可以是700瓦。在图10A中,可以施加减小的偏置功率使得源功率和偏置功率之间的比率可以大于2:1。源功率可以创建更各向同性蚀刻,产生非定向的蚀刻轮廓。在图10B中,可以平衡偏置功率和源功率,以使比率可以为约2:1。可优化所得的蚀刻轮廓,以使蚀刻轮廓是定向的并且在表面粗糙度方面是受限的。在图10C中,可以增加偏置功率,以使源功率和偏置功率之间的比值可以小于2:1。由于至少部分地增加的离子轰击,因此所得的蚀刻轮廓会是不稳定的和不均匀的,具有更大程度表面粗糙度。
图11示出了说明多晶硅蚀刻速率与温度的函数关系的曲线图。数据点显示,加入偏置功率的纯H2等离子体可以以相对高的蚀刻速率蚀刻多晶硅。蚀刻速率依赖于衬底的温度,其中蚀刻速率随温度升高而减小。因此,衬底支撑件的温度可以改变,以控制硅移除和凹进的量。在图11的曲线图中,多晶硅蚀刻的操作条件包括:2.5slm的H2,1000瓦的源功率,500瓦的偏置功率,400毫托和60秒的处理。
图12A-12B示出了在硅层去除期间,针对不同的温度,具有不同的蚀刻轮廓的高深宽比开口的TEM图像。这些TEM图像表明,针对硅去除和凹进硅衬底内的量的蚀刻轮廓可以取决于温度。当针对硅去除和凹进步骤,衬底的温度降低时,蚀刻轮廓会变得更平滑。此外,硅衬底的垂直蚀刻速率可以随着温度的降低而增大。图12A示出了针对较高的温度在蚀刻前缘具有一些表面粗糙度的蚀刻轮廓。图12B示出了在使用较低温度下得到的在垂直方向具有更平滑的蚀刻前和增加的硅损失的蚀刻轮廓。
上述装置/工艺可以与光刻图案化工具或工艺结合使用,例如,用于制备或制造半导体器件、显示器、LED、光伏电池板等。通常,虽然不是必要地,这些工具/工艺将在共同的制造设施中一起使用或操作。膜的光刻图案化通常包括以下步骤中的一些或所有,每个操作启用多个可行的工具:(1)使用旋涂或喷涂工具在工件,即,衬底上涂覆光致抗蚀剂;(2)使用热板或加热炉或紫外线固化工具固化光致抗蚀剂;(3)使用例如晶片步进曝光机之类的工具使光致抗蚀剂暴露于可见光或紫外线或X射线;(4)使抗蚀剂显影以便选择性地去除抗蚀剂并且从而使用例如湿式清洗台之类的工具将其图案化;(5)通过使用干的或等离子体辅助蚀刻工具将抗蚀剂图案转移到下方的膜或工件上;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。在一些实施方式中,可灰化硬掩模层(例如无定形碳层)和另一种合适的硬掩模(例如抗反射层)可以在施加光致抗蚀剂之前沉积。
其它实施方式
尽管为了促进清楚和理解的目的,在具体实施方式的背景下,已经详细描述了前述公开的技术、操作、处理、方法、系统、装置、工具、膜、化学品和组合物,但对于本领域的普通技术人员而言,显而易见的是,存在许多实施前述实施方式的落入本发明的主旨和范围内的替代方式。因此,本文所描述的实施方式应被看作是说明本发明公开的创造性构思,而不是限制,并且不应允许被用作不适当地限制最终指向本发明的主题的任何权利要求的范围的基础。

Claims (24)

1.一种清洁具有多个高深宽比开口的衬底的方法,该方法包括:
提供具有多个高深宽比开口的衬底到等离子体处理室中,所述开口中的每一个都具有大于约10:1的高度比横向尺寸的深宽比;
使包括基于氟的物质的第一蚀刻剂朝向所述衬底流动;
施加第一偏置功率到所述等离子体处理室,以产生所述基于氟的物质的等离子体,从而去除所述高深宽比开口中的氧化硅;
使包括基于氢的物质的第二蚀刻剂朝向所述衬底流动;以及
施加源功率和第二偏置功率到所述等离子体处理室,以产生所述基于氢的物质的等离子体,从而去除所述高深宽比开口中的硅。
2.根据权利要求1所述的方法,其中所述第二蚀刻剂仅由氢组成。
3.根据权利要求1所述的方法,其中所述第二蚀刻剂包括氢和三氟化氮,所述氢的浓度大于所述三氟化氮的浓度。
4.根据权利要求1所述的方法,其中所述第一蚀刻剂仅由三氟化氮组成。
5.根据权利要求1所述的方法,所述氧化硅的去除是在所述等离子体处理室没有被施加任何源功率的情况下进行的。
6.根据权利要求1所述的方法,其中所述衬底包括限定所述高深宽比开口中的每一个的多个垂直结构,所述垂直结构中的每一个包括交替的氧化物层和氮化物层。
7.根据权利要求6所述的方法,其中所述交替的氧化物层和氮化物层包括交替的氧化硅层和氮化硅层。
8.根据权利要求6所述的方法,其中所述高深宽比开口中的所述硅的去除对于所述交替的氧化物层和氮化物层中的每一个以大于约500:1的选择比进行。
9.根据权利要求1-8中任一项所述的方法,其中在使所述第一蚀刻剂流向所述衬底并且施加所述第一偏置功率时,在所述等离子体处理室中的压强小于约10毫托。
10.根据权利要求1-8中任一项所述的方法,其中用以去除所述硅的所述源功率和所述第二偏置功率之间的比为约等于或大于约2:1。
11.根据权利要求1-8中任一项所述的方法,其中所述硅包含非晶或受损的硅。
12.根据权利要求1-8中任一项所述的方法,其中所述源功率被施加到所述等离子体处理室中的远程等离子体源,并且其中施加所述源功率包括暴露所述基于氢的物质到所述远程等离子体源以产生所述基于氢的物质的自由基。
13.根据权利要求1-8中任一项所述的方法,其中施加所述第一偏置功率包括将所述基于氟的物质暴露于所述第一偏置功率,以产生所述基于氟的物质的离子。
14.根据权利要求1-8中任一项所述的方法,其中所述多个高深宽比开口是垂直NAND结构的一部分。
15.一种清洁具有多个高深宽比开口的衬底的装置,所述装置包括:
等离子体处理室,其中所述等离子体处理室包括:
远程等离子体源;和
用于支撑具有多个高深宽比开口的衬底的衬底支撑件,所述开口中的每一个都具有大于约10:1的高度比横向尺寸的深宽比,并且所述衬底具有在所述高深宽比开口内的在硅层上的氧化硅层;和
控制器,其被构造成提供用于执行以下操作的指令:
(a)使包括基于氟的物质的第一蚀刻剂朝向所述衬底流动;
(b)施加第一偏置功率到所述等离子体处理室内的所述衬底支撑件,以产生所述基于氟的物质的等离子体,从而去除所述氧化硅层;
(c)使包括基于氢的物质的第二蚀刻剂朝向所述衬底流动;以及
(d)施加源功率到所述远程等离子体源以及施加第二偏置功率到所述等离子体处理室内的所述衬底支撑件,以产生所述基于氢的物质的等离子体,从而去除所述硅层。
16.根据权利要求15所述的装置,其中所述第二蚀刻剂仅由氢组成。
17.根据权利要求15所述的装置,其中所述第二蚀刻剂包括氢和三氟化氮,所述氢的浓度大于所述三氟化氮的浓度。
18.根据权利要求15所述的装置,其中所述第一蚀刻剂仅由三氟化氮组成。
19.根据权利要求15所述的装置,其中所述衬底包括限定所述高深宽比开口中的每一个的多个垂直结构,所述垂直结构中的每一个包括交替的氧化物层和氮化物层。
20.根据权利要求19所述的装置,其中所述硅层的去除对于所述交替的氧化物层和氮化物层中的每一个以大于约500:1的选择比进行。
21.根据权利要求15-20中的任一项所述的装置,其中,在使所述第一蚀刻剂流向所述衬底并且施加所述第一偏置功率时,在所述等离子体处理室中的压强小于约10毫托。
22.根据权利要求15-20中的任一项所述的装置,其中用以去除所述硅层的所述源功率和第二偏置功率之间的比为约等于或大于约2:1。
23.一种清洁具有多个高深宽比开口的衬底的方法,该方法包括:
提供具有多个高深宽比开口的衬底到等离子体处理室中,所述开口中的每一个都具有大于约10:1的高度比横向尺寸的深宽比;
使包括基于氟的物质或基于氢的物质的第一蚀刻剂朝向所述衬底流动;
施加第一偏置功率到所述等离子体处理室,以产生所述第一蚀刻剂的等离子体,从而去除所述高深宽比开口中的氧化硅;
使包括基于氢的物质的第二蚀刻剂朝向所述衬底流动;以及
施加源功率和第二偏置功率到所述等离子体处理室,以产生所述第二蚀刻剂的等离子体,从而去除所述高深宽比开口中的硅。
24.根据权利要求23所述的方法,其中所述第一蚀刻剂包括所述基于氢的物质,且其中所去除的所述氧化硅包括去除本征的氧化硅。
CN201510543852.XA 2014-08-29 2015-08-28 高深宽比结构中的触点清洁 Active CN105390389B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462044117P 2014-08-29 2014-08-29
US62/044,117 2014-08-29
US14/577,977 US9558928B2 (en) 2014-08-29 2014-12-19 Contact clean in high-aspect ratio structures
US14/577,977 2014-12-19

Publications (2)

Publication Number Publication Date
CN105390389A true CN105390389A (zh) 2016-03-09
CN105390389B CN105390389B (zh) 2018-05-11

Family

ID=55403306

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510543852.XA Active CN105390389B (zh) 2014-08-29 2015-08-28 高深宽比结构中的触点清洁

Country Status (5)

Country Link
US (1) US9558928B2 (zh)
JP (1) JP6646978B2 (zh)
KR (1) KR102402866B1 (zh)
CN (1) CN105390389B (zh)
TW (1) TWI673791B (zh)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107611007A (zh) * 2017-08-24 2018-01-19 长江存储科技有限责任公司 一种深沟槽的预清洗方法及3d nand制备工艺
CN107731841A (zh) * 2017-08-29 2018-02-23 长江存储科技有限责任公司 一种改善3d nand闪存seg生长质量的方法
CN107731825A (zh) * 2017-08-29 2018-02-23 长江存储科技有限责任公司 一种降低3d nand闪存制备中热负载方法
CN107731843A (zh) * 2017-08-29 2018-02-23 长江存储科技有限责任公司 一种提高seg生长高度均一性方法
CN107968040A (zh) * 2017-11-21 2018-04-27 长江存储科技有限责任公司 一种提高硅外延生长均匀性的工艺
CN107978604A (zh) * 2016-10-24 2018-05-01 中芯国际集成电路制造(上海)有限公司 半导体器件及其制作方法、电子装置
CN109166857A (zh) * 2018-09-03 2019-01-08 长江存储科技有限责任公司 半导体结构及其形成方法
CN109216372A (zh) * 2018-09-19 2019-01-15 长江存储科技有限责任公司 半导体结构的形成方法
CN109346470A (zh) * 2018-11-12 2019-02-15 长江存储科技有限责任公司 三维存储器及其形成方法
CN111279453A (zh) * 2017-08-25 2020-06-12 艾克斯特朗欧洲公司 用于在外延沉积之前进行表面制备的方法和设备
TWI814329B (zh) * 2016-12-23 2023-09-01 美商蘭姆研究公司 用於執行原子層蝕刻之多站處理工具

Families Citing this family (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283615B2 (en) 2012-07-02 2019-05-07 Novellus Systems, Inc. Ultrahigh selective polysilicon etch with high throughput
US8916477B2 (en) * 2012-07-02 2014-12-23 Novellus Systems, Inc. Polysilicon etch with high selectivity
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9576788B2 (en) * 2015-04-24 2017-02-21 Applied Materials, Inc. Cleaning high aspect ratio vias
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR20180085807A (ko) * 2015-12-18 2018-07-27 어플라이드 머티어리얼스, 인코포레이티드 세정 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9960049B2 (en) 2016-05-23 2018-05-01 Applied Materials, Inc. Two-step fluorine radical etch of hafnium oxide
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9947558B2 (en) * 2016-08-12 2018-04-17 Lam Research Corporation Method for conditioning silicon part
US10062575B2 (en) * 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) * 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
WO2018052475A1 (en) * 2016-09-16 2018-03-22 Applied Materials, Inc. Integrated system and method for source/drain engineering
WO2018055724A1 (ja) * 2016-09-23 2018-03-29 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9997366B2 (en) * 2016-10-19 2018-06-12 Lam Research Corporation Silicon oxide silicon nitride stack ion-assisted etch
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10586696B2 (en) 2017-05-12 2020-03-10 Applied Materials, Inc. Halogen abatement for high aspect ratio channel device damage layer removal for EPI growth
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) * 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US11065654B2 (en) * 2017-07-17 2021-07-20 Lam Research Corporation In situ vapor deposition polymerization to form polymers as precursors to viscoelastic fluids for particle removal from substrates
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) * 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) * 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
JP7387618B2 (ja) * 2017-12-01 2023-11-28 エムケーエス インスツルメンツ,インコーポレイテッド ラジカルガス及び短寿命分子に対する複センサガスサンプリング検出システム及び使用方法
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US11037784B2 (en) 2018-02-05 2021-06-15 Lam Research Corporation Amorphous carbon layer opening process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) * 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
WO2020028119A1 (en) * 2018-07-31 2020-02-06 Lam Research Corporation Non-selective and selective etching through alternating layers of materials
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) * 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN111696863B (zh) * 2019-03-15 2024-04-12 北京北方华创微电子装备有限公司 硅介质材料刻蚀方法
KR102523367B1 (ko) * 2020-02-13 2023-04-21 세메스 주식회사 실리콘 구조물 표면 러프니스 개선 방법 및 기판 처리 장치
KR20220041358A (ko) * 2020-09-25 2022-04-01 에스케이하이닉스 주식회사 반도체장치 및 그 제조 방법
KR102559095B1 (ko) * 2020-11-11 2023-07-25 주식회사 테스 기판 처리 방법

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6313042B1 (en) * 1999-09-03 2001-11-06 Applied Materials, Inc. Cleaning contact with successive fluorine and hydrogen plasmas
US6638855B1 (en) * 1999-02-10 2003-10-28 Samsung Electronics Co., Ltd. Method of filling contact hole of semiconductor device
KR20080061930A (ko) * 2006-12-28 2008-07-03 주식회사 하이닉스반도체 낸드 플래쉬 메모리소자의 고전압 트랜지스터 제조방법
CN102741987A (zh) * 2010-02-01 2012-10-17 中央硝子株式会社 干蚀刻剂以及使用其的干蚀刻方法
US20140004707A1 (en) * 2012-07-02 2014-01-02 Novellus Systems, Inc. Polysilicon etch with high selectivity

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5505816A (en) 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
JP4395896B2 (ja) * 1998-03-10 2010-01-13 ソニー株式会社 半導体装置の製造方法
EP1077475A3 (en) 1999-08-11 2003-04-02 Applied Materials, Inc. Method of micromachining a multi-part cavity
KR100316721B1 (ko) 2000-01-29 2001-12-12 윤종용 실리사이드막을 구비한 반도체소자의 제조방법
US6544838B2 (en) * 2001-03-13 2003-04-08 Infineon Technologies Ag Method of deep trench formation with improved profile control and surface area
JP2003309083A (ja) 2002-04-16 2003-10-31 Mitsubishi Electric Corp 半導体装置の製造方法
US7547635B2 (en) * 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
WO2006012297A1 (en) * 2004-06-29 2006-02-02 Unaxis Usa Inc. Method and apparatus for reducing aspect ratio dependent etching in time division multiplexed etch processes
US20070202700A1 (en) * 2006-02-27 2007-08-30 Applied Materials, Inc. Etch methods to form anisotropic features for high aspect ratio applications
JP5710267B2 (ja) * 2007-12-21 2015-04-30 ラム リサーチ コーポレーションLam Research Corporation シリコン構造体の製造及びプロファイル制御を伴うシリコンディープエッチング
US8883650B2 (en) 2008-01-24 2014-11-11 United Microelectronics Corp. Method of removing oxides
JP4972594B2 (ja) 2008-03-26 2012-07-11 東京エレクトロン株式会社 エッチング方法及び半導体デバイスの製造方法
KR101061178B1 (ko) * 2008-12-30 2011-09-01 주식회사 하이닉스반도체 반도체 소자 및 그의 제조방법
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8679982B2 (en) * 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) * 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US9039911B2 (en) * 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US8808563B2 (en) * 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US10283615B2 (en) 2012-07-02 2019-05-07 Novellus Systems, Inc. Ultrahigh selective polysilicon etch with high throughput
US9034773B2 (en) 2012-07-02 2015-05-19 Novellus Systems, Inc. Removal of native oxide with high selectivity
JP5968130B2 (ja) * 2012-07-10 2016-08-10 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US8969212B2 (en) * 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064812B2 (en) * 2013-09-05 2015-06-23 Applied Materials, Inc. Aspect ratio dependent etch (ARDE) lag reduction process by selective oxidation with inert gas sputtering

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6638855B1 (en) * 1999-02-10 2003-10-28 Samsung Electronics Co., Ltd. Method of filling contact hole of semiconductor device
US6313042B1 (en) * 1999-09-03 2001-11-06 Applied Materials, Inc. Cleaning contact with successive fluorine and hydrogen plasmas
KR20080061930A (ko) * 2006-12-28 2008-07-03 주식회사 하이닉스반도체 낸드 플래쉬 메모리소자의 고전압 트랜지스터 제조방법
CN102741987A (zh) * 2010-02-01 2012-10-17 中央硝子株式会社 干蚀刻剂以及使用其的干蚀刻方法
US20140004707A1 (en) * 2012-07-02 2014-01-02 Novellus Systems, Inc. Polysilicon etch with high selectivity

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107978604A (zh) * 2016-10-24 2018-05-01 中芯国际集成电路制造(上海)有限公司 半导体器件及其制作方法、电子装置
TWI814329B (zh) * 2016-12-23 2023-09-01 美商蘭姆研究公司 用於執行原子層蝕刻之多站處理工具
CN107611007A (zh) * 2017-08-24 2018-01-19 长江存储科技有限责任公司 一种深沟槽的预清洗方法及3d nand制备工艺
CN111279453A (zh) * 2017-08-25 2020-06-12 艾克斯特朗欧洲公司 用于在外延沉积之前进行表面制备的方法和设备
CN111279453B (zh) * 2017-08-25 2023-07-04 艾克斯特朗欧洲公司 用于在外延沉积之前进行表面制备的方法和设备
CN107731841A (zh) * 2017-08-29 2018-02-23 长江存储科技有限责任公司 一种改善3d nand闪存seg生长质量的方法
CN107731825A (zh) * 2017-08-29 2018-02-23 长江存储科技有限责任公司 一种降低3d nand闪存制备中热负载方法
CN107731843A (zh) * 2017-08-29 2018-02-23 长江存储科技有限责任公司 一种提高seg生长高度均一性方法
CN107968040A (zh) * 2017-11-21 2018-04-27 长江存储科技有限责任公司 一种提高硅外延生长均匀性的工艺
CN109166857A (zh) * 2018-09-03 2019-01-08 长江存储科技有限责任公司 半导体结构及其形成方法
CN109216372A (zh) * 2018-09-19 2019-01-15 长江存储科技有限责任公司 半导体结构的形成方法
CN109346470A (zh) * 2018-11-12 2019-02-15 长江存储科技有限责任公司 三维存储器及其形成方法

Also Published As

Publication number Publication date
KR102402866B1 (ko) 2022-05-26
TWI673791B (zh) 2019-10-01
US9558928B2 (en) 2017-01-31
JP6646978B2 (ja) 2020-02-14
JP2016051900A (ja) 2016-04-11
CN105390389B (zh) 2018-05-11
US20160064212A1 (en) 2016-03-03
TW201626451A (zh) 2016-07-16
KR20160027925A (ko) 2016-03-10

Similar Documents

Publication Publication Date Title
CN105390389A (zh) 高深宽比结构中的触点清洁
TWI680509B (zh) 用於高深寬比圓筒狀物蝕刻的側壁鈍化層之沉積技術
CN106952799B (zh) 使用基于等离子体的工艺消除氟残余物的系统和方法
CN110998790B (zh) 在水平表面上的选择性沉积SiN
TWI699831B (zh) 非等向性鎢蝕刻用方法及設備
US9911620B2 (en) Method for achieving ultra-high selectivity while etching silicon nitride
CN105762060B (zh) 氧化硅和氧化锗的各向同性原子层蚀刻
KR101956837B1 (ko) 준안정 수소 종단을 통한 실리콘의 선택적인 에칭
US9443701B2 (en) Etching method
US9355886B2 (en) Conformal film deposition for gapfill
TWI618135B (zh) 用於鹵化物驅氣的處理系統及方法
TW201704517A (zh) 藉由原子層沉積及原子層蝕刻的保形膜之沉積
CN105719949A (zh) 选择性氮化物蚀刻
JP2021504974A (ja) 粗さを低減するための原子層堆積及びエッチング
US20180308687A1 (en) Euv photopatterning and selective deposition for negative pattern mask
TWI809086B (zh) 蝕刻方法及電漿處理裝置
KR20210011493A (ko) 고 종횡비 구조체들의 효율적인 세정 및 에칭
US20230343593A1 (en) Multi-layer hardmask for defect reduction in euv patterning
CN115668462A (zh) 用氯进行高深宽比电介质蚀刻
WO2020028119A1 (en) Non-selective and selective etching through alternating layers of materials
KR20210035073A (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
US20220351981A1 (en) Etching method, plasma processing apparatus, substrate processing system, and program
US20220068629A1 (en) Substrate processing method and plasma processing apparatus
JP2022039910A (ja) 基板処理方法及びプラズマ処理装置
CN112786442A (zh) 等离子体处理方法及等离子体处理装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant