TWI618135B - 用於鹵化物驅氣的處理系統及方法 - Google Patents

用於鹵化物驅氣的處理系統及方法 Download PDF

Info

Publication number
TWI618135B
TWI618135B TW103109119A TW103109119A TWI618135B TW I618135 B TWI618135 B TW I618135B TW 103109119 A TW103109119 A TW 103109119A TW 103109119 A TW103109119 A TW 103109119A TW I618135 B TWI618135 B TW I618135B
Authority
TW
Taiwan
Prior art keywords
chamber
substrate
processing
chambers
plasma
Prior art date
Application number
TW103109119A
Other languages
English (en)
Other versions
TW201440138A (zh
Inventor
王安川
陳興隆
李資慧
浜名宏
陳智君
徐菁鎂
黃嘉瑩
英格爾尼汀K
路柏曼斯基迪米奇
凡卡塔拉曼尙卡爾
薩庫瑞希爾
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201440138A publication Critical patent/TW201440138A/zh
Application granted granted Critical
Publication of TWI618135B publication Critical patent/TWI618135B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • H01L21/2686Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation using incoherent radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Robotics (AREA)
  • Electromagnetism (AREA)
  • Optics & Photonics (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

提供用於控制濕氣污染物造成之製程缺陷的系統、腔室及製程。系統可提供用於腔室之設置以在真空或受控環境中施行多項操作。腔室可包括組合腔室設計中之設置以提供附加處理功能。方法可提供對老化缺陷之限制、防止及修正,該等老化缺陷可由系統工具施行之蝕刻製程造成。

Description

用於鹵化物驅氣的處理系統及方法 【相關申請案】
本申請主張2014年2月24日提交之第14/188,344號美國臨時專利申請案之優先權,第14/188,344號美國臨時專利申請主張2013年3月15日提交之第第61/789,259號美國臨時專利申請案之權益,該等申請案出於所有目的以全文引用之方式併入本文中。
本發明技術係關於半導體系統、製程及設備。更特定言之,本發明技術係關於減少膜污染物及提高裝置品質之系統及方法。
藉由製程使積體電路成為可能,該製程於基板表面上生產出錯綜複雜之圖案化材料層。於基板上生產圖案化材料要求受控方法以移除暴露材料。化學蝕刻用於多種用途,包括將光阻劑中之圖案轉移進入底層、使層變薄或減小表面上既有特徵之側向尺寸。通常期望有一蝕刻製程,該蝕刻製程蝕刻一種材料之速度快於另一材料,促進例如一種圖案轉 移製程。據稱此類蝕刻製程對第一材料具有選擇性。由於材料、電路及製程之多樣性,蝕刻製程已發展為對各種材料具有選擇性。
基於製程中使用之材料,蝕刻製程可分為濕式或乾式。一種濕式HF蝕刻相對於其他介電質及材料優先移除氧化矽。然而,濕式製程可能不易滲入一些受限壕溝,且有時亦會使餘下材料變形。形成於基板處理區域內之局部電漿中產生之乾式蝕刻可滲入更受限之壕溝,並展示餘下細微結構之較少變形。然而,局部電漿可經由放電時產生的電弧損壞基板。
因此,需要可用於生產高品質裝置及結構之改良系統及方法。本發明技術解決了該等及其它需要。
提供用於控制濕氣污染物造成之製程缺陷的系統、腔室及製程。系統可提供用於腔室之設置以在真空或受控環境中施行多項操作。腔室可包括組合腔室設計中之設置以提供附加處理功能。方法可提供對老化缺陷之限制、防止及修正,該等老化缺陷可由系統工具施行之蝕刻製程造成。
根據本發明技術之基板處理系統可包括複數個固持腔室;複數個裝載腔室,該等複數個裝載腔室經設置以接收基板進入真空環境;及具有至少兩個介面轉移裝置之介面段,該等介面轉移裝置經設置以在該等複數個固持腔室與該等複數個裝載腔室間輸送基板,該等複數個固持腔室在介面段之第一位置處與介面段耦接,該等複數個裝載腔室在介面 段之第二位置處與介面段耦接,與該等複數個固持腔室相對。系統亦可包括一處置腔室,該處置腔室定位為與複數個裝載腔室中之至少一者垂直對齊且與複數個裝載腔室中之該至少一者耦接。系統可包括複數個處理腔室以及一製程轉移裝置,該製程轉移裝置經設置以在該等複數個裝載腔室中之任一者與該等複數個處理腔室中之任一者之間輸送基板,同時將基板保持在真空條件下。該製程轉移裝置亦可經設置以垂直於該處置腔室輸送基板。
處理系統可具有均處於系統之第一海拔平面上之裝載腔室和處理腔室;及處於基板處理系統之第二海拔平面上之處置腔室,該第二海拔平面處於基板處理系統之第一海拔平面之上。轉移裝置可經設置以維持真空條件同時垂直於處置腔室輸送基板。系統可包括複數個處置腔室,其中每個處置腔室與複數個裝載腔室中之一者垂直對齊且耦接。系統亦可包括兩個裝載腔室及兩個處置腔室,且該等裝載腔室可相互水準佈置。
系統可進一步包括一處置電漿產生裝置,該處置電漿產生裝置與兩個處置腔室分開並與該等處置腔室耦接。該系統亦可包括兩個處置電漿產生裝置,其中處置電漿產生裝置中之一者可與處置腔室中之一者耦接,處置電漿產生裝置中之第二者可與處置腔室中之第二者耦接。處置腔室可包括經設置以在處置腔室內產生一直接電漿之組件,且該直接電漿可包括一電容耦合電漿。處置腔室亦可包括經設置以在處置腔室內產生一紫外光處置之組件。系統之固持腔室可包括 至少一入口埠,且可經設置以經由該入口埠接收一流體以及引導該流體穿過該固持腔室並進入介面段。固持腔室亦可包括至少一內部擴散器,該內部擴散器經設置以引導該接收之流體貫穿固持腔室。系統裝載腔室可包括至少一個加熱裝置,該加熱裝置經設置以加熱該裝載腔室達300℃左右。
處理系統可進一步包括一濕式蝕刻腔室,該濕式蝕刻腔室在介面段之第三位置處與介面段耦接,介面段之第三位置與介面段之第一及第二位置相鄰。儲存腔室亦可在介面段之第四位置處與介面段耦接,該第四位置與第三位置相對。
處理系統之處理腔室可耦接成基板處理系統內之成對串聯處理腔室。處理腔室可包括至少兩對串聯處理腔室,其中至少兩對串聯處理腔室中之第一對可經設置以施行氧化矽蝕刻操作,且至少兩對串聯處理腔室中之第二對可經設置以施行矽蝕刻操作。
亦描述一種基板處理的方法,該方法可包括以下步驟:使用第一轉移裝置從一固持腔室轉移一基板至一裝載腔室。方法亦可包括以下步驟:抽空該裝載腔室以使得基板維持在真空環境中。該方法可包括以下步驟:使用第二轉移裝置從抽空之裝載腔室轉移基板至處理腔室,及然後使用第二轉移裝置從處理腔室轉移基板至裝載腔室。一旦回到裝載腔室中,該方法可包括以下步驟:移除裝載腔室之真空條件,及最後可包括以下步驟:使用第一轉移裝置從裝載腔室轉移基板至一儲存腔室。
該方法亦可包括以下步驟:在將基板轉移至固持腔 室之前,使用第一轉移裝置轉移基板至濕式蝕刻站。該方法可進一步包括以下步驟:在將基板轉移至裝載腔室之前,使用第二轉移裝置從處理腔室轉移基板至與裝載腔室垂直對齊且耦接之處置腔室。該等方法之處置腔室可經設置以施行驅氣操作以將鹵化物質從氧化矽材料中移除。驅氣操作可包括電漿製程,且在所揭示實施例中亦可包括紫外線處置或電子束處置。
儲存腔室可用惰性流體連續吹洗,以使得儲存腔室包含惰性環境,且在所揭示實施例中,儲存腔室可為固持腔室,但儲存腔室亦可為與固持腔室分開的腔室。該方法亦可包括以下步驟:繼將基板至轉移裝載腔室之後,加熱基板至第一溫度達第一段時間。處理腔室可包括第一處理腔室,且該第一處理腔室可經設置以施行氧化物蝕刻製程。方法亦可包括以下步驟:在將基板轉移至裝載腔室之前,從第一處理腔室轉移基板至第二處理腔室,且該第二處理腔室可經設置以施行矽蝕刻製程。
亦描述一種計算系統,該計算系統電耦接基板處理系統且經設置以提供操作指令至該基板處理系統。該計算系統可包括一或多個處理器及記憶裝置,該記憶裝置以通信方式耦接該一或多個處理器且具有用於施行操作之指令集。當指令集由一或多個處理器執行時,該等指令可促使基板處理系統及/或氣體輸送系統及個別腔室可經受命令以使用第一轉移裝置將基板從固持腔室轉移至裝載腔室。該等指令可進一步促使該裝載腔室被抽空,以使得基板可維持在真空環境 中。該等指令亦可促使基板藉由第二轉移裝置從抽空之裝載腔室轉移至處理腔室,亦可促使基板藉由第二轉移裝置從處理腔室轉移至與裝載腔室垂直對齊且耦接之處置腔室。該等執行之指令可進一步促使基板藉由第二轉移裝置從處置腔室轉移至裝載腔室,之後移除裝載腔室之真空條件。該等執行之指令可另外促使基板藉由第一轉移裝置從裝載腔室轉移至固持腔室。計算系統亦可電耦接氣體輸送系統並經設置以提供指令至氣體輸送系統,以及可促使氣體輸送系統提供至少一種前驅物至處理腔室,該計算系統可為一控制器。當基板轉移回到裝載腔室時,該等指令可促使處理系統將裝載腔室從第一溫度加熱達到高於或在200℃左右之第二溫度。
亦描述一種組合處理腔室,該組合處理腔室可包括下部腔室外殼及上部腔室外殼。該下部腔室外殼可界定或包括位於下部腔室外殼之第一側上之第一入口,及位於下部腔室外殼之第二側上之第二入口,下部腔室外殼之第二側與該下部腔室外殼之第一側相對。該上部腔室外殼可與下部腔室外殼耦接,且可包括位於上部腔室外殼之第一側上之第三入口,該上部腔室外殼之第一側與下部腔室外殼之第一側一致;及一上部處理區域,該上部處理區域至少部分地由面板從上界定,該面板安置於該上部腔室外殼之內。
下部腔室外殼可界定一下部基板區域,並且該下部基板區域亦可包括或至少部分地由加熱器從下界定,該加熱器經設置以加熱下部基板區域達300℃左右。該下部基板區域可經設置以從大氣壓力抽空至低於大氣壓力之第二壓力,並 且該下部腔室外殼可經設置以在結構上支援壓力循環每10分鐘從大氣壓力降至小於或約5毫托並回升。
上處理腔室亦可包括溫度控制裝置,該溫度控制裝置經設置以維持安置在該裝置上之基板之溫度在約0℃與約600℃之間。溫度控制裝置可包括加熱板,該加熱板安置於上部腔室外殼之內以從下至少部分地界定該上部處理區域。該上部處理區域亦可包括基板支撐裝置,該基板支撐裝置經設置以沿著一邊緣區域支撐一基板並在上部處理區域內懸掛該基板。該腔室可具有遠端電漿單元,該遠端電漿單元與上部腔室外殼之上部耦接。亦可包括上部分佈區域,該上部分佈區域至少部分地界定在上部腔室外殼之上部與面板之間。上部分佈區域可包括一中心分佈區域及一邊緣分佈區域,該邊緣分佈區域與該中心分佈區域分離且位於該中心分佈區域之徑向遠端。
組合腔室可進一步包括氣體入口總成,該氣體入口總成安置於上部腔室外殼之上部內且經設置以輸送前驅物至上部分佈區域中。氣體入口總成之至少部分特徵在於圓柱形狀,並且氣體入口總成之下部可界定複數個徑向分佈在氣體入口總成下部周圍之氣體輸送孔。該氣體入口總成亦可包括旁路流體通道,該旁路流體通道經設置以圍繞氣體入口總成之圓柱形部分輸送至少一種前驅物。該旁路流體通道可包括第一旁路段,該第一旁路段經設置以引導至少一種前驅物至該中心分佈區域,且該旁路流體通道可包括一第二旁路段,該第二旁路段經設置以引導至少一種前驅物至該邊緣分佈區 域。
組合腔室之面板可與多位置開關耦接,該多位置開關可操作以在交替開關位置處將面板連接至電源及接地源。上部腔室外殼之下部可接地,使得當面板連接至電源時,上部處理區域生產電漿。上部腔室外殼之下部亦可與上部腔室外殼之其他部分電氣隔離。
所揭示技術亦可包括處置腔室。該處置腔室可包括底部與基板裝載閉鎖腔室耦接之腔室外殼。該處置腔室亦可包括入口總成,該入口總成經設置以將流體接收至腔室內界定之內部區域中;面板,該面板安置在內部區域內且在腔室內從下界定分佈區域並從上界定處理區域。
面板可包括介電材料(諸如,石英)且亦可包括導電材料以使得面板可作為電極進行操作。在此設置中,腔室可經設置以在處理區域內產生電漿。腔室之組件可經內襯或經其他處置,且入口總成可包括例如石英襯。處置腔室亦可具備與入口總成耦接之能量生產單元。該入口總成亦可包括窗戶,且該能量生產單元可包括經設置以提供紫外光至腔室之光源。
所揭示技術亦可包括防止已處置基板上發生表面反應之方法。該等方法可包括以下步驟:在第一蝕刻製程中蝕刻基板,且該第一蝕刻製程對氧化矽之選擇性可勝過矽。該等方法亦可包括以下步驟:在第二蝕刻製程中蝕刻基板,且該第二蝕刻製程對矽之選擇性可勝過氧化矽。該等方法可包括以下步驟:加熱基板至第一處置溫度,及然後轉移基板至 乾燥環境。
在加熱基板後可將基板轉移至一腔室,且一流體可連續流動穿過該腔室以維持乾燥環境。就蝕刻製程而言,第二蝕刻製程可包括含氟前驅物及含氧前驅物。第一蝕刻製程可利用含氟前驅物及含氫前驅物。在蝕刻製程中,氧化矽區域可暴露至第二蝕刻製程,且第二蝕刻製程可產生自由基氟物質。該等自由基氟物質可併入氧化矽或其他暴露材料(諸如,氮化矽)。加熱操作之第一溫度可大於或約150℃。操作可類似地包括加熱基板至高於或低於第一溫度之第二溫度。可將基板維持於第一溫度達第一段時間,且其中第一段時間大於或約2分鐘。如果施行第二加熱操作,則可將基板維持於第二溫度達第二段時間,該第二段時間可大於或小於第一段時間。
亦包括蝕刻基板之方法,該等方法可包括以下步驟:提供包括矽之基板及使氧化矽層覆蓋於矽上。該等方法可包括以下步驟:在第一蝕刻製程中蝕刻基板;其中第一蝕刻製程對氧化矽之選擇性可勝過矽。可在第二蝕刻製程中蝕刻基板,其中第二蝕刻製程對矽之選擇性可勝過氧化矽。該等方法亦可包括以下步驟:在第三蝕刻製程中蝕刻基板,其中第三蝕刻製程對氧化矽之選擇性可勝過矽。在所揭示實施例中,第一蝕刻製程及第三蝕刻製程為類似蝕刻製程。可在第一處理腔室中施行第一蝕刻製程及第三蝕刻製程,且可在第二處理腔室中施行第二蝕刻製程。第三蝕刻製程可蝕刻氧化矽層以移除深度至少約5埃之可包括殘餘鹵化物物質之材 料。第一蝕刻製程及第三蝕刻製程可包括使基板暴露於含氮前驅物及含氟前驅物,其中該含氟前驅物流經電漿以產生電漿流出物。第二蝕刻製程包括使基板暴露於含氟前驅物及含氧前驅物,其中該含氟前驅物流經電漿以產生電漿流出物。該氧化矽層可暴露於第二蝕刻製程,且剩餘氟物質可併入氧化矽層。
包括蝕刻基板之附加方法,該等方法亦可包括以下步驟:提供一包括矽之基板及使氧化矽層覆蓋於矽上。該製程可包括在第一蝕刻製程中蝕刻基板,且該第一蝕刻製程對氧化矽之選擇性可勝過矽。該等方法可包括以下步驟:在第二蝕刻製程中蝕刻基板,其中第二蝕刻製程對矽之選擇性可勝過氧化矽。該等方法亦可包括以下步驟:使用第三製程中處置基板。在處理期間,氧化矽層可暴露於第二蝕刻製程。第二蝕刻製程可產生自由基氟物質,且在某些製程中殘餘氟物質可併入氧化矽層。
所描述方法之第三製程可包括以下步驟:在基板表面處引導電漿流出物。電漿流出物可自入口前驅物產生,且可從氧化矽層移除頂表面。在所揭示實施例中,第三製程可包括濕式蝕刻製程。在某些設置中,第一製程、第二製程及第三製程中之每一者可在不同處理腔室中施行。濕式蝕刻可包括氫氟酸(諸如在某些實施例中可具有200:1、150:1、100:1、50:1等比例或在上述所列出之比例之內、高於或低於上述比例之其他比例之DHF)。該濕式蝕刻可移除高達12埃左右之氧化矽層。在所揭示實施例中,第三製程可包括使氧 化矽層暴露於去離子水。該去離子水不用蝕刻該氧化矽層即可從氧化矽層中移除至少一部分殘餘氟物質。
本發明技術亦包括從具有暴露之矽表面及氧化矽表面之已處理基板移除污染物之方法。該等方法可包括以下步驟:在蝕刻製程中蝕刻基板,且該蝕刻製程對矽之選擇性可勝過氧化矽。蝕刻製程可產生自由基物質,且自由基物質之殘餘物質可併入氧化矽層。該等方法亦可包括以下步驟:處置基板以從氧化矽表面移除至少一部分殘餘物質。所執行之蝕刻製程可包括使基板暴露於含氟前驅物及含氧前驅物。該含氟前驅物可流經電漿以產生可包括自由基氟物質之至少一部分自由基物質。
蝕刻製程可不蝕刻或實質上不蝕刻該氧化矽層。舉例而言,可僅移除少量氧化矽材料。自由基氟物質可併入一剖面中之氧化矽層,以使得併入程度隨著氧化矽膜深度漸增而降低。可施行之處置可選自由熱處置、UV處置、電子束處置、微波處置、固化處置及電漿處置組成之群組。可施行處置之溫度可為介於約0℃與約800℃之間的一溫度。另外,可施行處置之壓力可為介於約1毫托與約700托之間的一壓力。基板之處置可包括減少氧化矽層中之殘餘物質量至低於或約20%。基板之處置亦可包括減少氧化矽層中之殘餘物質量至低於或約10%,或低於或約5%。在所揭示實施例中,處置可實質或基本上移除氧化矽材料之上表面處之殘餘鹵化物。在此情況中,殘餘鹵化物物質仍可在氧化矽表面較淺處存在。
該等方法亦可包括以下步驟:轉移基板至處置腔室以用於處置製程。在轉移至處置腔室期間,基板可維持在真空下,且基板之處置可包括使處置物質暴露於能量源以產生經設置以與自由基物質相互作用之激勵處置物質。在所揭示實施例中,該等處置物質可選自由含氫前驅物、含氧前驅物、含氮前驅物及惰性前驅物組成之群組;且在一實例中,用於激勵處置物質之能量源可包括一電漿,該電漿可形成於處置腔室之內或之外以輸送激勵物質至基板。內部或外部利用之電漿可包括一電漿,該電漿選自由電容耦合電漿、感應耦合電漿、微波電漿及環形電漿組成之群組。所利用之處置物質可包括一或多種前驅物,該一或多種前驅物包括與殘餘物質鍵接之含氫前驅物。該等方法亦可包括以下步驟:轉移基板至鈍化腔室以用於鈍化製程。在轉移至發生鈍化之腔室期間,基板可維持在真空下或惰性環境中。施行之鈍化可包括加熱基板至大於或約150℃之溫度達大於兩分鐘或約兩分鐘之一時間段。
所揭示之技術亦可包括移除具有暴露之氧化矽區域及暴露之非氧化區域之基板上之污染物的方法。該等方法可包括以下步驟:使含氟前驅物流入基板處理腔室之遠端電漿區域中,同時在遠端電漿區域中形成電漿以產生含氟電漿流出物,該遠端電漿區域與基板處理腔室之基板處理區域流體耦接。該等方法可包括以下步驟:利用電漿流出物蝕刻暴露之非氧化區域,且包含一部分含氟電漿流出物之氟物質可併入在蝕刻製程期間可暴露之氧化矽區域。該等方法可包括以 下步驟:使第一處置前驅物流入基板處理腔室之遠端電漿區域中以產生處置電漿流出物,並使至少一種附加處置前驅物流入可與處置電漿流出物相互作用之基板處理區域中。該等方法可進一步包括以下步驟:使氧化矽區域暴露於包括處置電漿流出物之處置前驅物以從氧化矽區域移除殘餘電漿流出物。
在所揭示實施例中,基板之經暴露之非氧化區域可包括矽,氮化矽或金屬。可使用之處置電漿流出物可至少部分地解離基板處理區域內之至少一種附加處置前驅物。藉由至少部分地解離前驅物,部分解離物質之組合間可形成完全解離之物質。被至少部分解離之至少一種附加處置前驅物可相互發生物理或化學作用,且可鍵接併入氧化矽區域之氟物質。該處置前驅物可包括一種惰性或稀有物質,且可包括選自由氮、氦、氬及氙組成之群組的前驅物。
所利用的至少一個附加處置前驅物可包括含氫前驅物及其他前驅物。對氧化矽材料施行之暴露可促使移除一部分氧化矽材料。該暴露亦可移除至少一部分氟物質,同時維持或基本維持氧化矽材料。據此,無意中少量移除氧化矽物質仍可由該等方法完成。可在單一腔室環境中施行該等方法,如此可允許該等方法在一實質抽空之環境中發生,以及在腔室內之穩定或恆定環境中發生。該暴露可在約0℃與約800℃之間的一溫度以及在該範圍內之許多溫度下施行;該暴露亦可在約1毫托至約700托之間的一壓力下施行。
本發明技術仍可進一步包括移除具有暴露之氧化矽 區域及暴露之非氧化區域之基板上之污染物的方法。該等方法可包括以下步驟:使含氟前驅物流入基板處理腔室之遠端電漿區域中,同時在遠端電漿區域中形成電漿以產生含氟電漿流出物,該遠端電漿區域與基板處理腔室之基板處理區域流體耦接。該等方法可進一步包括以下步驟:利用電漿流出物蝕刻暴露之非氧化區域,其中殘餘氟物質可併入氧化矽區域內。該等方法可進一步包括以下步驟:使至少一種處置前驅物流入基板處理區域種,以及使氧化矽區域暴露於至少一種處置前驅物以移除至少一部分殘餘氟物質。
在使至少一種處置前驅物流入基板處理區域中之前,該處置前驅物可不通過一電漿,且在所揭示實施例中,可在暴露期間使處理區域維持不含電漿。據此,在所揭示實施例中,處置操作可完全或實質上於無電漿狀態下施行。該流入操作可包括多個製程,該等多個製程可包括凝結氧化矽區域之表面上之水蒸氣,以及使含氮前驅物流入基板處理區域中。該等步驟可順次施行,且可按直接順序施行或於特定系統操作之操控後施行,該等特定系統操作包括步驟間之溫度及壓力。處置製程中使用之含氮前驅物可包括氨。
在處置期間,水蒸氣可與殘餘氟物質相互作用。此舉可破壞表面內之殘餘物質之鍵接或併入,且可與凝結水組分直接鍵接。氨可隨後與材料或經組合材料相互作用亦沿著氧化矽區域產生副產物。該等方法可進一步包括以下步驟:升高腔室內之溫度高於可能導致副產物蒸發之臨界溫度。在所揭示實施例中,該臨界溫度可高於例如100℃左右。在所揭 示實施例中,雖然製程可最低程度減少氧化矽層,但製程亦可實質或基本維持氧化矽材料,以使得材料厚度不會減少,或減少到特定水準之下(諸如小於或約50%、40%、30%、25%、20%、15%、10%、5%、1%等),或根本不會移除厚度。貫穿本揭示案描述之其他方法及技術可類似地減少材料之總厚度達到本文定義水準之任一者。該製程亦可減少氧化矽之表面層內氟濃度低於10%左右;該操作可包括減少包括殘餘氟物質之氧化矽材料之量,或從氧化矽材料移除氟物質。
與習知技術相比,此技術可提供無數益處。舉例而言,該等系統及製程可向新腔室提供附加功能性,允許施行處置製程之同時維持一乾燥環境。該等製程及系統亦可提供改良之製程,該等改良製程限制基板上之老化缺陷,及/或去除潛在誘因。結合以下描述及附隨圖式來更詳細描述該等及其他實施例連同該等及其他實施例之優點及特徵。
100A‧‧‧系統A
100B‧‧‧系統B
102‧‧‧前開式晶圓盒
103‧‧‧介面
104‧‧‧裝載腔室
104a‧‧‧機械臂a
104b‧‧‧機械臂b
105‧‧‧附加腔室
105A‧‧‧晶圓盒裝載器A
105B‧‧‧晶圓盒裝載器B
105C‧‧‧晶圓盒裝載器C
106a‧‧‧裝載腔室a
106b‧‧‧裝載腔室b
107‧‧‧附加腔室
108a‧‧‧基板處理腔室a
108b‧‧‧基板處理腔室b
108c‧‧‧基板處理腔室c
108d‧‧‧基板處理腔室d
108e‧‧‧基板處理腔室e
108f‧‧‧基板處理腔室f
109a‧‧‧串聯區a
109b‧‧‧串聯區b
109c‧‧‧串聯區c
110‧‧‧轉移段
111‧‧‧機械臂
112‧‧‧轉移段
113‧‧‧機械運輸機構
113A‧‧‧刀片A
113B‧‧‧刀片B
114A‧‧‧處理腔室A
114B‧‧‧處理腔室B
114C‧‧‧處理腔室C
114D‧‧‧處理腔室D
116A‧‧‧維修腔室A
116B‧‧‧維修腔室B
200‧‧‧系統
203‧‧‧介面
205‧‧‧側腔室
206‧‧‧裝載腔室
207‧‧‧可密封開口
208‧‧‧處理腔室
210‧‧‧轉移站
213‧‧‧系統主體
300‧‧‧腔室
310‧‧‧遠端電漿系統
311‧‧‧氣體入口總成
312‧‧‧第一通道
313‧‧‧第二通道
320‧‧‧腔室電漿區域
321‧‧‧蓋
324‧‧‧絕緣環
326‧‧‧長度
350‧‧‧最小直徑
351‧‧‧中空容積
353‧‧‧噴頭
355‧‧‧較小孔
356‧‧‧通孔
370‧‧‧基板處理區域
375‧‧‧基板支架
380‧‧‧基板
400‧‧‧腔室
401‧‧‧遠端電漿系統
403‧‧‧冷卻板
405‧‧‧氣體入口總成
410‧‧‧氣體輸送系統
414‧‧‧上板
415‧‧‧電漿區域
416‧‧‧下板
417‧‧‧面板
418‧‧‧容積
419‧‧‧第一流體通道
420‧‧‧絕緣環
421‧‧‧第二流體通道
423‧‧‧離子抑制器
425‧‧‧噴頭
433‧‧‧基板處理區域
440‧‧‧電源
455‧‧‧基板
458‧‧‧氣體供應區域
459‧‧‧孔徑
465‧‧‧基板支架
500‧‧‧處理腔室
502‧‧‧蓋總成
504‧‧‧支撐總成通道
510‧‧‧支撐總成
512‧‧‧腔室主體
513‧‧‧腔室主體通道
522‧‧‧環形安裝法蘭
525‧‧‧真空泵
527‧‧‧節流閥
529‧‧‧泵送通道
530‧‧‧擋塊總成
531‧‧‧真空埠
533‧‧‧內襯
535‧‧‧孔徑
540‧‧‧基板處理區域
545‧‧‧電極
546‧‧‧電源
547‧‧‧電絕緣環
550‧‧‧頂板
551‧‧‧孔徑
552‧‧‧孔徑
560‧‧‧狹縫閥開口
561‧‧‧電漿容積
562‧‧‧容積
563‧‧‧容積
600‧‧‧腔室
602‧‧‧基板
605‧‧‧第二電極
607‧‧‧繼電器
608‧‧‧RF電源
610‧‧‧第二噴頭
615‧‧‧附加流量分配器
616‧‧‧第一進氣流量
617‧‧‧嵌入式換熱線圈
618‧‧‧分配區域
620‧‧‧介電環
623‧‧‧虛線
624‧‧‧虛線
625‧‧‧第一噴頭
627‧‧‧繼電器
628‧‧‧RF源
630‧‧‧介電隔片
640‧‧‧腔室壁
648‧‧‧高壓DC電源
649‧‧‧篩孔
650‧‧‧卡盤
652‧‧‧第一RF發生器
653‧‧‧第二RF發生器
655‧‧‧波紋管
660‧‧‧閘閥
666‧‧‧渦輪分子泵
670‧‧‧第一電漿
676‧‧‧氣體入口
680‧‧‧孔徑
681‧‧‧第二腔室區域
682‧‧‧孔徑
690‧‧‧氣體分佈系統
692‧‧‧第二電漿
725‧‧‧噴頭
765‧‧‧通孔
775‧‧‧小孔
800‧‧‧噴頭
810‧‧‧環形框架
820‧‧‧板
865‧‧‧孔徑
900‧‧‧系統
903‧‧‧介面
905‧‧‧側腔室
906‧‧‧裝載腔室
907‧‧‧可密封開口
908‧‧‧處理腔室
910‧‧‧轉移站
913‧‧‧系統主體
917‧‧‧處置處理腔室
918b‧‧‧封蓋
920‧‧‧處置容積
922‧‧‧基座
925‧‧‧覆蓋物
1000‧‧‧示例處理系統
1003‧‧‧介面
1005‧‧‧側腔室
1006‧‧‧裝載腔室
1007‧‧‧可密封開口
1008‧‧‧處理腔室
1010‧‧‧輸送站
1013‧‧‧系統主體
1017‧‧‧處理腔室
1018‧‧‧狹縫閥
1018b‧‧‧蓋子
1020‧‧‧處置容積
1022‧‧‧基座
1030‧‧‧分佈單元
1100‧‧‧示例處理系統
1103‧‧‧介面
1105‧‧‧側腔室
1106‧‧‧裝載腔室
1107‧‧‧可密封開口
1110‧‧‧輸送站
1113‧‧‧系統主體
1117‧‧‧處理腔室
1117b‧‧‧處置處理腔室b
1118b‧‧‧蓋子
1120‧‧‧處置容積
1122‧‧‧基座
1140‧‧‧處理單元
1200‧‧‧示例處理或處置腔室
1203‧‧‧頂蓋
1205‧‧‧入口流體總成
1207‧‧‧支撐件
1210‧‧‧環形框架
1212‧‧‧耦接板
1213‧‧‧系統框架
1214‧‧‧孔徑
1215‧‧‧直接聯軸器
1216‧‧‧通道
1220‧‧‧處理區域
1222‧‧‧支撐件
1225‧‧‧噴頭
1225b‧‧‧噴頭
1225c‧‧‧噴頭
1230‧‧‧分佈單元
1255‧‧‧基板
1258‧‧‧分佈區域
1265‧‧‧腔室外殼
1270‧‧‧溫度控制裝置
1300‧‧‧組合處理腔室
1303‧‧‧上部腔室外殼
1309‧‧‧底部區域
1310‧‧‧下部腔室外殼
1311‧‧‧加熱機構
1312‧‧‧第一接入口
1313‧‧‧系統框架
1320‧‧‧下部基板區域
1322‧‧‧升降銷
1325‧‧‧面板
1327‧‧‧通道
1330‧‧‧外部源
1345‧‧‧溫度控制裝置
1348‧‧‧底部區域
1350‧‧‧上部腔室外殼
1352‧‧‧第三接入口
1355a‧‧‧基板a
1355b‧‧‧基板b
1360‧‧‧上部處理區域
1365a‧‧‧中心分佈區域a
1365b‧‧‧邊緣分佈區域b
1366‧‧‧分隔段
1370‧‧‧聯軸器
1372‧‧‧附加耦接裝置
1385‧‧‧氣體入口總成
1386‧‧‧氣體輸送孔徑
1387‧‧‧第一旁路段
1389‧‧‧第二旁路段
1410‧‧‧操作
1420‧‧‧操作
1430‧‧‧操作
1440‧‧‧操作
1450‧‧‧操作
1460‧‧‧操作
1470‧‧‧操作
1480‧‧‧操作
1490‧‧‧操作
1500‧‧‧示例處理系統
1502a‧‧‧固持腔室a
1502b‧‧‧固持腔室b
1502c‧‧‧固持腔室c
1502d‧‧‧固持腔室d
1504a‧‧‧固持腔室a
1504b‧‧‧固持腔室b
1505‧‧‧濕式蝕刻站
1506a‧‧‧裝載腔室
1507‧‧‧儲存腔室
1508a‧‧‧附加處理腔室a
1508b‧‧‧附加處理腔室b
1508c‧‧‧附加處理腔室c
1508d‧‧‧附加處理腔室d
1508e‧‧‧附加處理腔室e
1508f‧‧‧附加處理腔室f
1510‧‧‧轉移腔室
1511‧‧‧第二轉移裝置
1610‧‧‧操作
1620‧‧‧操作
1630‧‧‧操作
1710‧‧‧操作
1720‧‧‧操作
1730‧‧‧操作
1740‧‧‧操作
1810‧‧‧操作
1820‧‧‧操作
1830‧‧‧操作
1910‧‧‧操作
1920‧‧‧操作
1930‧‧‧操作
2010‧‧‧操作
2020‧‧‧操作
2030‧‧‧操作
2100‧‧‧電腦系統
2105‧‧‧匯流排
2110‧‧‧前驅物
2115‧‧‧輸入裝置
2120‧‧‧輸出裝置
2125‧‧‧永久儲存裝置
2130‧‧‧通信子系統
2135‧‧‧工作記憶體
2140‧‧‧作業系統
2145‧‧‧應用程式
藉由參考說明書及圖式之剩餘部分可實現對該揭示技術之性質及優點的進一步理解。
第1A圖繪示根據所揭示技術之一示例處理系統之俯視平面圖。
第1B圖繪示根據所揭示技術之另一示例處理系統之俯視平面圖。
第2圖繪示根據所揭示技術之一示例處理系統之後部透視圖。
第3圖繪示根據所揭示技術之於一系統中使用之示 例處理腔室之橫截面示意圖。
第4A圖繪示根據所揭示技術之示例處理腔室之另一橫截面示意圖。
第4B圖繪示如第4A圖所示之處理腔室組件之部分細節圖。
第5圖繪示根據所揭示技術之一示例處理腔室之另一橫截面示意圖。
第6圖繪示根據所揭示技術之一示例處理腔室之另一橫截面示意圖。
第7圖繪示根據所揭示技術之一噴頭之仰視平面圖。
第8圖繪示根據所揭示技術之另一噴頭之仰視平面圖。
第9圖繪示根據所揭示技術之一示例處理系統之後部透視圖。
第10圖繪示根據所揭示技術之一示例處理系統之後部透視圖。
第11圖繪示根據所揭示技術之一示例處理系統之後部透視圖。
第12A圖繪示根據所揭示技術之可與一裝載腔室耦接之示例處理腔室。
第12B圖繪示沿著線A-A之第12A圖中所示之處理腔室之橫截面部分之平面圖。
第12C圖繪示沿著線A-A之第12A圖中所示之處理 腔室之橫截面部分之另一平面圖。
第13圖繪示根據所揭示技術之一示例組合處理及裝載腔室。
第14圖繪示根據所揭示技術之晶圓運輸之示例製程。
第15圖繪示根據所揭示技術之闡明晶圓運輸之示例系統之俯視平面圖。
第16圖繪示根據所揭示技術之沈積製程及蝕刻製程之示例方法。
第17圖繪示根據所揭示技術之蝕刻製程及處置製程之示例方法。
第18圖繪示根據所揭示技術之蝕刻製程及移除製程之示例方法。
第19圖繪示根據所揭示技術之蝕刻製程及處置製程之示例方法。
第20圖繪示根據所揭示技術之蝕刻製程及暴露製程之示例方法。
第21圖繪示可用於施行一個或多個所論述操作之簡化電腦系統。
在附圖中,類似組件及/或特徵可具有相同元件符號。而且,相同類型之各種組件可藉由元件符號後加區分類似組件及/或特徵之字母進行區分。如果第一元件符號僅在說明書中使用,則描述適用於第一元件符號相同之類似組件及/或特徵中之任一者而不論字母後綴。
本發明技術包括用於減少半導體基板膜之鹵化物污染物之改良系統及方法。該等系統及方法亦提供改良之製程結構以限制與已處理基板發生相互作用之濕氣。各種乾式蝕刻製程利用製程中之含鹵前驅物。當施行選擇性蝕刻製程時,非選擇性材料或蝕刻較慢、較遜色或根本無法蝕刻之材料仍可暴露於蝕刻製程中所使用之前驅物及化學物質。舉例而言,比起氧化物材料,利用自由基化含氟前驅物之某些蝕刻製程對矽、氮化矽及各種金屬具有選擇性。當施行蝕刻製程時,儘管未移除材料,但氧化物材料仍可暴露於化學蝕刻劑。在某些情況下,製程中產生之自由基氟或其他自由基鹵化物可與氧化物材料(諸如,氧化矽材料)發生相互作用及/或組合。當製程完成時,氟仍可併入氧化矽或與氧化矽鍵接。製程可在真空下或惰性環境中施行,然而,在製程完成后,基板可從真空環境移除並暴露於大氣條件。如此,不僅在處理系統內轉移基板,而且轉移基板至交替處理系統以用於額外操作。
當暴露於大氣條件時,老化缺陷可形成以至於影響介電質之品質及強度。在不希望被任何特殊理論束縛之情況下,發明者認為空氣中之濕氣可與保留在氧化物表面內之氟或其他鹵化物發生相互作用。舉例而言,存在於氧化基質之內或附著於氧化基質之氟可產生或類似於氟化氧化矽(諸如,化學式為SiOFx之氟化氧化矽)。當暴露於濕氣時,根據如下化學式,水有可能會與氧化物發生相互作用: SiOFx+H2O → HxSiO4+HF此舉可沿著氧化物材料表面產生矽酸,從而產生材料缺陷或老化缺陷。雖然水可從矽酸移除以重組氧化矽,但是膜品質可能受影響,此舉可對隨後之整個晶圓製程有影響。在一個實例中,此類老化間題已藉由原生氧化膜得到證實,該等原生氧化膜通常為覆蓋基板之第一層中之一者。同樣地,當該等膜受老化缺陷影響時,整個基板之品質可會受影響或損害。
據此,本文描述之系統及方法提供晶圓處理彈性以允許藉由移除材料、污染物驅氣及/或其他操作減少老化缺陷。下文將詳細描述該等及其它益處。
一.系統及組件
為了更好地理解並瞭解該技術,現請參考第1A圖,該圖繪示示例處理系統100A之俯視圖,該示例處理系統100A經設置以施行蝕刻操作同時限制老化缺陷。在該圖中,一對前開式晶圓盒(Front Opening Unified Pods;FOUP)102供應不同尺寸之基板,該基板由機械臂104接收並置放於低壓裝載腔室106中,隨後置放於基板處理腔室108a-f中之一者,該等基板處理腔室108a-f中之一者定位於串聯區109a-c中。在替代佈置中,系統100A可具有附加FOUP,且可具有例如3、4、5、6等或更多個FOUP。處理腔室可包括如本揭示案其他地方描述之腔室中之任一者。在所揭示實施例中,處理系統包括複數個FOUP或固持腔室。第二機械臂或一套機械臂111可用於從裝載腔室106運輸基板晶圓至基板處理腔室108a-f,並藉由轉移段110返回。雖然闡明兩個裝載腔室106, 但是系統可包括複數個裝載腔室,該等複數個裝載腔室各自經設置以接收基板至真空環境中進行處理。每一基板處理腔室108a-f可經配備以施行若干基板處理操作,除循環層沈積(CLD)、原子層沈積(ALD)、化學氣相沈積(CVD)、物理氣相沈積(PVD)、蝕刻、預清潔、脫氣、定向及其它基板製程之外,包括本文描述之乾式蝕刻製程。在所揭示實施例中,舉例而言,系統可包括至少兩對串聯處理腔室。至少兩對串聯處理腔室中之第一者可經設置以施行氧化矽蝕刻操作,且至少兩對串聯處理腔室中之第二者可經設置以施行矽或氮化矽蝕刻操作。
基板處理腔室108a-f可包括用於在基板晶圓上沈積、退火、固化及/或蝕刻介電膜之一個或多個系統組件。在一設置中,兩對處理腔室例如108c-d及108e-f可用於在基板上施行第一蝕刻操作,且第三對處理腔室例如108a-b可用於在基板上施行第二蝕刻操作。在另一設置中,三對腔室例如108a-f可全部經設置以蝕刻基板上之介電膜。在另一設置中,第一對處理腔室例如108a-b可施行沈積操作(諸如,沈積可流動膜、原生氧化物或附加材料)。第二對處理腔室例如108c-d可施行第一蝕刻操作,且第三對處理腔室例如108e-f可施行第二蝕刻操作。在不同實施例中,與製造系統分開之腔室中,可或者實行所描述之製程中任一或多者。在以下進一步詳述之所揭示實施例中,裝載區106可經設置以施行附加蝕刻、固化或處置進程。將瞭解,系統100A涵蓋用於介電膜之沈積、蝕刻、退火及固化腔室之附加設置。
安裝在位置108中之一者之處理腔室可施行任何數目之製程(諸如,PVD、CVD(例如介電CVD、MCVD、MOCVD及EPI)、ALD、退耦電漿氮化(DPN)、快速熱處理(RTP)或乾式蝕刻製程),以在基板表面形成各種裝置特徵。各種裝置特徵可包括但不限於:形成及/或蝕刻中間介電層、閘極介電層及多晶矽閘極、形成通孔及溝槽、平面化步驟及或沈積接觸或通孔水平互聯線。在一實施例中,某些位置可由適合脫氣、定向、冷卻、分析等之檢修腔室佔據。舉例而言,一個腔室可包括一計量腔室,該計量腔室適合於按照一處理順序施行處理步驟前或後施行準備/分析步驟及/或後處理/分析步驟以分析基板之性質。總之,在計量腔室中可測量基板之性質可包括但不限於:沈積於基板表面上之一或多層中之內源或外源應力之測量、一或多個沈積層之膜組成、基板表面上之顆粒數量,及發現於基層表面上之一或多層之厚度。從計量腔室收集之資料然後可由系統控制器使用以調節一或多個處理步驟中之一或多個製程變量,以產生隨後處理之基板之有利處理結果。
系統100A可包括在介面103之相對側上的附加腔室105、107。介面段103可包括至少兩個介面轉移裝置,諸如機械臂104,該等機械臂經設置在該等複數個固持腔室或FOUP 102與該等複數個裝載腔室104間輸送基板。固持腔室102可在介面段之第一位置處與介面段103耦接,且裝載腔室可在介面段103之第二位置處與介面段103耦接,介面段103之第二位置與該等複數個固持腔室102相對。附加腔室可由 介面機械臂104接入,並可設置用於經由介面103轉移基板。舉例而言,腔室105可提供例如濕式蝕刻功能,並可由介面機械臂104a經由工廠介面103之側接入。濕式站可在介面段103之第三位置處與介面段103耦接,該第三位置在第一位置與第二位置之間。在所揭示實施例中,第三位置可相鄰介面段103之第一位置或第二位置。另外,腔室107可提供例如附加儲存,且可由介面機械臂104b經由工廠介面103之相對側自腔室105接入。儲存腔室107可在介面段之第四位置處與介面103耦接,該第四位置與第三位置相對。介面103可包括允許在機械臂104之間轉移基板之附加結構,包括定位於機械臂104之間之轉移段112。轉移段112可經設置以固持一或多個基板,且可經設置以於給定時間固持2、5、10、15、20、25、50、100個等或更多用於輸送處理之基板。
轉移段112可包括附加功能,包括冷卻基板至大氣條件以下,以及例如於大氣環境中清潔晶圓。在一個實例中,基板可由介面機械臂104a從裝載腔室106a或側站105取回。如果要將基板載入例如腔室107,可命令機械臂104a運輸並置放基板至轉移段112。機械臂104b然後可取回基板以輸送至腔室107。或者,從FOUPs 102或從裝載腔室106取回之基板可放置或輸送至介面轉移段112用於冷卻、清潔等操作,正如可在介面轉移段112處所施行。固持腔室或FOUP 102可包括經設置以經由入口埠接收流體之至少一個入口埠。FOUP可進一步經設置以引導流體通過固持腔室且進入介面段103。固持腔室103可額外包括經設置以引導已接收流體通過 同持腔室之至少一個內部擴散器。舉例而言,氮或其他惰性流體可經由入口埠流進FOUP。當任一個別FOUP可容納10、25、50等或更多個基板時,內部擴散器可引導氮或其他流體通過FOUP以確保清除FOUP整個內部環境之空氣。擴散器可引導或經設置以引導流體處於容納於FOUP中之基板間並圍繞每一基板。
系統100A可適合於大氣環境與真空環境之間及穿過大氣環境及真空環境運輸基板。舉例而言,介面103可提供來自大力壓力下之FOUP 102及裝載腔室106之接入,該等FOUP 102及裝載腔室106可經設置為被抽空。據此,包括腔室108及轉移站110之所有系統均可經設置以在真空條件下操作,且裝載腔室106可提供大氣環境與真空環境間之接入。裝載腔室106可包括轉移區域(諸如,位於介面103側及轉移腔室110側兩者上之狹縫閥)。可關閉兩個閥門以維持交替環境。可打開介面103側之狹縫閥以允許機械臂104輸送基板。然後可關閉該閥,並將裝載腔室抽空至真空環境,其他腔也可維持在該真空環境下。然後可打開裝載腔室106之轉移站側之狹縫閥以提供接入至真空環境。或者,處理腔室108及轉移腔室110可維持在惰性環境中,諸如藉由氮氣淨化,該氮氣淨化可連續流過腔室中之每一者以維持該惰性氣氛。為了在相似環境中提供基板至處理段,裝載腔室106可經類似設置以在接收基板後用氮氣淨化。系統100A可另外包括為施行各種處理操作而提供前驅物及指令之氣體輸送系統及系統控制器(未圖示)。
1B圖闡明另一示例處理系統,在各種實施例中,各種腔室及特徵可耦接至該示例處理系統。第1B圖中描繪之處理工具100B可包含複數個處理室114A-D、轉移室110、維修腔室116A-B及一對裝載閉鎖腔室106A-B。處理腔室可包括本揭示案之其他地方描述之腔室中任一者,本揭示案包括上文與系統100A相關論述之腔室及下文特定腔室。此外,系統100B可包括與串聯腔室相反之單一腔室,且其他地方揭示之腔室中任一者可適合作為單個腔室或串聯腔室。為了在腔室間運輸基板,轉移腔室110可包含機械運輸機構113。該運輸機構113可具備一對基板運輸刀片113A,該等刀片分別附著於可伸長臂113B之遠端。刀片113A可用於運載個別基板至處理腔室並從處理腔室運載個別基板。在操作中,基板運輸刀片中之一者(諸如,運輸機構113之刀片113A)可從裝載閉鎖腔室(諸如腔室106A-B)中之一者取回基板W,並運載該基板W至第一處理階段,例如下文所述之發生在腔室114A-D中之蝕刻製程。如果該腔室被佔據,機器人可等到處理完成,然後再用一個刀片113A從腔室中移除該已處理基板,並可用第二刀片(未圖示)插入新基板。一旦處理基板,則可將基板移動至第二處理階段。對於每一次移動,運輸機構113大體可使一個刀片運載基板且使一個刀片空置以便執行基板交換。運輸機構113可在每一個腔室中等到可進行交換。
一旦處理腔室內完成處理,運輸機構113可從最後一個處理室移動該基板W,並運輸該基板W至裝載閉鎖腔室 106A-B內之匣子。從裝載閉鎖腔室106A-B開始,基板可移至工廠介面104中。工廠介面104大體可操作以在處於大氣壓力潔淨環境中在晶圓盒裝載器105A-D與裝載閉鎖腔室106A-B之間轉移基板。經由例如空氣過濾製程(諸如,HEPA過濾)可大體提供工廠介面104中之清潔環境。工廠介面104亦可包括可用於在處理前正確對齊基板之基板定向器/對準器(未圖示)。至少一個基板機器人(諸如,機器人108A-B)可定位於工廠介面104中以便在工廠介面104內之不同地點/位置間運輸基板,並把基板運輸至與其連通之其他地點。機器人108A-B可經設置以沿著機架104內之軌道系統從工廠介面104之第一端行進至第二端。
轉向第2圖,繪示根據所揭示技術之一示例處理系統200之後部透視圖。系統200可包括第1A圖中起始於無塵室或介面真空側之系統之替代視圖。如圖解所示,介面203可由裝載腔室206接入,該等裝載腔室206可經設置以提供接入至經由可密封開口207進行處理之已抽空環境,該等可密封開口207可為狹縫閥。裝載室206可包括經設置以作用於基板之附加組件。裝載腔室206可包括加熱裝置,該加熱裝置經設置以加熱裝載腔室或該裝載腔室內之基板從低於或約0℃至高於或約800℃。舉例而言,在所揭示實施例中,裝載腔室加熱裝置可經設置以加熱基板至300℃或300℃以上。此外,側腔室205可位於介面203之側。雖然未圖示,但附加側腔室定位於介面與腔室205相對之側,如第1A圖關於腔室107所示。兩個側腔室均可如前文所述經由工廠介面203 接入。系統主體213可界定處理腔室208、裝載腔室206及轉移站210定位之位置。各種處理腔室208可併入系統200,且可包括下文第3-6圖所示之處理站之組合。雖然下圖中之每一者闡明單一腔室,但是該等圖式可繪示串聯處理腔室之一半,該串聯處理腔室適合與腔室間共享之單一分配系統一起每次處理兩個晶圓。在所揭示實施例中,該等複數個處理腔室耦接成基板處理系統內之成對串聯處理腔室。
轉向第3圖,繪示根據所揭示技術之一示例處理腔室之橫截面示意圖。腔室300可用於例如前文論述之系統200之處理腔室段208中之一或多者。遠端電漿系統(「Remote Plasmas System;RPS」)310可處理經由氣體入口總成311行進之氣體。在氣體入口總成311內,可存在兩個不同氣體供應通道。第一通道312可經由RPS 310運載一氣體,而第二通道313可繞開該RPS 310。在所揭示實施例中,第一通道312可用於處理氣體,且第二通道313可用於處置氣體。圖示蓋或導電頂部321及穿孔分區(諸如噴頭353)具有安置在中間之絕緣環324,此情況可允許交流電位相對於噴頭353施加至蓋321上。處理氣體可經由第一通道312行進至腔室電漿區域320中,且可單獨由腔室電漿區域320裏之電漿激發,或與RPS 310組合而激發。本文可將腔室電漿區域320及/或RPS 310之組合稱作遠端電漿系統。穿孔分區或噴頭353可將腔室電漿區域320與噴頭353下之基板處理區域370分開。噴頭353可允許電漿存在於腔室電漿區域320中以避免在基板處理區域370中直接激勵氣體,同時仍允許激勵物質從腔 室電漿區域320行進至基板處理區域370中。
噴頭353可定位在腔室電漿區域320與基板處理區域370之間,且允許電漿流出物或前驅物之激發衍生物或腔室電漿區域320內生成之其他氣體穿過複數個通孔356,該等通孔橫穿噴頭中包括之單塊板或多塊板之厚度。為了產生可沈積於基板380上之膜,前驅物及/或電漿衍生物可在處理區域370組合,該基板380定位於一基板支架375上。噴頭353亦可具備一或多個可填充有蒸汽或氣體形式之前驅物(諸如,含矽前驅物)之中空容積351,並可穿過小孔355進入基板處理區域370,但無法直接進入腔室電漿區域320。在所揭示實施例中,噴頭353之厚度可大於通孔356之最小直徑350之長度。為了維持從腔室電漿區域320滲入至基板處理區域370之激勵物質之顯著濃度,可藉由部分穿過噴頭353形成通孔356之較大直徑部分來限制通孔之最小直徑350之長度326。在所揭示實施例中,通孔356之最小直徑350之長度可與通孔356之殼小直徑處於同一數量級或更小。
在所示之實施例中,噴頭353可經由通孔356分配例如含有電漿蒸汽/氣體(諸如,氬)之處理氣體。此外,噴頭353可經由較小孔355分配與電漿區域320保持分離之含矽前驅物。一或多種處理氣體及含矽前驅物可經由噴頭353保持流動分離直到前驅物分別進入處理區域370。前驅物一旦進入處理區域就可接觸另一前驅物且發生反應以形成例如基板380上之可流動介電材料。
腔室300可用於將氧化層沈積於例如矽基板或氮化 矽層或預先圖案化之基板上,該預先圖案化之基板可包括矽或氮化物區域。於2011年6月3日提交之標題為「Oxide Rich Liner Layer for Flowable CVD Gapfill」之共同讓與之申請案第13/153,016號中描述有沈積腔室及製程之附加實例,該沈積腔室及製程可結合所揭示技術使用,該申請案之全部內容以引用之方式出於所有目的在不與本揭示相衝突之程度上併入本文中。
第4A圖繪示帶有處理腔室內分割電漿生成區域之示例處理腔室400之另一橫截面示意圖。腔室400可用於例如前文所論述系統200之一或多個處理腔室段208中。在例如蝕刻氮化鈦、氮化鉭、鎢、矽、多晶矽、氧化矽、氮化矽、氮氧化矽、碳氧化矽等膜期間,處理氣體可經由氣體入口總成405從氣體輸送系統410流進第一電漿區域415。腔室內之電漿區域415可與前文論述之第二遠端電漿區域類似,且可如下所論述遠離處理區域433。遠端電漿系統(「RPS」)401可包含在該系統中,且可處理經由氣體入口總成405行進之第一氣體。RPS單元401可與前文論述之第一遠端電漿區域類似。入口總成405可包括兩個或兩個以上之不同氣體供應通道,其中第二通道可繞開RPS 401。據此,在所揭示實施例中,前驅物氣體中之至少一者可在非激發狀態下(諸如含氟前驅物)輸送至處理腔室。在另一實例中,經由RPS提供之第一通道可用於含氧前驅物,且繞過RPS之第二通道在所揭示實施例中可用於含氟前驅物。在進入第一電漿區域415之前,在RPS 401內可激發含氧前驅物。據此,例如上文論述 之含氟前驅物及/或含氧前驅物在所揭示實施例中可通過RPS 401或繞過RPS單元。類似理解此佈置所涵蓋之其他不同實例。
圖示且各自可根據所揭示實施包括冷卻板403、面板417、離子抑制器423、噴頭425及其上安置基板455之基板支架465。基座465可具備換熱通道,換熱流體流過該通道以控制基板之溫度。此設置可允許基板455溫度冷卻或加熱以維持相對較低溫度(諸如約-20℃至約200℃,或此範圍之間)。換熱流體可包含乙二醇及/或水。為了獲得相對較高之溫度(例如,100℃或其左右至超過或約1100℃),可包含鋁、陶瓷或以上各者之組合之基座465之晶圓支撐母板亦可使用嵌入式電阻加熱元件進行電阻加熱。加熱元件可作為一或多個線圈形成於基座內,且加熱器元件之外部可靠近支撐母板之周邊延伸,而內部則在半徑較小之同心圓路徑上延伸。連接至加熱器元件之佈線可穿過基座465之主幹,該主幹可經進一步經設置以旋轉。
面板417可為角錐形、圓錐形或具有窄頂部擴展至寬底部之另一類似形狀。面板417可如圖所示另外為平坦的,且包括分佈處理氣體之複數個貫穿通道。為了更均勻輸送至第一電漿區域415中,電漿產生氣體及/或電漿激勵物質取決於RPS 401之使用可穿過面板417上如第4B圖所示之複數個孔眼。
示例設置可包括使氣體入口總成405敞開至氣體供應區域458,以使得氣體/物質流過面板417上之孔眼進入第 一電漿區域415,該氣體供應區域458藉由面板417與第一電漿區域415隔開。面板417或腔室之導電頂部及噴頭425如圖所示具有絕緣環420位於特徵之間,如此允許相對於噴頭425及/或離子抑制器423將交流電位施加到面板417上,離子抑制器423可與噴頭425電耦接或被類似絕緣。絕緣環420可定位於面板417與噴頭425及/或離子抑制器423之間,從而使得電容耦合之電漿(「CCP」)能夠形成於第一電漿區域中。擋板(未圖示)可另外位於第一電漿區域415中,或另外與氣體入口總成405耦接,以影響經由氣體入口總成405進入區域之流體之流動。
離子抑制器423可包含一板或界定複數個貫穿結構之孔徑之其他幾何形狀,該等孔徑經設置以抑制離子帶電物質遷移出電漿激發區域415,同時允許無電荷中性或自由基物質經由離子抑制器423進入抑制器與噴頭之間的活性氣體輸送區。在所揭示實施例中,離子抑制器423可包含具有各種孔徑設置之穿孔板。與離子抑制器423組合之噴頭425可允許腔室電漿區域415中之電漿避免在基板處理區域433中直接激發氣體,同時仍允許激勵物質從腔室電漿區域415行進至基板處理區域433中。以此方式,腔室可經設置以防止電漿接觸正在蝕刻之基板455。
處理系統可進一步包括電源440,該電源440與處理腔室電耦接以為面板417、離子抑制器423、噴頭425及/或基座465提供電力以在第一電漿區域415或處理區域433中產生電漿。電源可經設置以基於施行之製程輸送可調節電 量至腔室。此設置可允許用於施行中製程之可調諧電漿。與通常擁有開或關功能之遠端電漿單元不同,可調諧電漿可經設置以輸送特定電量至電漿區域415。此舉又可允許產生特殊電漿特徵,以使得前驅物可以一特定方式解離,以提升由該等前驅物產生之蝕刻輪廓。舉例而言,此舉如前文之解釋可部分解離三氟化氮。
可在噴頭425以上之腔室電漿區域415中或噴頭425以下之基板處理區域433中點燃電漿。在腔室電漿區域415中可存在電漿以便從含氟前驅物流入中產生含自由基氟前驅物。通常射頻(「RF」)範圍內之AC電壓可施加在處理腔室之導電頂部(諸如,面板417)與噴頭425及/或離子抑制器423之間以在沈積期間在腔室電漿區域415點燃電漿。RF電源可產生13.56MHz的高射頻,但是亦可單獨或與13.56MHz頻率組合產生其他頻率。
電漿功率可具有各種頻率或內部電漿區域或RPS單元中之多個頻率之組合。在示例處理系統中,電漿可由相對於離子抑制器423及/或噴頭425輸送至面板417之RF電源提供。在不同實施例中,該RF功率可在約10瓦特與約200瓦特之間、約100瓦特與約2000瓦特之間、約200瓦特與約1500瓦特之間、約0瓦特與約500瓦特之間或約200瓦特與約1000瓦特之間。在不同實施例中,施加於示例處理系統中之RF頻率可為小於約200kHz之低RF頻率、約10MHz與約15MHz之間的高RF頻率或大於或約1GHz之微波頻率。電漿功率可電容耦合或感應耦合至遠端電漿區域中。在替代 設置中,腔室可經設置以利用UV或電子束源激勵或激發反應物質。該等功能可結合或替代電漿使用。
噴頭425可包含上板414及下板416。該等板可相互耦接以在板之間界定容積418。板之耦接可提供穿過上板及下板之第一流體通道419及穿過下板416之第二流體通道421。所形成通道可經設置以獨自提供經由第二流體通道421從容積418穿過下板416之流體接入,且第一流體通道419可與板及第二流體通道421之間的容積418流體隔離。容積418可經由氣體分佈總成425之一側流體接入。舉例而言,不與前文描述之活性前驅物相互作用之附加前驅物可經由第二流體通道421輸送至處理區域,以使得只有活性前驅物及附加前驅物單獨進入處理區域433時才相互作用。雖然第4A圖之示例系統包含雙通道噴頭,但是應理解,可使用替代分佈總成,該等分佈總成維持處理區域433之前第一前驅物、第二前驅物之流體隔離。舉例而言,雖然其他設置可以降低之效率進行操作或無法像所述之雙通道噴頭一樣提供均勻處理,但是可利用穿孔板及該板以下之管道。或者,在僅所利用之前驅物將經由入口總成405輸送,且所有前驅物將從電漿區域415流動時,可不再需要雙通道,而是可利用單板歧管或穿孔板進一步混合前驅物,同時直接輸送前驅物至該處理區域433。
4B圖繪示如第4A圖所示之處理腔室組件之部分細節圖。如第4A圖及第4B圖所示,面板417、冷卻板403及氣體入口總成405相交以界定氣體供應區域458,處理氣體 可從氣體入口405輸送至該氣體供應區域458中。氣體可填充該氣體供應區域458,並經由面板417中之孔徑459流至第一電漿區域415。孔徑459可經設置以引導流體以實質上為單向之方式流動,以使得處理氣體可流進處理區域433中,但在橫穿面板417後,可部分或完全地防止回流至氣體供應區域458中。
腔室400可用於蝕刻矽或氮化矽膜,同時不蝕刻或最低程度蝕刻例如暴露之氧化矽膜。於2013年3月1日提交之標題為「Enhanced Etching Processes Using Remote Plasma Sources」的共同讓與申請案第61/771264號中描述蝕刻製程及腔室之附加實例,該等蝕刻製程及腔室可結合所揭示技術及腔室400使用,該申請案之全部內容在此以引用之方式出於所有目的在不與本揭示案相衝突的情況下併入本文中。於2013年3月8日提交之標題為「Semiconductor Processing Systems Having Multiple Plasma Configurations」的共同讓與申請案第13/791,074號中描述製程及包括多個RPS單元之腔室之附加實例,該等製程及腔室可結合所揭示技術及腔室400使用,該申請案之全部內容在此以引用之方式出於所有目的在不與本揭示案相衝突的情況下併入本文中。
5圖繪示根據所揭示技術之示例處理腔室500之另一橫截面示意圖。腔室500可用於例如前文論述之系統200之一或多個處理腔室段208。處理腔室500可包含腔室主體512、蓋總成502及支撐總成510。蓋總成502安置在腔室主體512之上端,且支撐總成510至少部分地安置在腔室主體512內。處理腔室500及關聯硬體較佳地由一或多種與製程相 容之材料(諸如,鋁、不銹鋼等)製成。
腔室主體512包含狹縫閥開口560,該開口形成於該腔室主體之側壁中以提供接入至處理腔室500的內部。狹縫閥開口560選擇性打開及關閉以允許由晶圓搬運機器人(未圖示)進入腔室主體512的內部。在一實施例中,晶圓可經由狹縫閥開口560運進及運出該處理腔室500至鄰近轉移腔室及/或裝載閉鎖腔室或系統(諸如,前文所述之系統100A或100B)內之另一腔室。
在一或多個實施例中,腔室主體512包括腔室主體通道513,該通道用於使傳熱流體流過腔室主體512。該傳熱流體可為加熱流體或冷卻劑,且用於在處理及基板轉移期間控制腔室主體512之溫度。加熱該腔室主體512可幫助防止氣體或腔室壁上之副產物之不當冷凝。示例傳熱流體包含水、乙二醇或以上各者之混合物。示例傳熱流體亦可包含氮氣。支撐總成510可具備支撐總成通道504,該通道用於使傳熱流體流過支撐總成510,因此影響基板溫度。
腔室主體512可進一步包含圍繞該支撐總成510之內襯533。內襯533為了保養及清潔而較佳地可移除。內襯533可由金屬(諸如,鋁或陶瓷材料)製成。然而,內襯533可為任一能與製程相容之材料。內襯533可經珠粒噴擊以增加該內襯上沈積之任何材料之黏附力,從而防止導致處理腔室500污染之材料剝落。在一或多個實施例中,內襯533包括一或多個孔徑535及形成於孔徑中之泵送通道,該泵送通道與真空系統流體連通。孔徑535提供使氣體進入泵送通道 529之流動路徑,該路徑為處理腔室500內之氣體提供出氣口。
真空系統可包括真空泵525及節流閥527以調節通過處理腔室500之氣體之流量。真空泵525耦接至安置於腔室主體512上之真空埠531,且因此,與形成於內襯533內之泵送通道529流體連通。
孔徑535允許泵送通道529與腔室主體512內之基板處理區域540流體連通。基板處理區域540由蓋總成502之下表面及支撐總成510之上表面界定,並由內襯533圍繞。孔徑535可尺寸均勻並圍繞內襯533均勻間隔。然而,可使用任何數目、位置、尺寸或形狀之孔徑,且彼等設計參數中之每一者可根據橫穿過基板接收表面之氣體之所需流量圖案變化,如下文更詳細所論述。另外,孔徑535之尺寸、數目及位置經設置以使離開處理腔室500之氣體流量變得均勻。而且,孔徑尺寸及位置可經設置以提供快速或高容量泵送以促進氣體從腔室500快速排出。舉例而言,非常接近真空埠531之孔徑之數目及尺寸可小於遠離真空埠531之孔徑535的尺寸。
氣體供應面板(未圖示)通常用於經由一或多個孔徑551提供一種或多種處理氣體至處理腔室500。一種或多種所使用之特殊氣體取決於待於腔室500內施行之一或多個製程。說明性氣體可包括但不限於:一或多種前驅物、還原劑、催化劑、載氣、吹洗、清潔或以上各者之任一混合物或組合。通常,引入處理腔室500之一或多種氣體經由頂板550上之 一或多個孔徑551流進電漿容積561。替代地或組合地,處理氣體可經由一或多個孔徑552更直接地引入基板處理區域540。一或多個孔徑552繞過遠端電漿激發,且有助於涉及氣體之製程,該等氣體不需要電漿激發或不從氣體額外激發中受益之製程。電動閥及/或流量控制機構(未圖示)可用於控制從氣體供應進入處理腔室500之氣體的流量。任何數目之氣體可根據製程輸送至處理腔室500,並可在處理腔室500中混合,或在輸送至處理腔室500之前混合。
蓋總成502可進一步包括電極545以在蓋總成502內產生反應物質之電漿。在一實施例中,電極545由頂板550支撐,並藉由插入電絕緣環547與頂板550電氣隔離,該電絕緣環547由氧化鋁或任何其他絕緣性、與製程相容之材料製成。在一或多個實施例中,電極545耦接至電源546,同時蓋總成502之其餘部分則接地。據此,在遠端電漿區域中,可產生一或多種製程氣體之電漿,該遠端電漿區域由在電極545與環形安裝法蘭522之間的容積561、562及/或563組成。在實施例中,環形安裝法蘭522包含或支撐氣體輸送板520。舉例而言,在電極545與擋塊總成530中之一或兩個擋板之間,可激發並維持該電漿。或者,若沒有擋塊總成530,則在電極545與氣體輸送板520之間,可擊打並容置該電漿。在任一情況下,該電漿很好地被圍束或容置在蓋總成502內。亦可利用RPS單元(諸如前文描述之RPS單元)以產生反應物質之電漿,該等反應物質隨後輸送至腔室500中。
藉由使傳熱介質分別流過腔室主體通道513及支撐 總成通道504,處理腔室主體512及基板之溫度分別可得到控制。支撐總成通道504可形成於支撐總成510內以促進熱能轉移。腔室主體512及支撐總成510可獨立冷卻或加熱。舉例而言,加熱流體可流過其中之一者,冷卻流體則流過另一者。
其他方法可用於控制基板溫度。可藉由使用電阻加熱器或藉由一些其他構件加熱支撐總成510或支撐總成510之一部分(諸如,基座)來加熱基板。在另一設置中,可維持氣體輸送板520在高於基板溫度之一溫度下,且為了升高基板溫度,可提升該基板。在此情況下,基板經輻射式加熱或藉由使用氣體從氣體輸送板520傳導熱至基板來加熱。可藉由升高支撐總成510或藉由採用升降銷提升該基板。
腔室500可用於蝕刻氧化矽膜,同時不蝕刻或最低程度蝕刻例如暴露之矽表面或氮化矽表面。於2012年9月18日提交之標題為「Radical-Component Oxide Etch」的共同讓與申請案第61/702493號中描述蝕刻製程及腔室之附加實例,該等蝕刻製程及腔室可結合所揭示技術使用,該申請案之全部內容以引用之方式出於所有目的在不與本揭示相衝突之情況下併入本文中。
第6圖繪示根據所揭示技術之一示例處理腔室600之另一橫截面示意圖。腔室600可用於例如前文論述之系統200之一或多個處理腔室段208。大體而言,蝕刻腔室600可包含用於實施離子研磨操作之第一電容耦合電漿源及用於實施蝕刻操作及實施可選沈積操作之第二電容耦合電漿源。腔 室600可包括接地且圍繞卡盤650之腔室壁640。在實施例中,卡盤650可為靜電卡盤,在處理期間,該靜電卡盤將基板602夾持至卡盤650之頂表面,但亦可利用將熟知之其他卡持機構。卡盤650可包括嵌入式換熱線圈617。在示例實施例中,該換熱線圈617包括一或多個傳熱流體通道,傳熱流體(諸如,乙二醇/水混合物)可流過該傳熱流體通道以控制卡盤650之溫度,且最終控制基板602之溫度。
卡盤650可包含篩孔649,該篩孔649耦接至一高壓DC電源648,以使得篩孔649可攜帶DC偏壓電位以實施對基板602之靜電夾持。卡盤650可耦接至第一RF電源,且在一此類實施例中,篩孔649耦接至第一RF電源,以使得DC電壓偏壓電位及RF電壓電位兩者跨過處於卡盤650之頂表面上之薄介電層進行耦接。在圖示實施例中,第一RF電源可包含第一RF發生器652、第二RF發生器653。在此項技術中已知之任何工業頻率可操作該等發生器652、653,然而,在示例實施例中,可在60MHz下操作RF發生器652以提供有利定向性。在亦提供第二RF發生器653的情況下,該示例頻率可為2MHz。
在卡盤650經RF供電之情況下,RF回程路徑可由第一噴頭625提供。第一噴頭625可安置在卡盤之上以便分佈第一進氣進入由第一噴頭625及腔室壁640界定之第一腔室區域684。同樣,卡盤650及第一噴頭625形成第一RF耦接電極對以電容性激勵第一腔室區域684內之第一進氣之第一電漿670。由RF供電卡盤之電容耦合造成之DC電漿偏壓 或RF偏壓可從第一電漿670至基板602產生離子流(例如,第一進氣為Ar時為Ar離子),以提供離子研磨電漿。第一噴頭625可接地或耦接至具備一或多個發生器之RF電源628,該一或多個發生器可操作在除了卡盤650之頻率(例如,13.56MHz或60MHz)外之一頻率下。在圖示之實施例中,第一噴頭625可經由繼電器627選擇性耦接至地面或RF源628,在蝕刻製程期間,該繼電器627可由例如控制器(未圖示)自動控制。
如圖中進一步闡明,蝕刻腔室600可包括泵堆疊,該泵堆疊能夠在低製程壓力下產生較高產量。在實施例中,至少一個渦輪分子泵665、666可經由閘閥660與第一腔室區域684耦接,並且安置於卡盤650之下,與第一噴頭625相對。該等渦輪分子泵665、666可為具有合適產量之任一市售泵且更特定而言,該等渦輪分子泵之大小可係適當的以便在第一進氣之所需流量(例如,在氬為第一進氣之情況下,Ar流量為50至500sccm)下維持製程壓力低於或約10毫托或低於或約5毫托。在圖示之實施例中,卡盤650可形成部分位於兩個渦輪泵665、666正中間之基座,然而,在替代設置中,卡盤650可處於一基座上,該基座懸吊於腔室壁640,該腔室壁640設有單一渦輪分子泵,該泵之中心與卡盤650之中心對齊。
在第一噴頭625之上可安置第二噴頭610。在一實施例中,在處理期間,第一進氣源例如從氣體分佈系統690輸送之氬可耦接至氣體入口676,且該第一進氣流過複數個孔 徑680進入第二腔室區域681並流過複數個孔徑682進入第一腔室區域684,該等複數個孔徑680延伸穿過第二噴頭610,該等複數個孔徑682延伸穿過第一噴頭652。具備孔徑678之附加流量分配器615可進一步跨過蝕刻腔室600之直徑經由分配區域618分配第一進氣流量616。在替代實施例,第一進氣可直接經由孔徑683直接流進第一腔室區域684,該等孔徑683與第二腔室區域681隔離,如虛線623所指示。舉例而言,在第一噴頭為前文所述之雙通道噴頭之情況下,孔徑683對應於第7圖中之孔徑775。
腔室600可從所示狀態另外重設以施行蝕刻操作。第二電極605可安置於該第一噴頭625之上,其中第二腔室區域681處於該第二電極605與該第一噴頭625之間。第二電極605可進一步形成蝕刻腔室600之蓋。第二電極605及第一噴頭625可由介電環620電氣隔離,並形成第二RF耦接電極對以在第二腔室區域681內電容性放電第二進氣之第二電漿692。有利的是,第二電漿692可不在卡盤650上提供明顯RF偏壓電位。第二RF耦接電極對之至少一個電極耦接至用於激勵蝕刻電漿之RF源。第二電極605可與第二噴頭610電氣耦接。在示例實施例中,第一噴頭625可與接接地面耦接或浮動,並可經由繼電器627耦接至接地,從而在離子研磨模式操作期間,允許第一噴頭625亦由RF電源628供電。在第一噴頭625接地之情況下,RF電源608(具有在例如13.56MHz或60MHz下操作之一或多個RF發生器)可經由繼電器607與第二電極605耦接,在其他操作模式期間(諸如,在離 子研磨操作期間),該繼電器607將允許第二電極605亦接地,但在第一噴頭625經供電時,以可留下第二電極605浮動。
第二進氣源(諸如,三氟化氮)及氫源(諸如,氨)可從氣體分佈系統690輸送,且耦接至氣體入口676(諸如經由虛線624)。在此模式中,第二進氣可流過第二噴頭610且可在第二腔室區域681內受到激勵。然後,反應物質可通過第一腔室區域684與基板602反應。如進一步所闡明,對於第一噴頭625為雙通道噴頭之實施例,可提供一或多種進氣與由第二電漿692產生之反應物質反應。在一個此類實施例中,水源可耦接至複數個孔徑683。
在一實施例中,卡盤650可沿著與第一噴頭625垂直之方向移動距離H2。卡盤650可處於一致動機構上,該致動機構被一波紋管655或類似物圍繞以便允許卡盤650移動得更接近或遠離該第一噴頭625來作為一種在卡盤650與第一噴頭625之間控制熱傳之手段,該第一噴頭625可升處於80℃至150℃或更高之升高溫度。同樣,藉由在第一預定位置與第二預定位置之間相對於第一噴頭625移動卡盤650,可實施蝕刻製程。或者,卡盤650可包含一升降器651,該升降器651用以將基板602提升高出卡盤650之頂表面一距離H1以控制在蝕刻製程期間第一噴頭625進行之加熱。在其他實施例中,在固定溫度(諸如,約90℃-110℃)下施行該蝕刻製程的情況下,可免去卡盤位移機構。在蝕刻製程期間,藉由交替自動地為第一RF耦接電極對及第二RF耦接電極對供 電,系統控制器(諸如,下文相對於第21圖所論述)可交替激勵第一電漿670及第二電漿692。
腔室600亦可經重設以施行沈積操作。電漿692可由RF放電而產生在第二腔室區域681中,RF放電可以對第二電漿692描述之方式中之任一者實施。在第一噴頭625在沈積期間經供電以產生電漿692的情況下,第一噴頭625可由介電隔片630與接地腔室壁640隔離以便相對於該腔室壁電氣浮動。在示例實施例中,氧化劑進氣源(諸如,分子氧)可從氣體分佈系統690輸送,並耦接至氣體入口676。在第一噴頭625為雙通道噴頭之實施例中,任何含矽前驅物(諸如,OMCTS)可從氣體分佈系統690輸送,並耦接至第一腔室區域684中以便與反應物質反應,該等反應物質從電漿692通過第一噴頭625。或者,含矽前驅物亦可與氧化劑一起流過氣體入口676。
腔室600可用於例如大量蝕刻製程及沈積製程。於2012年10月12日提交之標題為「Process chamber for Etching Low K and Other Dielectric Films」的共同讓與申請案第13/651,074號中描述了蝕刻及沈積製程以及腔室之附加實例,該等蝕刻及沈積製程以及腔室可結合所揭示技術及腔室600使用,該申請案之全部內容在此以引用之方式出於所有目的在不與本揭示案相衝突之情況下併入本文中。
7圖繪示根據所揭示技術之噴頭之仰視平面圖。噴頭725可與第3圖中所示之噴頭353、第4A圖中所示之噴頭425或第6圖中所示之噴頭625對應。為了控制並影響通 過噴頭725之前驅物之流量,顯示出例如第一流體通道419之通孔765可具備複數個形狀及設置。舉例而言,孔徑可就其可能會影響流體分佈之佈局採用任何幾何圖案,並被分配用作孔徑環,該等孔徑環彼此朝外同心定位並基於板上之一中心定位位置。根據一個實例且不限制技術之範圍,第7圖顯示由孔徑形成之圖案,該孔徑包含同軸六角環,該等六角環從中心向外延伸。每一向外定位環之孔徑數目可與先前朝內定位之環一樣、更多、或更少。在一個實例中,每一同心環可基於每一環之幾何形狀另外添加孔徑數目。在六邊形之實例中,每一朝外移動之環可比直接朝內定位之環多出六個孔徑,其中第一內環有六個孔徑。在孔徑之第一環最靠近上板及底板中心定位之情況下,上板及底板可具有多於兩個環,且根據使用孔徑之幾何圖案,可具備大約一個至約五十個孔徑環。在一個實例中,如圖所示,在示例上板上可存在九個六角環。
孔徑之同心環亦可不具有孔徑同心環中之一者,或可使朝外延伸之孔徑環中之一者從其他環之間移除。例如參考第7圖,在示例性九個六邊環處於該板上之情況下,該板相反可具有八個環,但是第四個環可移除。在此實例中,不會形成原本定位第四環之通道,否則可重新分佈正通過孔徑之流體之氣體流動。該等環亦仍可使某些孔徑從幾何圖案中移除。例如又參考第7圖,孔徑之第十個六角環可作為最外環形成於所示之板上。然而,該環可不包括原本形成六角圖案頂點之孔徑,或環內其他孔徑。顯示出例如第二流體通道 421之小孔775可被大致均勻地分佈於噴頭表面,甚至在通孔765之間分佈,此舉有助於在前驅物退出噴頭時可比其他設置混合得更均勻。
在第8圖中,圖示了根據所揭示實施例之噴頭或面板之替代佈置,該圖繪示根據所揭示技術之另一噴頭之仰視平面圖。如圖所示,噴頭800可包含穿孔板或歧管。噴頭之總成可與第7圖中所示之噴頭類似,或者可包括一設計,該設計特別針對前驅物氣體之分佈圖案而設置。噴頭800可包括環形框架810,該環形框架810在示例處理腔室中定位於各種佈置中(諸如第3圖、第4圖、第5圖、第6圖、第7圖、第12圖及/或第13圖所示之一種或多種佈置)。在該框架上或在框架內,可耦接一板820,該板820在所揭示實施例中可與前文所述之板720相似。該板之形狀可為碟形,且該板可處於框架810上或框架810內。該板厚度可不同,且該板可包括界定在該板內之複數個孔徑865。如第8圖所示之示例佈置可包括前文參考第7圖之佈置描述之圖案,且可包括呈一幾何圖案(諸如,所示之六角形)之一系列孔徑環。如將理解,所圖示圖案為示例性的,且應理解,設計中涵蓋各種圖案、孔眼佈置及孔距。或者,噴頭800可為一單一板設計且構成一整塊結構。
9圖繪示根據所揭示技術之一示例處理系統900之後部透視圖。系統900可包括第1A圖中起始於無塵室或介面真空側之系統100A之替代視圖。如圖解所示,介面903可由裝載腔室906接入,該等裝載腔室906可經設置以提供接 入至經由可密封開口907進行處理之已抽空環境,該可密封開口907可為狹縫閥。此外,側腔室905可位於介面903之側。雖然未圖示,但附加側腔室可定位於介面對面腔室905之側。兩個側腔室均可如前文所述經由工廠介面203接入。系統主體913可界定處理腔室908、裝載腔室906及轉移站910定位之位置。各種處理腔室908可併入系統900,且可包括如前文相對於第3圖至第6圖所述之處理站之組合。在所揭示實施例中,該系統可包括複數個處理腔室。
第9圖另外繪示耦接裝載腔室906並相對於裝載腔室906垂直安置之處置處理站917。如下文中相對於第13圖將更詳細描述,系統900可包含複數個處置處理站917,該等複數個處置處理站917可耦接各別裝載站906並定位為經由系統主體913與各別裝載站906垂直對齊且與裝載腔室906垂直對齊。如圖所示,系統可包含兩個彼此水平安置之兩個裝載腔室及耦接每一各別裝載腔室906及在每一各別裝載腔室906上方之兩個處置腔室。系統主體913可為腔室908提供結構支撐並將該等腔室維持在穩定條件下以用於真空或清潔環境中。據此,處置處理站917可佔據與處理腔室908不同之表平面。處理腔室917可包含可從轉移站910接入之可密封開口。開口可由例如狹縫閥918或其他覆蓋機構密封,當該等狹縫閥918或其他覆蓋機構被封蓋918b如圖所示打開時,該等狹縫閥918或其他覆蓋機構提供接入至腔室之處置容積920及可安置有晶圓之基座922或平臺。為了將基板維持於清潔或真空環境,轉移站可經設置以在不破壞真空環境 之情況下提供接入至處置處理站917。
舉例而言,一機械臂(未圖示)(諸如,第1A圖所示之臂111)可操作以便相對於轉移站主體垂直移動而允許在兩個下部裝載站906與上部處置處理腔室917之間或之中轉移晶圓。該機械臂或製程轉移裝置可經設置以在該等複數個裝載室906中之任一者與該等處理腔室908中之任一者之間輸送基板,同時維持基板在真空條件下。製程轉移裝置可經進一步設置以垂直地輸送基板至處置腔室917。在一設置中,舉例而言,護罩或覆蓋物925可將輸送站維持在真空條件下,同時提供接入至上腔室917。如圖所示,裝載腔室906及處理腔室908可全部處於處理系統900之第一海拔平面,且處置腔室917可處於基板處理系統之第二海拔平面,該第二海拔平面處於基板處理系統之第一海拔平面之上。以此方式,晶圓或基板可在裝載站906、處理站908及垂直於處置站917之間運輸,同時根據所揭示實施例一直維持在真空或吹洗條件下。
處理腔室917可包含諸多特徵,該等特徵有助於施行基於能量或基板上之其他處置操作(諸如彼等前文所述之處理)。腔室可經設置以施行電漿(諸如來自遠端電漿源)處置,或可具備內部或直接電漿(諸如來自電容耦合電漿、感應耦合電漿、微波電漿、環形電漿等)功能等;且在此情況下,該處置腔室可包括經設置以在處置腔室917內產生直接電漿之組件。腔室917亦可經設置以施行並包括組件,該等組件經設置以使用光及或窗戶設置產生紫外光處置,亦可 包括經設置用於施行電子束操作之組件。舉例而言,波長在約120與約430nm之間、功率密度在約5mW/cm2與約25mW/cm2之間的紫外線或光可從輻射源輸送至基板之表面,該輻射源包含在處理腔室917內。來自輻射源之輻射可由含燈元件(諸如,氙、氬、氪、氮及以上各者之衍生物(例如氯化氙或氟化氬))供應。該等腔室可經類似設置以施行臭氧或其他固化操作,且可經設置以提供複數種前驅物並在一系列下述之條件下操作。
10圖繪示根據所揭示技術之另一示例處理系統1000之後部透視圖。系統1000可包含所揭示實施例中之第9圖之系統900之態樣。如圖解中所示,介面1003可由裝載腔室1006接入,該等裝載腔室1006可經設置以提供接入至一抽空環境以經由可密封開口1007用於處理,該可密封開口1007可為一狹縫閥。此外,側腔室1005可位於介面1003之側,且雖然未圖示,但附加側腔室可定位於介面對面腔室1005之側。系統主體1013可界定處理腔室1008、裝載腔室1006及輸送站1010定位之位置。各種處理腔室1008可併入系統1000,且可包括前文相對於第3圖至第6圖描述之處理站之組合。第10圖另外繪示耦接裝載腔室1006並相對於裝載腔室1006垂直安置之處置處理站1017。處理腔室1017可包括可密封開口,該等可密封開口可從轉移站1010接入。開口可由例如狹縫閥1018或其他覆蓋機構密封,當該等狹縫閥1018或其他覆蓋機構如圖所示由蓋子1018b打開時,該等狹縫閥1018或其他覆蓋機構提供接入至腔室之處置容積1020及可 安置有晶圓之基座1022或平臺。
如第10圖所述,分佈單元1030(諸如處置電漿產生裝置)可安置於兩個處置處理腔室1017之上或與該等處置處理腔室1017耦接。在所揭示實施例中,電漿裝置可與所示之兩個處置腔室隔開或與該等處置腔室耦接。在一實施例中,分佈單元1030包含遠端電漿單元(「RPS」),該遠端電漿單元從側出口耦接至兩個處置處理腔室1017。據此,電漿產生之流出物可同時分散至兩個處置處理腔室1017。該分佈單元可經設置以包括內部擴散器以保證前驅物或電漿物質之對稱分佈輸送至每個處置處理腔室1017,目的在於在腔室間保持均勻處理。
11圖繪示根據所揭示技術之另一示例處理系統1100之後部透視圖。在所揭示實施例中,系統1000可包括第9圖之系統900之態樣。如圖解中所示,介面1103可由裝載腔室1106接入,該等裝載腔室1106可經設置以提供接入至抽空環境以經由可密封開口1107進行處理,該可密封開口1107可為一狹縫閥。此外,側腔室1105可位於介面1103之側,且雖然未圖示,但附加側腔室可定位於介面對面腔室1105之側。系統主體1113可界定處理腔室1108、裝載腔室1106及轉移站1110定位之位置。各種處理腔室1108可併入系統1100,且可包括前文相對於第3圖至第6圖描述之處理站之組合。第11圖另外繪示耦接裝載腔室1106並相對於裝載腔室1106垂直安置之處置處理站1117。處理腔室1117可包括可密封開口,該等可密封開口可從轉移站1110接入。該等開 口可由例如狹縫閥1118或其他覆蓋機構密封,在該等狹縫閥1118或其他覆蓋機構如圖所示由蓋子1118b打開時,等狹縫閥1118或其他覆蓋機構提供接入至腔室之處置容積1120及可安置有晶圓之基座1122或平臺。
如第11圖所示,處理單元1135、1140各自可分別與每個處置處理腔室1117a、1117b耦接。處理單元1135、1140可經設置以發起待在處置處理腔室1117中實施之多項操作或為該等操作提供材料,並可與相對於第10圖描述之分佈單元1030相似。舉例而言,處理單元1135、1140均可為處置電漿產生裝置,其中處理單元中之一者可與處置腔室中之一者耦接,同時處理單元中之第二者可與處置腔室中之第二者耦接。處理單元可包括遠端電漿功能,且因而可經設置以在各別處置處理腔室1117之每一者中施行獨立的基於電漿之操作。或者,處理單元1135、1140可以類似方式進行操作。
12A圖繪示根據所揭示技術之可與裝載腔室耦接之示例處理或處置腔室1200。腔室1200可在前文所示實施例之任一者中與裝載腔室耦接。腔室1200可經設置以如下文詳細描述施行處置、驅氣或蝕刻操作,且可經設置以提供激勵物質與已處理晶圓相互作用,該已處理晶圓可被例如殘餘鹵化物污染。頂蓋1203可與附加材料耦接或直接耦接至系統框架1213,該系統框架1213可與例如前文所述系統主體(諸如系統主體913)相似。附加支撐件1207可用於穩定或共同承擔腔室1200之負荷。頂蓋1203可另外固持入口流體總成1205,該入口流體總成1205經設置以從能量生產單元或分佈 單元1230輸送前驅物、電漿流出物、能量處置等。分佈單元1230可與入口氣體總成耦接,該入口氣體總成可進一步包括用於附加流體輸送進入處置腔室1200之分佈區域1258之旁路接入。在所揭示實施例中,分佈單元1230可提供自由基物質,並可設置成諸如前文描述之RPS單元,以提供電漿流出物或自由基物質至處置腔室1200中。
入口總成1205可包括位於分佈單元1230與處置腔室1200間之直接聯軸器1215。舉例而言,直接聯軸器1215可經設置以提供任何已提供前驅物之初始流量,以使得前驅物可經由腔室1200分佈更均勻。舉例而言,直接聯軸器1215可包括聯軸器之上部及下部,且該下部聯軸器之直徑可大於聯軸器1215之上部之直徑。如圖所示,相對較短過渡可提供在上部與下部之間,或者,連續過渡可用於產生用於聯軸器1215之圓錐形狀。該直接聯軸器1215可包括經設置為已提供流體提供亂流之特徵,且該等特徵可包括開槽(諸如,沿著聯軸器1215之長度界定之螺旋開槽)。或者,在所揭示實施例中,旋條(rifling)或其他曲率可沿著耦接1215之長度施加。聯軸器1215或入口流體總成1205之態樣可加內襯、經處置或由經設計以防止腐蝕或與經由入口總成輸送之物質相互作用之材料形成。舉例而言,該直接聯軸器1215可例如由石英製成或有石英內襯。
具有或沒有直接聯軸器1215之氣體入口總成1205可引導前驅物進入分佈區域1258以便分佈前驅物至處置區域或處理區域1220中。分佈區域1258可至少部分地從上面由 直接聯軸器1215、流體入口總成1205及頂蓋1203中的一或多者界定。該分佈區域可至少部分地從下由噴頭1225界定,該噴頭1225可經設置以分佈前驅物至處理區域1220中。噴頭1225可具備數種設置(諸如第7圖至第8圖中所示之示例噴頭設置),且可另外具有設置(諸如下文將根據第12B圖至第12C圖中描述的彼等設置)。噴頭1225可由各種材料製成,該等材料包括石英、陶瓷或其他介電材料。噴頭可經設置以將前驅物(諸如自由前驅物)均勻分佈至處理區域1220,在該處理區域中,自由基前驅物可與其中安置之基板1255相互作用。基板1255可如圖所示被支撐、固持或懸吊在處理區域1220中。舉例而言,支撐件1222或支撐裝置可經設置以沿著邊緣區域支撐基板1255並在處理區域1220內懸吊該基板。支撐件1222可包括複數個沿著一部分支撐件1207或頂蓋1203安置之突出部分,且可包括圍繞腔室1200安置之2個、3個、4個、5個、6個等或更多個突出部分。因為晶圓可在轉移裝置(諸如,機械臂)上經由如前文所述之狹縫閥輸送至腔室,所以支撐件可不完全遍及腔室1200安置。轉移裝置可將基板定位於一或多個支撐件1222上以便在處理期間被懸吊。處理區域1220可至少部分從下由腔室外殼1265之下部界定,腔室外殼1265之下部可與所揭示實施例中之裝載室或系統框架耦接。腔室外殼之下部1265可包括一溫度控制裝置1270(諸如,安置於處理區域1220內之加熱板)以便調節處理區域溫度。
12B圖繪示如第12A圖中所示之沿著線A-A之腔 室1200之一部分之俯視平面圖。如圖所示,噴頭1225b可為一穿孔板或歧管。噴頭之總成可與第8圖中所示之噴頭類似,或者可包括一種經特別設置用於在一界定處理空間內分佈圖案之設計。舉例而言,因為處置腔室(諸如第12A圖及第13圖中所示)定位於裝載腔室之上,所以處置腔室之垂直尺寸可小於前文所述之其他處理腔室。同樣,特定分佈圖案可用於提升分佈,以使得可施行均勻處理操作。噴頭1225b可包括一環形框架1210,該環形框架在腔室1200中定位於不同佈置中。耦接板1212可在框架1210上或其內,該耦接板1212可為碟形,且坐落於框架1210上或框架1210中。在所揭示實施例中,噴頭1225b可為整體式設計,並可由例如介電材料(諸如,石英)製成。該板之厚度可不同,並且該板之外部1210可比內部1212更厚,或反之亦然。
該板可包括複數個界定穿過板1212之孔徑1214,該等孔徑1214可經設置以分佈前驅物(諸如,經由噴頭1225b分佈自由基物質)。第12B圖中所示之示例佈置可包括一系列如圖所示之孔徑1214之環。如將理解,所示之圖案為示例性的,且應理解,在設計中涵蓋各種圖案、孔佈置及孔距。舉例而言,該板之內部可沒有孔徑1214,且該等孔徑可不形成在從噴頭1225b之中心點延伸之區域。基於噴頭之徑向長度,噴頭可不包括圍繞噴頭內部之孔徑1214,該噴頭內部至少從噴頭中心點延伸至界定在噴頭徑向長度之至少10%內之區域。沒有孔徑可另外包括在噴頭之內部1014內,該噴頭之內部1014從噴頭之中心點延伸至界定在至少約15%、20%、 25%、30%、35%、40%、45%、50%、60%等或更大比例內之區域。為了更好地分佈前驅物或限制經由一部分噴頭1225b之接入,孔徑1214可調整尺寸。舉例而言,從噴頭1225之中心點開始,第一孔徑之面積可小於徑向上從中心朝外安置之孔徑。如圖所示,孔徑1214之尺寸可從中心朝外延伸增加。因為從腔室之中心區域輸送之前驅物在板之邊緣區域處限制較少,所以此類設置可提供有利分佈,此舉可向外朝著邊緣區域提高流量。
12C圖繪示如第12A圖中所示之沿著線A-A之腔室1200之一部分之附加俯視平面圖。如圖所示,噴頭1225c可為一穿孔板或歧管。噴頭之總成可與第8圖或第12B圖所示之噴頭相似,或可包括一種特別針對在一圍束處理空間內之分佈圖案之設計。噴頭1225c可包含一環形框架1210,該環形框架1210在腔室1200中定位於不同佈置中。耦接板1212在框架1210上或框架1210中,該耦接板1212可為碟形,且坐落於框架1210上或框架1210中。在所揭示實施例中,噴頭1225b可為整體式設計,並可由例如介電材料(諸如,石英)製成。該板之厚度可不同,並且該板之外部1210可比內部1212更厚,或反之亦然。噴頭1225c可具備經界定穿過板界定之複數個通道,該等複數個通道可具備與板1225b之孔徑相似之佈置或尺寸特點。舉例而言,通道1216之尺寸可從中心朝外延伸增加,如此可向外朝向邊緣區域提高前驅物流量,從而可提供均勻之流量。從該等實例中理解之各種其他佈置及設置類似地被涵蓋在所揭示技術中。
13圖繪示根據所揭示技術之可與裝載腔室耦接之另一示例處理腔室。如圖所示,組合處理腔室1300顯示出具備基板裝載段及基板處理段,該基板處理段安置於裝載段之上並與裝載段垂直對齊。組合腔室1300可包括下部腔室外殼1310,具備一或多個板或組件,該下部腔室外殼1310包括經設置以提供接入至真空、惰性或清潔氣氛(諸如,在系統之處理側或清潔室側上)之第一接入口1312。下部腔室外殼1310亦可包括第二接入口(未圖示),該第二接入口處於下部腔室外殼之第二側,該下部腔室外殼之第二側與下部腔室外殼之第一側相對。在第1A圖中可見說明兩個接入埠之示例結構,其中裝載腔室106包括介面側103及轉移腔室側兩者上之接入槽。組合腔室1300可另外包括上部腔室外殼1350,具備一或多個板或組件,該上部腔室外殼1350與下部腔室外殼耦接。該上部腔室外殼及下部腔室外殼可直接耦接,或者亦可在系統框架1313(諸如前文論述之系統主體)內垂直對齊安置。該上部腔室外殼1350可包括處於上部腔室外殼1350之第一側上之第三接入口1352,且上部腔室外殼之第一側可與下部腔室外殼之第一側重合。該上部腔室外殼亦可包括至少部分地從上由面板1325界定之上部處理區域1360,該面板1325安置於該上部腔室外殼1350中。
在所揭示實施例中,下部腔室外殼1310可經設置用於裝載操作及某些特定處置操作。下部腔室外殼1310可界定下部基板區域1320,在該基板區域1320中,可安置基板1355a。基板可定位在下部腔室外殼1310之底部區域1309 上。升降銷1322可用於提升基板1355a,使得轉移裝置(諸如,機械刀片)可用於取回基板。下部基板區域可至少部分地從下由下部腔室外殼1310之底部1309界定,且溫度控制裝置(諸如,加熱機構1311)可安置於下部腔室外殼1310之底部1309上或為底部1309的一部份。此類加熱器可操作或可設置以升高下部基板區域1320及/或安置於該區域中之基板1355a之溫度。舉例而言,該加熱器可經設置以直接或間接升高基板的溫度至150℃左右或更高。在所揭示實施例中,該加熱器可另外經設置以升高溫度高於約150℃、200℃、250℃、300℃、350℃、400℃、450℃、500℃等或更高。
因為下部基板區域可按常規從大氣條件下接收基板,並將該等基板轉移至真空條件下,所以下部基板區域1320可包括用於且經設置以從大氣壓力抽空降至低於大氣壓力之第二壓力。抽空壓力取決於施行於處理腔室中之操作可處於指定大氣壓力以下或基於位置之大氣壓力。下部基板區域1320可經設置以被抽空至實施例中之約760托或更低,且在所揭示實施例中,可經設置以被抽空低於或約700托、600托、500托、400托、300托、200托、100托、50托、40托、30托、20托、10托、9托、8托、7托、6托、5托、4托、3托、2托、1托、100毫托、50毫托、30毫托、20毫托、10毫托、5毫托等或更低。
抽空並再加壓該下部基板區域1320可向下部腔室外殼1310施加應力。每天可施行該製程數次高達數百次或更多。據此,下部腔室外殼1310可經設置以在結構上支撐腔室 內之壓力循環。可加強外殼1310,該外殼1310可由耐壓材料或略帶彈性材料或其他能比單一金屬外殼更好地抵抗施加應力之材料。在所揭示實施例中,下部腔室外殼可經設置以每隔一小時在結構上支援壓力自大氣壓力循環至低於或約5毫托且返回至大氣壓力。該腔室外殼1310亦可經設置以每隔半小時、20分鐘、15分鐘、10分鐘、5分鐘、2分鐘、1分鐘等或更短時間支援此類壓力循環。下部基板區域1320亦可包括接入埠(未圖示),惰性流體可流過該接入埠。舉例而言,在接收來自從工廠介面側或下部腔室外殼內之第二接入口之基板之前,該裝載腔室可用氮氣或另一流體加壓以維持惰性環境或乾燥環境。或者,在基板已經處理並為了加壓而於轉移前返回至裝載腔室後,該裝載腔室1320可經淨化及/或用氮氣或惰性流體加壓以準備乾燥環境。
上部腔室外殼1350可直接與下部腔室外殼1310耦接或間接與上部腔室外殼1350之底部區域或下部1348耦接。在所揭示實施例中,上部腔室外殼1350之底部區域1348可另外包括溫度控制裝置(諸如,加熱板1345),該加熱板可至少部分從下界定上部處理區域1360。在所揭示實施例中,溫度控制裝置1345可經設置以維持其上安置之基板1355b之溫度在約0℃與約800℃之間。在所揭示實施例中,溫度控制裝置1345可另外經設置以維持溫度達到、高於,或約0℃、10℃、20℃、30℃、40℃、50℃、75℃、100℃、125℃、150℃、200℃、250℃、300℃、350℃、400℃、450℃、500℃、550℃、660℃等或更高。基板可直接支撐在如圖所示 之溫度控制裝置1345上,或可安置在諸如前文關於第12圖之腔室1200所描述之基板支撐裝置上,其中基板支撐裝置經設置以沿著邊緣區域支撐基板並在上部處理區域1360內懸掛該基板。
基於上部腔室外殼1350與下部腔室外殼1310之耦接,溫度控制裝置1345可與例如外部源1330耦接,該外部源1330沿著下部腔室外殼1310之側安置。電阻加熱元件可安置在上部腔室部分1350之底部區域1348中且可接收來自外部源1330之功率。在所揭示實施例中,流體通道可界定在上部腔室外殼1350之底部區域1348內,或者在上部腔室外殼1350與下部腔室外殼1348之間,且溫度受控制之流體可流過通道以控制上部處理區域1360內之溫度。流體通道可進一步朝著外部源1330向外徑向界定,該外部源1330可經設置以提供溫度控制流體以致引導流過流體通道。
上部腔室外殼1303之腔室頂部蓋或上部可至少部分從上與面板1325一起界定上部分佈區域1365,該面板1325可至少部分地從下界定上部分佈區域1365。進一步地,遠端電漿單元(未圖示)可與上部腔室外殼之上部耦接。RPS單元可經由遠端運輸管道或聯軸器1370與上部腔室外殼1350之上部1303耦接。附加耦接裝置1372可從RPS裝置提供分佈連接,且如圖所示可為兩個處置腔室在運輸管道或聯軸器1370之間分佈自由基物質。此類佈局可類似於分佈單元1030,但具有與第10圖中所示之系統1000不同的聯軸器。
上部分佈區域1365可包括中心分佈區域1365a及邊 緣分佈區域1365b,該中心分佈區域1365a及該邊緣分佈區域1365b與分隔段1366隔開或分開。邊緣分佈區域1365b形狀可為環形並徑向遠離該中心分佈區域1365a。流體及/或氣體入口總成1385可定位在上部腔室外殼1350之上部1348內,且可經設置以輸送前驅物至上部分佈區域1365。氣體入口總成1385可與例如第12圖所示之氣體入口總成或直接聯軸器類似,且在所揭示實施例中,氣體入口總成1385可具備第13圖所示之特徵。氣體入口總成1385可至少部分地以一圓柱形狀為特徵,且氣體入口總成之下部可界定複數個氣體輸送孔徑1386,該等氣體輸送孔徑1386圍繞氣體入口總成之下部徑向分佈。輸送孔徑1386可為對頂蓋1303或氣體入口總成1385內之分佈區域開放之埠,並可另外提供流體接入至流體入口通道,該等流體入口通道界定在氣體入口總成1385及/或頂蓋1303內。在任一情況下,該不知可經設置以輸送前驅物(諸如,徑向前驅物)至氣體分佈區域1365。氣體入口總成中之孔徑(未圖示)可提供流體接入至氣體分佈區域1365,且可被界定以便在中心分佈區域1365a與邊緣分佈區域1365b之間更均勻地輸送前驅物。
氣體入口總成1385可另外包括例如一或多個旁路流體通道,該一或多個旁路流體通道經設置以允許待輸送之附加前驅物與耦接RPS單元隔開。該總成可包括一旁路流體通道,該旁路流體通道經設置以圍繞氣體入口總成1385之圓柱形部分輸送至少一種前驅物。該旁路流體通道可包括一第一旁路段1387,該第一旁路段1387經設置以引導至少一種前 驅物至中心分佈區域1365a。該旁路流體通道可進一步包括一第二旁路段1389,該第二旁路段1389經設置以引導至少一種前驅物至邊緣分佈區域1365b。旁路段1387、1389可與輸送一或多種前驅物至兩個旁路段之單個前驅物入口耦接,或者可分別與各自前驅物入口耦接以使得可提供不同流速、前驅物等以影響處理條件、輸送均勻性等。舉例而言,若類似前驅物在兩個旁路段間輸送,為了沿著氣體分佈區域1365b之邊緣區域提供加強流量,可輸送較高流速知第二旁路段1389。
面板1325或噴頭可包含前述噴頭或面板中之任一者。此外,面板1325、頂蓋1303、溫度控制裝置1345及/或上部腔室之底部區域1348可與單位置或多位置開關(未圖示)電氣或機械耦接,該單位置或多位置開關可操作以連接面板1325至交替開關位置處之電源及/或接接地源。上部腔室外殼1350之底部區域1348可接地,以使得面板連接至電源時,在上部處理區域1360中產生或點燃電漿。該電漿可激發經由旁路流體通道1387、1389及經由界定在噴頭1325內之通道1327輸送之一或多種前驅物,或者可維持在RPS單元中產生並經由氣體入口總成1385且然後經由通道1327輸送之自由基物質。通道1327可具有各種設置及不知,且可經設置以防止或限制電漿物質向上回流至分佈區域1365及/或入口總成1385中。電漿可為前文所述電漿源中之任一者,且在所揭示實施例中,該電漿可包括電容耦合電漿、感應耦合電漿、微波、環形電漿等。上部腔室外殼1350之底部區域1348可與所揭示實施例中之腔室組件或系統框架電氣耦接,且亦可與所揭 示實施例中之上部腔室外殼1350之其他部分電氣隔離。腔室1300亦可經設置以在前文所述之用於其他腔室設置之溫度或壓力中任一者下操作。
腔室1300亦可設置成包括腔室外殼1350之處置腔室,該腔室外殼1350之底部1348與基板裝載閉鎖腔室1310耦接。腔室1300可包括一入口總成1385,該入口總成1385經設置以接收流體至界定在腔室內之內部區域中。面板1325可安置於內部區域內,並在腔室1300內至少部分地從下界定分佈區域且從上界定處理區域。該面板可包含在所揭示實施例中之石英,或可或者變為導電基板以可操作為所揭示實施例中之電極,其中腔室經設置以在處理區域內產生電漿。該入口總成1385亦可加以內襯或由一材料(諸如,石英)製成或亦塗覆或襯有經設置以對自由基物質為惰性之材料,該等自由基物質經由入口總成1385輸送。
處置腔室1300可包括與入口總成1385耦接之單獨的源,與所圖示之RPS耦接不同。該不知可看起來像前文論述之包括第11圖中之能量分佈單元1135及1140之設置。舉例而言,能量產生單元可與處置腔室之入口總成及/或頂蓋1303耦接。舉例而言,射頻、直流、或微波、射頻之子集及基於功率放電之技術可在能量產生單元內使用以向處置腔室提供自由基流出物。激發亦可由基於熱之技術、氣體分解技術、高密光源或暴露於X射線源而產生。或者,可使用遠端激發源(諸如所示之遠端電漿發生器)以產生反應物質之電漿,該等反應物質然後輸送至前文論述之腔室。作為另一實 例,入口總成1385可包括一窗戶,且能量產生單元可包括經設置以提供紫外光或輻射至腔室中之光源,該腔室具有或沒有附加前驅物。
所述之系統及腔室可提供大量益處。舉例而言,附加操作可在單一系統環境中施行,該單一系統環境可減少處理之整體等待時間。此外,諸多操作可在乾燥環境中施行,該乾燥環境可限制或最小化氧化矽表面或氮化物表面之鹵化物污染,該鹵化物污染會導致老化缺陷。系統額外提供數個用於鈍化、材料移除及污染物驅氣操作之優勢選項,如將與下述製程及方法加以論述,該等下述製程及方法能夠在上文描述之一或多個組件中施行。
二.製程移動
利用前文描述之系統及/或工具,可開發製程序列,該等製程序列經設計以限制、移除或修正位於正在處理的基板內之老化缺陷或其他污染物。舉例而言,藉由將腔室工具與系統耦接以使得不用破壞真空或清潔環境中即可施行各種操作,可限制暴露於大氣條件及/或所含濕氣。轉向第14圖,該圖繪示處理操作之示例處理序列,該等處理序列可包括蝕刻及/或處置製程,該等蝕刻及/或處置製程可在耦接在系統內之一或多個腔室中施行。在操作1410處,可在大氣條件下傳遞基板至處理初始階段之裝載腔室。基板可起始於固持腔室(諸如前文所述之FOUP 102),且可在大氣或一般工廠條件下固持。大氣大體意謂系統所處位置之原生或誘導條件。舉例而言,位置考慮(諸如,海拔、溫度、濕度等)可影響大 氣條件。此外,工廠環境可正面或負面地增壓至某一程度,然而該等情況仍被視為可理解之大氣。一旦由裝載腔室接收,裝載腔室在操作1420處可被抽空至系統壓力或站壓力,該系統壓力或站壓力與一或多個腔室工具或轉移站環境相似,該一或多個腔室工具或轉移站環境可一直維持在真空條件下。
然後,基板可在操作1430處傳遞至處理腔室,在該操作1430期間,可施行一或多個系統操作。舉例而言,在基板被轉移至當前系統工具之前,基板可在先前經圖案化、蝕刻或處理至某一程度。在某些情況下,可已發生一或多個沈積製程,該一或多個沈積製程包括沈積一或多個介電層,諸如形成於基板(諸如,矽基板)上之原生氧化物或氮化物層。在此種情況下,系統可經設置以施行一或多種蝕刻或處置操作。或者,圖案化或清潔基板可經輸送至用於初始沈積熱膜、可流動膜或其他氧化物膜之系統,然後進行蝕刻及/或處置操作。如果將施行初始沈積,基板可在操作1430中經輸送至用於沈積氧化矽材料之一對串聯沈積腔室中之一者沈沈。如果該基板已經包括例如一沈積層(諸如,原生氧化層),則在操作1430中施行之製程可包括氧化物蝕刻。此外,可已施行氧化矽蝕刻,且在操作1430中,矽或氮化物蝕刻可施行於一腔室中,該腔室經設置以施行此類蝕刻操作。該操作可為一選擇性蝕刻操作,該選擇性蝕刻操作經設置以高於暴露的氧化矽之速率來蝕刻矽或氮化矽。該蝕刻操作可包括在所揭示實施例中使用可包括鹵化物物質之電漿物質。
基板可在操作1440中視需要轉移至一或多個附加處理腔室。舉例而言,如果氧化物蝕刻在操作1430中施行,則基板可轉移至附加腔室,該附加腔室經設置以在操作1440中施行矽或氮化物蝕刻製程。另外,如果在操作1430中施行沈積(諸如,氧化物沈積),則基板可在操作1440中轉移至經設置以施行氧化物蝕刻之腔室。該基板然後可進一步轉移至附加腔室,或者該附加處理腔室可經設置或重設以施行矽或氮化物蝕刻製程。如將理解,類似地涵蓋此類處理操作之不同替代方案。
製程可視需要在操作1450中繼續,在操作1450中,基板可轉移至如前文所述之處置腔室(諸如處置腔室1200或組合腔室1300)。在處置腔室中,可施行蝕刻後製程,該蝕刻後製程經設置以例如從基板表面移除材料或清除污染物。該處置可涉及各種前文所述之製程,或可包括如下文將進一步詳細描述之處置中之一者。在視需要之處置操作之後,基板可在操作1460中轉移至裝載腔室,該裝載腔室可與先前接收之基板之裝載腔室相同或不同。該裝載腔室在操作1470中可再次加壓,或者可藉由使惰性氣體流進該腔室直到該腔室回到大氣條件而移除該真空環境。
基板可在操作1480中視需要從裝載腔室轉移至附加腔室(諸如濕式處置腔室)。處置腔室可維持在所揭示實施例中之大氣條件,且亦可維持在例如惰性環境(諸如,在氮氣淨化環境)中。基板可在操作1490中返回至固持腔室或不同固持腔室。或者,為了維持已處理晶圓與原來提供之晶 圓分離,基板可轉移至例如用於已處理晶圓之儲存腔室。
轉向第15圖,相對於用於晶圓運輸之第14圖描述之製程態樣可用圖表圖示於示例處理系統1500之俯視平面圖上。所述之製程及轉移可另外由電腦系統(諸如,系統控制器)施行。在所圖示設置中,第一轉移裝置1504A可用於從固持腔室1502a移除基板,並將該基板沿著相對路徑A1轉移至基板裝載腔室1506A。系統控制器然後可例如提供指令至裝載閉鎖腔室1506A以關閉並抽氣降至所需工作壓力,使基板可轉移至轉移腔室1510中,該轉移腔室1510已處於一抽空狀態。在所揭示實施例中,該基板可在處理連續進行期間維持在一真空環境中。
第二轉移裝置1511可用於沿著路徑A2從已抽空裝載腔室轉移基板至處理腔室(諸如,處理腔室1508b)。在處理腔室中,可施行一製程(諸如,蝕刻製程或沈積製程),然後該基板可沿著路徑A2返回至裝載腔室1506a。在一實例中,氧化矽蝕刻在第一處理腔室中施行,或者矽蝕刻可在先前蝕刻之氧化物基板上施行。此外,例如將基板轉移至裝載腔室之前,該基板可沿著例如路徑A3轉移至附加處理腔室1508d,在該裝載腔室中,可施行附加操作(諸如,蝕刻製程,沈積製程或處置製程)。舉例而言,如果在第一處理腔室中施行氧化矽蝕刻,則第二處理腔室可經設置以施行矽或氮化矽蝕刻製程。系統控制器亦可經設置以提供指令至氣體輸送系統,且可提供指令用於使前驅物流入處理腔室。該等製程可與相對於下文論述的方法所描述之彼等方法類似地施行。 基板可隨後在附加腔室中處理,轉移返回基板裝載腔室1506a或另外轉移至一腔室(諸如,組合腔室1300),且安置在此用於附加處置及/或處理之裝置之上部腔室中。如前文所述,處置腔室可垂直對齊裝載腔室1506並與裝載腔室1506耦接,且將基板轉移返回該裝載腔室1506之前,該基板可用第二轉移裝置轉移至該腔室。處置腔室可經設置以施行蝕刻及/或驅氣操作以從所揭示實施例中之氧化矽之表面移除鹵化物物質。驅氣製程可從氧化矽膜移除一些、大部分或全部鹵化物物質但不移除氧化矽材料之任何部分,或者藉由移除一部分鹵化物及氧化物達到上述目的。該驅氣操作可包括下文描述之電漿製程,且可另外包括紫外光製程、電子束製程及/或臭氧固化製程。從處理腔室開始且沿著此類相對路徑之所有轉移操作可用第二轉移裝置施行,該等相對路徑包括A2、A3及A4。
在施行處理或處置後,該第二轉移裝置可轉移該基板返回例如裝載腔室1506a或返回替代裝載腔室(諸如,1506b),且真空條件可從裝載腔室移除。在基板返回至裝載腔室後或者在已處理基板被引導至裝載腔室後,該基板可在裝載腔室1506內加熱達一時間段。控制系統例如可另外提供使加熱器嚙合之指令,該加熱器加熱裝載腔室從第一溫度上升至實施例中之大於或約200℃之第二溫度。基板可使用例如轉移裝置1504從裝載腔室1506a轉移返回至固持腔室1502,且可另外沿著路徑A7轉移至不同固持腔室或儲存腔室。在所揭示實施例中,該固持腔室為儲存腔室。此外,從裝載腔室 1506a,該基板在被轉移至固持腔室1502之前可沿著路徑A5用第一轉移裝置轉移至濕式蝕刻站1505。該基板不是返回至固持腔室1502,而是可轉移至儲存腔室1507,該儲存腔室1507可與固持腔室分離,且可用於容納已處理基板。儲存腔室或固持腔室可用所揭示實施例中之惰性流體連續淨化。以此方式,該容納之基板可維持在惰性及/或乾燥環境中。該等製程中之一些或全部製程可結合施行以提供系統製程,該等系統製程減少基板系統中或基板處理期間之鹵化物污染及/或老化缺陷。藉由提供真空環境內所描述之該等操作中之數個操作,鹵化物污染可被控制、限制或從已處理基板中移除。下文將進一步詳細描述某些特定製程,該等特定製程可在所描述之某些腔室及系統或其組合中施行,並可沿著所揭示之處理路徑施行。
三.用於鈍化之蝕刻製程及方法
現可參考第16圖,該圖描述示例基板處理沈積及蝕刻方法。此類方法可在兩個或多個腔室中施行,該兩個或多個腔室與所揭示技術之示例系統耦接。在基板處理中,半導體晶圓可首先要求保護層形成於基板上面以保護隔開後續操作。此類膜可為形成於基板表面上之薄絕緣體(諸如,小於約5nm左右降至約10埃或更低),並可稱為原生層。該膜可為形成於矽上之氧化物膜,且在許多情況下,該膜可為氧化矽。矽可為非晶形的、晶形的或多晶的(在此情況下,矽通常被稱為多晶矽)。雖然高品質製程可特別產生或主要產生二氧化矽膜,但是因為所採用製程之性質,該膜可包括其 他矽及氧鍵接結構,且可另外包括含有氮、氫、碳等之附加組成物,所採用製程可用於形成原生氧化物。此類氧化物可在操作1610中形成。氧化物形成可發生在所描述系統之腔室中之一者,或可在不同處理系統中形成並輸送至當前所描述系統,該等當前所描述系統具有先前形成之先前形成之氧化物材料。該氧化物材料可形成於矽基板之上,且可另外形成於例如氮化矽膜之上或氮化矽膜之下。如果基板在本處理系統中形成,則基板然後可轉移至前文描述之蝕刻腔室;或者,如果該基板以此種形式轉移至系統,該基板則可藉由該系統被接收至前文所述之處理腔室。
在所揭示實施例中,可暴露下伏矽或氮化矽材料用於處理。然而,在不同濕式或乾式蝕刻製程期間,用於選擇性蝕刻矽或氮化矽之化學輪廓不可蝕刻氧化矽,或可最低程度蝕刻氧化矽。反之,用於選擇性蝕刻氧化矽之製程不可蝕刻矽或氮化矽,在任一情況下,矽或氮化矽均可有益;然而,如果兩種材料均包括在基板上,可需要兩種蝕刻製程。原生氧化物之初始蝕刻可在對氧化矽具備選擇性之蝕刻製程中施行,該蝕刻製程將暴露下伏矽或氮化矽材料。然後施行對矽或氮化矽具選擇性之後續蝕刻製程,該蝕刻製程不蝕刻或最低程度蝕刻氧化矽。
氧化矽蝕刻可在操作1620處施行以暴露矽或氮化矽之區域。蝕刻製程可在例如一腔室(諸如,與第3圖、第4圖、第5圖或第6圖中圖示之腔室相似之腔室)中施行,該腔室與前文所述之系統中之一者耦接。含三氟化氮或另一含 氟或含鹵化物前驅物之流可開始進入與處理區域隔開之電漿區域,在該處理區域中有一基板。大體而言,含氟前驅物可流進電漿區域(諸如,前文所述之RPS或電漿處理區域),且然後在遠端電漿區域中形成之電漿流出物可流進基板處理區域中。氨可同時流進基板處理區域與電漿流出物發生反應,且氨可被或不可被傳遞通過遠端電漿區域,並僅可藉由與電漿流出物之相互作用而被激勵。
圖案化基板可被選擇性蝕刻,以使得氧化矽移除速率明顯高於氮化矽或矽。反應化學物質可從基板處理區域移除,且氧化矽蝕刻操作可為完成的。此類製程可獲得與氮化矽蝕刻速率相比,氧化矽蝕刻速率超過10:1並達到150:1之蝕刻選擇性。氧化矽蝕刻速率可超過氮化矽蝕刻速率約100或更大的倍數。氣相干式蝕刻亦可獲得氧化矽相對於包括多晶矽之矽的蝕刻選擇性超過100:1,且氧化矽蝕刻速率相對於矽蝕刻速率達到500:1。氧化矽蝕刻速率可超過氮化矽蝕刻速率約300倍或更大。氫及氟之存在可允許在相對較低之基板溫度下在其他組成之間形成固體副產物(NH4)2SiF6。此外,藉由充分控制溫度,可實質上避免或消除固體殘留形成以便進一步保護結構之下伏特徵。於2012年9月18日提交之標題為「Radical-Component Oxide Etch」的共同讓與之申請案第61/702,493號(代理人案號A17384/T110100)中描述蝕刻及沈積製程以及腔室之附加實例,該等蝕刻及沈積製程以及腔室可結合此蝕刻製程使用,該申請案之全部內容在此以引用之方式出於所有目的在不與本揭示案相衝突之情況下併入本 文中。
在矽或氮化矽之區域已暴露用於蝕刻後,基板可轉移至另一腔室,該另一腔室用於在操作1630中施行之矽或氮化矽蝕刻。蝕刻製程可在例如一腔室(諸如,與第3圖、第4圖、第5圖或第6圖中圖示之腔室相似之腔室)中施行,該腔室與前文所述系統中之一者耦接。含氧前驅物可流進一第一遠端電漿區域,同時在第一遠端電漿區域形成電漿以產生含氧電漿流出物,該第一遠端電漿區域與基板所在之基板處理區域流體耦接。此類遠端電漿區域可為與所揭示實施例中之腔室耦接之RPS單元。含氧前驅物可包括二氧化氮及其他含氧及/或含氮前驅物。前驅物可在電漿中解離以產生各種電漿流出物,該等電漿流出物可包括O*、NO*及其它在蝕刻操作中有用之物質。
含氟前驅物可流進第二遠端電漿區域,該第二遠端電漿區域與該處理區域分離,但是與該處理區域流體耦接。第二遠端電漿區域可為附加RPS單元,或可為例如與該處理區域分離但是處於該腔室內之隔離電漿區域。電漿可在前驅物輸送期間於第二遠端電漿區域中形成,且該電漿可用於產生含氟電漿流出物。數個氟源可在例如包括三氟化氮之蝕刻製程中使用。含氟前驅物可包括三氟化氮,且產生之含氟電漿流出物可包括NF*及NF2*物質。在第二遠端電漿區域中產生之電漿可經特殊設置以限制自由基氟物質或F*物質的方式激勵含氟前驅物,以使得該含氟電漿流出物基本上由NF*及NF2*物質組成。
含氧電漿流出物及含氟電漿流出物可流進腔室之處理區域。基板之暴露之矽及/或氮化矽區域可選擇性地用含氧電漿流出物及含氟電漿流出物之組合蝕刻。在施行蝕刻後,可從基板處理區域移除反應化學物質,且然後從處理區域移除該基板。當實質上如所論述施行時,該等方法可允許氮化矽區域以快於矽或氧化矽區域之速率進行蝕刻。使用本文描述之氣相干式蝕刻製程,可獲得與氧化矽之蝕刻速率相比矽及/或氮化矽蝕刻速率超過10:1之蝕刻選擇性。在所揭示實施例中,氮化矽及/或矽蝕刻速率可超過氧化矽蝕刻速率高達或50左右或更大倍數。於2013年3月1日提交之標題為「EnhancedEtching Processes Using Remote Plasma Sources」的共同讓與申請案第61/771,264號(代理人案號A020574/T112100)中描述有蝕刻及沈積製程以及腔室之附加實例,該等蝕刻及沈積製程以及腔室可結合此蝕刻製程使用,該申請案之全部內容在此以引用之方式出於所有目的在不與本揭示案相衝突之情況下併入本文中。
第一蝕刻操作及第二蝕刻操作可在前文描述之各種操作條件下實行,並可在類似或不同條件下施行。在所揭示實施例中,基板處理區域中之壓力可於蝕刻操作期間高於或約0.1托且低於或約100托。在所揭示實施例中,基板處理區域內之壓力亦可低於或約40托且高於或約5托或10托,或者可處於約0.1毫托與約10托之間。在蝕刻製程期間,基板可維持在或低於約400℃,並可維持在或低於約300℃、200℃、100℃、80℃、75℃、50℃、25℃、10℃、0℃或更低。 基板之溫度可在蝕刻操作期間維持在約100℃或更高且約140℃或更低,且亦可維持在或低於約50℃、25℃、10℃或更低。
至少部分是因為可用於所描述製程中之高選擇性,所以氧化矽層不可在第二蝕刻操作期間要求進一步保護,並且因此暴露於第二操作之電漿物質,但氧化矽並未被蝕刻,在製程期間實質上被保留,或者在製程期間以最低程度移除。然而,輸送至處理區域之自由基氟物質仍可接觸氧化矽並併入氧化矽內。如上文所述,當濕氣被引入該系統時,該併入可造成老化缺陷。據此,為了防止或減輕老化缺陷,可施行數個製程。因為在製造製程中所述蝕刻製程可相對較早發生,所以一個解決方案可包含限制基板保持在一大氣環境中時間量。據此,方法可用於藉由限制濕氣相互作用來幫助減輕或防止在已處置基板上之表面反應,如下文相對於第17圖所論述。
如前文所描述,氧化物之可選蝕刻可在操作1710(諸如上文描述之第一蝕刻製程)沈積施行。第一蝕刻製程對氧化矽之選擇性可勝過矽,並可利用實施例中之含氟前驅物及含氫及/或含氮前驅物。對矽之選擇性勝過氧化矽之蝕刻製程可在操作1720處施行。蝕刻製程可利用如前文描述的含氟前驅物及含氧前驅物,並可將氧化矽表面暴露於鹵化物物質,該等鹵化物物質包括氟物質(諸如,自由基氟)。殘餘氟物質可變得併入基板氧化矽材料。基板可隨後在操作1730處加熱至第一溫度(諸如藉由一烘烤操作)以將在製程中或在系統環境內產生之任何殘餘濕氣移除。基板可隨後在操作1740 中早惰性或乾燥環境中轉移或保持,該操作1740可從容納基板之環境中移除一些、全部或實質上全部濕氣。
基板可在腔室中加熱,在該腔室中,蝕刻操作經施行,或者該基板可轉移至附加腔室(諸如,待加熱之裝載腔室)。藉由從蝕刻腔室中移除該基板,隨後可施行蝕刻,同時在裝載腔室中加熱該基板,此舉可減少或實質上減少等待時間。加熱操作可加熱該基板例如高於或約100℃,且在所揭示實施例中,可加熱該基板高於或約150℃、200℃、250℃、300℃、350℃、400℃等或更高。此類製程可藉由移除併入濕氣鈍化該表面,此舉可減少老化缺陷之形成。基板可在第一溫度或加熱溫度下維持第一時間段,該第一時間段可大於或約1分鐘、2分鐘、3分鐘、4分鐘、5分鐘、10分鐘、15分鐘、20分鐘等或更長。
令人驚訝地是發明者決定:加熱基板並未移除老化缺陷直到已維持某一臨界時間。類似地,發明者決定在該期間加熱該基板超過一臨界溫度可另外影響老化缺陷之形成。在加熱或鈍化操作後,基板可轉移至儲存腔室或固持腔室,或簡單地返回至FOUP,該基板可起源於該FOUP。為了防止濕氣在腔室內累積,可淨化該儲存腔室直到基板堆疊已被處理並且該基板堆疊可經輸送至隨後之操作或系統。舉例而言,淨化流體(諸如,惰性流體,如氮等)可連續流過該儲存腔室以保持乾燥環境。在所揭示實施例中,該腔室可用淨化流體保持在一略偏高壓力以確保不會發生儲存腔室洩露。
測試已顯示:此類鈍化製程可證明對於後續處理前 之各種儲存晶圓類型及長度是成功的,以使得不會形成老化缺陷或將老化缺陷限制在基板表面上。然而,晶圓上之材料可另外影響此類鈍化製程是否成功。舉例而言,直接在鈍化操作後,以及在鈍化製程之後兩小時、四小時、六小時等至二十四小時或更長時間內,具備矽及氧化矽材料之晶圓已顯示出沒有或有限老化缺陷(諸如,在可接受裕度內)。然而,當基板材料包括氮時,老化缺陷可普遍發生在鈍化後一至兩小時內。在不希望受特定理論束縛之情況下,發明者已決定在某些操作後,氮化物材料可包括更高水準之殘餘氟物質。舉例而言,當施行選擇性矽蝕刻時,氧化矽及氮化矽之保留層仍可暴露於蝕刻劑物質,且自由基物質(諸如,鹵化物物質)可併入在氧化矽及/或氮化矽材料內。據此,當複數個晶圓儲存於腔室中並且該等晶圓包括氮化物膜時,更高水準之氟可更易受老化缺陷及材料之交叉污染影響。據此,雖然本鈍化已顯示為成功的,但是可施加附加操作以移除受污染之氧化物材料,並減少或驅氣來自材料膜之併入氟物質。移除材料及鹵化物驅氣之該等方法將在下文中得以詳細論述。
四.移除方法
X射線光電子能譜(「XPS」)分析已被發明者施行以決定前文論述之關於老化缺陷之程度及可能機構。藉由改變掠射角或施行分析之膜內深度,發明者決定隨著在膜內深度增加,可減少鹵化物污染。據此,已暴露膜(諸如,暴露的氧化物膜或氮化物膜)之上表面可含有原子百分率高達或超過約15%的氟或其他殘餘鹵化物物質。藉由移除材料之 上表面,可另外移除殘餘物質以暴露材料表面,該材料具有較少量之殘餘鹵化物或其他污染物物。
在第18圖中,繪示材料移除及/或基板蝕刻之示例方法。該等方法包括上文相對於第16圖描述之類似蝕刻操作。方法中之每一者可適用於矽材料以及氮化矽材料。舉例而言,在系統環境中可提供一基板,該系統環境具備矽材料及覆蓋該矽材料之氧化矽材料或層。氧化矽材料可已沈積在不同腔室系統或將發生材料移除之系統中沈。在操作1810處,可施行第一蝕刻製程,該第一蝕刻製程蝕刻該基板並對氧化矽之選擇性勝過矽。該等方法可與更早描述之操作1620相似,並可包括使用三氟化氮及氨例如以便施行蝕刻操作。第二蝕刻製程可在操作1820處施行,該操作1820蝕刻該基板並對矽之選擇性勝過氧化矽。該等方法可與前文描述之操作1630相似。
方法亦可包括操作1830處之第三蝕刻製程,該第三蝕刻製程蝕刻該基板並對氧化矽之選擇性勝過矽。該操作可施行蝕刻製程,該蝕刻製程與上文中相對於操作1620描述之製程相似。以此方式,第一蝕刻製程及第三蝕刻製程可為相似蝕刻製程,並且在諸多方面大體相似或基本相似。據此,第一及第三蝕刻製程可包括將基板暴露於含氮前驅物及含氟前驅物。在所揭示實施例中,含氟前驅物可已流過電漿以產生電漿流出物。第二蝕刻製程可包括將基板暴露於含氟前驅物及含氧前驅物,且該含氟前驅物可已流過電漿以產生電漿流出物。在第一蝕刻操作中蝕刻之氧化矽層可暴露於第二蝕 刻製程之電漿流出物,該等電漿流出物可包括氟物質,且殘餘氟物質可併入氧化矽層。物質可包含於氧化矽網格中,或者特別結合在材料內之不同地點。
第一蝕刻製程及第三蝕刻製程可在系統之第一處理腔室中施行,且第二蝕刻製程可在系統之第二處理腔室中施行。以此方式,所有製程可在單一系統環境內在所維持之真空或惰性環境下施行。據此,在第三蝕刻製程中施行材料移除前,可併入第二蝕刻製程中之氧化矽材料之殘餘氟不可暴露於大氣條件。以此方式,可防止或實質上防止在氧化矽表面上之老化缺陷。第三蝕刻製程可移除預定量之材料。舉例而言,為了使殘餘氟之併入降低在一臨界水準之下,可分析測試晶圓堆以確定蝕刻可施行之深度。舉例而言,第三蝕刻製程可蝕刻氧化矽層以移除至少約5埃之材料深度。第三蝕刻製程亦可蝕刻氧化矽層以移除至少10埃、15埃、20埃、30埃、40埃、50埃、10nm等或更大之深度,或者該第三蝕刻製程亦可經施行以移除小於或約20埃、15埃、10埃等或更少。
該等方法可藉由其他方法或方法之組合移除氧化物材料。舉例而言,操作1830可包括藉由第三製程處置基板。如前文所論述,氧化矽層可暴露於第二蝕刻製程,且第二蝕刻製程可產生例如氟物質,且殘餘氟物質可變得與氧化矽層合併。在示例製程中,第三製程可包括在基板表面處引導電漿流出物。電漿流出物可從例如惰性前驅物產生,且可從氬、氦或其他惰性前驅物中產生。電漿流出物可在腔室之遠端區 域或在第三蝕刻腔室產生,該遠端區域可為在其中施行第一或第二蝕刻製程之腔室,該第三蝕刻腔室與第3圖、第4圖、第5圖及第6圖中描述之腔室中之任一者相似。舉例而言,惰性前驅物(諸如,氬前驅物)可流過RPS或處理腔室之內部電漿區域以產生自由基氬物質。該等物質可在基板表面處經引導以施行表面濺鍍。電漿物質可蝕刻基板之頂層,並可藉由電漿物質撞擊表面而從氧化矽層移除頂表面。移除之材料可從腔室中提取,揭示污染水準較低之氧化矽層。濺鍍操作可如前述之第三蝕刻製程移除類似水準之材料。
作為另一實例,操作1830可包括藉由第三製程處置基板,且該第三製程可包括濕式蝕刻製程。在此系統中,第一蝕刻操作、第二蝕刻操作及第三蝕刻操作可全部在不同處理腔室中施行。濕式蝕刻製程可包含氫氟酸(諸如,DHF),且可可經施行以移除高達約20埃或更少的氧化矽層。在所揭示實施例中,濕式蝕刻製程亦可移除高達或約15埃或更少、12埃或更少、10埃或更少等。如果利用一系統(諸如前文描述之系統),濕式蝕刻腔室可在真空環境外併入。在替代設置中,組合處理腔室可經設置以施行濕式蝕刻製程,該濕式蝕刻製程然後例如在真空處理環境中施行。然而,若正在從真空環境移除該基板以施行濕式蝕刻,則該基板可暴露於濕氣。此情況係可接受的,係因為即使初始老化缺陷開始形成,但在施行濕式蝕刻時,該等初始老化缺陷可從基板表面移除,從而克服了缺陷形成。此外,如果於處理後晚些時候並且不直接施行鈍化製程時,在施行濕式蝕刻製程之前,可施 行諸如前文所述之鈍化製程,該鈍化製程提供一定程度之保護。鈍化製程亦可類似地與其它移除製程共同施行。第三製程亦可用離子水施行,以使得該製程包括將氧化矽層暴露於濕式處理站中之離子水。該離子水不可施行蝕刻操作,但是反而可至少部分地從氧化矽層上驅氣鹵化物物質,不用蝕刻氧化矽層。據此,若濕式處理站包含在真空環境外面,為了提供進一步針對老化缺陷形成之保護,在從真空環境轉移基板前,可施行諸如前文所述之鈍化製程。
為了將原子氟或鹵化物濃度降至低於或約氟原子百分率的20%,所描述的材料移除方法中之任一者可從氧化矽層上移除材料。亦可移除大量材料以將鹵化物濃度降至低於或約原子百分率18、15、12、10、9、8、7、6、5、4、3、2、1或0,在該情況下,全部或基本上全部移除殘餘鹵化物物質。此類操作對於各種情況均可成功。然而,因為原生氧化物層隨基板結垢而繼續縮減,所以氧化矽層可降至低於約5nm、3nm、2nm、1nm、5埃等或更少。在此類情況中,附加處置方法亦可有用,該等附加處置方法並未進一步降低替代實例中之氧化矽層或氮化矽層之厚度。據此,驅氣操作(諸如使用去離子水或其他製程)亦可有用且將在下文中進一步描述。
五.驅氣方法
可施行驅氣操作以降低或消除氧化矽材料及其它材料中之鹵化物之濃度。驅氣操作可從材料層中去除殘餘物質而不用從氧化層中移除材料。據此,氧化層可維持或實質上維持在驅氣操作中。某些驅氣操作可另外移除大量氧化材 料,但是在所揭示實施例中可限制或最小化移除。藉由與併入之鹵化物物質相互作用,可施行驅氣操作,或者驅氣操作可提供能量處置,該等能量處置可從物理上或化學上使鹵化物物質與氧化物或氮化物材料分離。
轉向第19圖,該圖繪示一鹵化物驅氣操作,該鹵化物驅氣操作可包括從一已處理基板移除污染物,該已處理基板具有暴露的矽及氧化矽表面。該基板可或者或另外包括前文所述之氮化物區域或其他金屬區域或材料區域。在操作1910處,可施行可選蝕刻製程以蝕刻氧化矽材料,以暴露基底矽或氮化矽材料。先前操作可在可選蝕刻操作前施行,該可選蝕刻操作包括前文關於例如第16圖描述之沈積或其他製程。可施行蝕刻基板之可選蝕刻製程,該製程對氧化矽之選擇性勝過矽。可選蝕刻與更早描述之操作1620相似,並可包括使用三氟化氮及氨例如以施行蝕刻操作。
後續蝕刻製程可在操作1920處施行,該操作1920蝕刻矽及/或氮化矽材料。蝕刻製程例如對矽或氮化矽之選擇性可勝過氧化矽,並且可與前文描述之操作1630相似。蝕刻製程可產生自由基物質且來自自由基物質的殘餘物質可與暴露的氧化矽層合併。蝕刻製程可包括使基板暴露於含氟前驅物及含氧前驅物。含氟前驅物及含氧前驅物中之一者或兩者可流過電漿以產生至少一部分自由基物質,該等自由基物質可包括例如自由基氟物質。蝕刻製程不可蝕刻氧化矽層中之任一者或者不可實質上蝕刻該氧化矽層。氟或其他自由基鹵化物物質可與剖面中的氧化矽材料合併,以使得併入程度減 小氧化矽膜的增加深度。
在蝕刻製程後,在操作1930處可處置基板以從氧化矽表面移除至少一部分殘餘物質。處置可包括各種操作,該等操作可在腔室內施行,該腔室曾在操作1920處施行蝕刻製程;或者,該等操作可在附加腔室中施行,基板係轉移至該附加腔室。舉例而言,在所揭示實施例中,處置可包括熱處置、UV輻射或光處置、電子束處置、微波處置、固化處置或電漿處置中之一或多者。該等操作可在取決於操作的各種溫度及壓力下施行,且該等操作可在包括在介於約0℃與約800℃之間的範圍中之任一溫度下施行。舉例而言,熱處置操作可在範圍更廣內的其他溫度及範圍中的約400℃與約600℃之間的一溫度下施行,同時利用遠端電漿含氮前驅物之電漿操作可在範圍更廣內的其他溫度及範圍中的約0℃與約100℃之間的溫度施行。處置可類似地在包括於約0.5毫托直至約700托之範圍中之任何壓力下施行。舉例而言,電感耦接之內部電漿操作可在範圍更廣的其他壓力及範圍中的約1托以下之壓力下施行,同時固化操作可在範圍更廣的其他壓力及範圍中的大於約500托下施行。
處置操作可減少氧化矽膜內之殘餘鹵化物的量,並可減少鹵化物(諸如,氟)的原子百分率,從氧化矽或氮化材料內降至20%以下或左右。該處置可另外減少鹵化物(諸如,氟)的原子百分率,降至18%、15%、12%、10%、9%、8%、7%、6%、5%、4%、3%、2%、1%或0%以下或左右,在此情況下,鹵化物可全部或基本上從氧化矽材料移除。附加 材料可以類似方式驅氣或處置。
基板可轉移至用於處置之處置腔室,且該處置腔室可包括例如組合腔室(諸如,先前關於第12圖及第13圖描述的彼等腔室)。在基板轉移至處置腔室期間,可將基板維持在真空下,且可將基板維持在一乾燥或實質上乾燥的環境中直至已完成該處置。處置該基板可包括將處置物質暴露於能量源以產生經設置以與殘餘自由基物質相互作用之激勵處置物質。處置物質可包括含氫前驅物、含氧前驅物、含氮前驅物及惰性前驅物。前驅物可包括前驅物之組合,該等前驅物包括其他前驅物間之氮、氦、氬、氫、一氧化二氮、氨、三氟化氮、水及臭氧。在所揭示實施例中,用於激發處置物質之能量源可包括電漿。該電漿為相對於處置腔室在內部產生或在外部產生之電漿,且可為電容耦合電漿、電感耦接電漿、微波電漿及環形電漿。該電漿可在前文所述之電漿條件中之任一者下形成。在所揭示實施例中,激勵處置物質可與殘餘自由基物質結合。舉例而言,在激勵後,激勵處置物質可包括含氫前驅物,該含氫前驅物包括自由基含氫物質。該等自由基含氫物質可與例如殘餘鹵化物物質(諸如殘餘氟物質)結合,並從氧化矽表面撤離。殘餘氟物質及自由基含氫物質形成的組合物可隨後從處置腔室排出。該處置可施行達一時間段,該時間段之範圍可從約1秒直至約30分鐘或更多。舉例而言,在較高電漿功率下施行之操作可施行例如達120秒或更少,同時在無電漿功率時施行之操作可施行例如達5分鐘或更多。
在處置施行後,基板可進一步轉移至用於鈍化製程之鈍化腔室。在所揭示實施例中,該鈍化亦可在處置腔室中施行。舉例而言,基板可隨後轉移至裝載腔室,該裝載腔室在真空環境內處於靜止狀態,且鈍化可在該真空環境中施行,此情況可減少在其他腔室中的製程等待時間。例如,藉由在裝載腔室中施行鈍化,在基板轉移至鈍化腔室期間可在整個製程期間將基板維持於真空下。鈍化可包括加熱基板至一高於或約150℃的溫度,保持大於或約兩分種之時間段。該鈍化亦可包括前文論述之鈍化及處理參數中之任一者。
20圖繪示替代驅氣操作,該替代驅氣操作可在施行矽或氮化材料的腔室內施行。該方法可包括以下步驟:移除基板上之污染物,該基板具有暴露的氧化矽區域及暴露的非氧化區域。該非氧化區域可包括矽、氮化矽或含金屬材料區域。該等金屬可包括一或多種過渡金屬,並可包括併入有金屬物質之氧化物及其它材料中的銅、鎢、鈦等。基板可輸送至用於基板上之蝕刻製程之腔室,該基板可包括暴露材料。該腔室可為基板處理腔室(諸如前文所述腔室中之任一者),包括第3圖、第4圖、第5圖或第6圖中的腔室。為了形成及/或暴露材料,前文中的沈積及蝕刻製程(諸如,相對於第16圖所解釋的彼等製程)可在前文所述的附加腔室及/或系統中施行。含氟前驅物可流進基板處理腔室之基板處理區域之遠端電漿區域,同時在遠端電漿區域形成一電漿以產生電漿流出物。在操作2010處,可利用電漿流出物蝕刻該暴露的非氧化材料。氧化矽區域不可在製程期間蝕刻,但是可 暴露於電漿流出物。因此,一部分氟物質或含氟電漿流出物可與氧化矽區域合併。
在操作2020處,在蝕刻完成後,至少一種附加前驅物可流進處理區域種。舉例而言,第一處置前驅物可流進基板處理腔室之遠端電漿區域或替代遠端電漿區域以產生處置電漿流出物。至少一種附加處置前驅物可流進基板處理區域,在該基板處理區域中,該附加處置前驅物可與處置電漿流出物反應。在操作2030處,為了從氧化矽區域移除殘餘電漿流出物,氧化矽區域可暴露於包括處置電漿流出物之處置前驅物。該處置電漿可在基板處理區域中至少部分地分離至少一種附加處置前驅物。該至少部分分離之至少一種附加處置前驅物可與氟物質相互作用及/或結合,該等氟物質與氧化矽區域合併。
處置前驅物可包括惰性前驅物,且在其他前驅物之中,亦可包括含氮前驅物及含氫前驅物。該處置前驅物可包括氮、氦、氬及氙中之一或多者,以及含有彼等物質中之一或多者之前驅物。至少一附加處置前驅物可包括含氫前驅物及/或含氮前驅物。暴露處置前驅物可或不可局部地移除氧化矽區域或一部分氧化矽區域。該暴露亦可移除至少一部分氟物質,同時維持或基本上維持氧化矽材料。該方法可在單一腔室中完整施行,且貫穿整個製程,可保持腔室內之真空環境。該腔室可另外乾燥,以使得該整個方法亦在一乾燥環境中施行。該暴露可在約0℃與約800℃之間的一或多個溫度下施行,並且該暴露可在介於約1毫托與約700托內之一或多 個壓力下施行。
亦可施行交替變換以移除基板上之污染物,該基板具有暴露的氧化矽區域及暴露的非氧化區域。該方法亦可包括使含氟前驅物流進基板處理腔室之遠端電漿區域,該遠端電漿區域與基板處理腔室之基板處理區域流體耦接。電漿可在遠端電漿區域中形成,同時為了產生含氟電漿流出物使該前驅物流過。儘管氧化矽區域不可蝕刻,但該區域可暴露於電漿流出物,原因是包含含氟電漿流出物之此類電漿物質可併入該氧化矽區域中。在操作2010處,利用電漿流出物可蝕刻該暴露的非氧化區域。至少一個處置前驅物可在操作2020中流進該基板處理區域;在操作2030中,氧化矽區域可暴露於至少一個處置前驅物以最低程度移除一部分含氟電漿流出物。
在流進基板處理區域之前,至少一個處置前驅物不可經由由一電漿傳遞;在暴露操作期間,該處理區域可維持為電漿自由的。流動至少一個處置前驅物可包括首先使水蒸氣流進處理腔室。該水蒸氣可注射進該處理腔室,且在注射水之前及/或注射水蒸氣之後,腔室溫度可經調節一或多次以凝結氧化矽區域表面上之水蒸氣。含氮前驅物然後可流進該基板處理區域,且該含氮前驅物可包括例如氨。在此類製程中,水可首先與氟物質相互作用,該等氟物質與氧化矽材料合併,此舉可形成氟化氫並將氟化氫從氧化矽材料移除。氨然後可與形成之氟化氫相互作用以產生含氮氟物質之固體副產物,該等含氮氟物質可包括如前文描述的其他材料間之 NH3F及/或NH3HF。雖然描述的製程利用了腔室中的水,但是藉由如所述般操控溫度並利用氨,不僅不會產生老化缺陷,該製程反而可藉由描述之反應移除鹵化物物質。
在相互作用期間,溫度可維持在第一溫度,且然後為了分解並蒸發副產物(諸如,藉由昇華物)上升至第二溫度或超過臨界溫度。第一溫度及第二溫度可為前文所揭示溫度中之任一者,且該第一溫度可在例如約100℃或低於約100℃,而第二溫度在可在例如100℃或高於100℃。可無需移除氧化矽材料而進行該製程,並且該製程可實質上維持所揭示實施例中之氧化矽材料。在所揭示實施例中,該製程可降低氧化矽之表面層內之氟的原子百分率濃度低於約15%,並且亦可降低表面層之內的氟的原子百分率低於約12%、10%、9%、8%、7%、6%、5%、4%、3%、2%、1%或0%;在該情況下,氟物質可完全或基本上從氧化矽材料移除。
六.電腦系統
21圖圖示電腦系統2100之實施例。第21圖中所示之電腦系統2100可併入裝置(諸如,處理腔室控制器、處理系統控制器、氣體輸送系統控制器等)中。而且,電腦系統2100中之一些或全部組件亦可在特定裝置處併入,或者可在遠端定位之控制器或可攜式控制器內併入。第21圖提供電腦系統600之一個實施例的示意圖,該電腦系統600可施行由不同實施例提供的方法中之一些或全部步驟。應注意的是,第21圖僅意謂提供各種組件之廣義圖解,各種組件中之任一者或全部可視情況利用。因此,第21圖概括地圖示獨立 之系統元件可如何以相對分離或相對更綜合的方式實施。
電腦系統2100顯示為包含硬體元件,該等硬體元件可經由一匯流排2105電氣耦接,或者在適當時以其他方式連通。該等硬體元件可包括一或多個處理器2110;該等處理器2110包括但不限於一或多個通用處理器及/或一或多個專用處理器(諸如,數位信號處理晶片、圖形加速處理器及/或等等);一或多個輸入裝置2115,該一或多個輸入裝置2115可包括但不限於滑鼠、鍵盤、照相機及/或等等;以及一或多個輸出裝置2120,該一或多個輸出裝置2120可包括但不限於顯示裝置、印表機及/或等等。
電腦系統2100可進一步包括一或多個非暫時儲存裝置2125及/或與一或多個非暫時儲存裝置2125連通,該非暫時儲存裝置2125可包含但不限於本地及/或網路存取儲存器及/或可包括但不限於硬碟驅動、驅動陣列、光學儲存裝置、固態儲存裝置(諸如,隨機存取記憶體(「Random Access Memory;RAM」),及/或唯讀記憶體(「Read-Only Memory;ROM」),該等儲存裝置可經程式化、閃存更新及/或等等。此類儲存裝置可經設置以實施任何適當的資料儲存,包括但不限於各種檔案系統、資料庫結構及/或等等。
電腦系統2100亦可包括通信子系統2130,該通信子系統2130可包括但不限於一數據機、無線或有線網卡、紅外通信裝置、無線通信裝置及/或晶片集(諸如,藍牙TM裝置、802.11裝置、WiFi裝置、WiMax裝置,蜂窩通信設施等)等。該通信子系統2130可包括一或多個輸入及/或輸出通信介面 以允許與網路、其他電腦系統及/或本文所述之任何其他裝置交換資料。根據所需功能及/或其他實施問題,可攜式電子裝置或類似裝置可經由該通信子系統2130傳遞系統、腔室及或其他資訊。在其他實施例中,可攜式電子裝置可作為輸入裝置2115併入電腦系統2100。在諸多實施例中,該電腦系統2100將進一步包含工作記憶體2135,該工作記憶體2135可包括如上所述之RAM或ROM裝置。
電腦系統2100亦可包含軟體元件,顯示為當前位於工作記憶體2135內,該工作記憶體2135包括作業系統2140、裝置驅動器、可執行庫及/或其他代碼(諸如,一或多個應用程式2145),該一或多個應用程式可包含不同實施例所提供之電腦程式及/或可經設計以實施方法及/或設置如本文中所述之其他實施例提供的系統。僅僅舉例說明,相對於上文所論述之方法描述之一或多個工序(諸如,關於第14圖至第20圖描述之彼等工序)可能會作為代碼及/或指令實施,該代碼及/或指令可由電腦及/或電腦內之處理器執行;在一態樣中,隨後,此類代碼及/或指令可用於設置及/或適用通用電腦或其他裝置以根據所述方法施行一或多項操作。
一套該等指令及/或代碼可能會儲存在一非暫時電腦可讀儲存介質上(諸如,上述之儲存裝置2125)。在一些情況中,該儲存介質可能併入在一電腦系統(諸如,電腦系統2100)內。在其他實施例中,該儲存介質可能從一電腦系統分離,例如一可移除介質(諸如,一光碟或可移除驅動,及/或提供在一安裝包中),以使得該儲存介質可藉由其上儲 存的指令/代碼用於程式化、設置及/或適應通用電腦。該等指令可能採取可執行代碼的形式,該可執行代碼可由電腦系統2100執行,及/或該等指令可能採用源及/或可安裝代碼的形式,在於電腦系統2100上編輯及/或安裝之後,例如使用各種大體可用之編譯器、安裝程式、壓縮/解壓實體等中之任一者,該源及/或可安裝編碼採用可執行代碼之形式。
將對於熟習此項技術者顯而易見的是,可根據具體要求作出實質性變化。舉例而言,亦可能會使用定製硬體,及/或可能在硬體、包括可攜式軟體(諸如,應用程式等)之軟體中或以上兩者中實施特定元件。而且,可採用至其他計算裝置(諸如,網路輸入/輸出裝置)之連接。
如上文提及,在一態樣中,一些實施例可採用運用電腦系統(諸如,電腦系統2100)以根據技術之不同實施例施行方法。根據一套實施例,此類方法中之一些或全部工序由電腦系統2100回應處理器2110施行,該處理器2110執行一或多個指令中之一或多個序列,該一或多個序列可併入作業系統2140及/或其他代碼(諸如,應用程式2145),該作業系統2140及/或其他代碼包含在工作記憶體2135中。此類指令可從另一電腦可讀介質(諸如,儲存裝置2125中之一或多者)讀入該工作記憶體2135。僅僅舉例說明,執行包含於工作記憶體2135中的指令之序列可能促使處理器2110施行本文所述方法的一或多個工序。或者或另外,可經由特製硬體執行本文所述方法之部分。
本文使用之術語「機器可讀介質」及「電腦可讀介 質」係指任何介質,該介質參與資料提供,該資料促使機器以特定方式操作。在使用電腦系統2100實施的實施例中,各種電腦可讀介質可能涉及到向用於執行的處理器2110提供指令/代碼,並且/或者可能用於儲存及/或攜帶此類指令/代碼。在諸多實施中,電腦可讀介質為物理及/或有形儲存介質。此介質可採取非易失性介質或易失性介質的形式。非易失性介質易失性介質包括例如光碟及/或磁碟(諸如,儲存裝置2125)。易失性介質包括但不限於動態記憶體(諸如,工作記憶體2135)。
物理及/或有形電腦可讀介質的常見形式包括例如軟碟、可撓性碟、硬碟、磁帶或任何其他磁性介質、CD-ROM,任何其他光學介質、打孔卡、紙帶、任何其他具備孔模式之物理介質、RAM、PROM、EPROM、閃存-EPROM、任何其他記憶體晶片或匣、或任何其他介質,電腦可從該任何其他介質讀取指令及/或代碼。
各種形式的電腦可讀介質可涉及到將一或多個指令之一或多個序列攜帶至處理器2110以用於執行。僅僅舉例說明,該等指令可最初攜帶在一遠端電腦之磁碟及/或光碟上。遠端電腦可能加載該等指令至該遠端電腦的動態記憶體並經由傳輸介質將指令作為信號發送以被電腦系統2100接收及/或執行。
通信子系統2130及/或該通信子系統之組件大體上將接收信號,且然後,匯流排2105可能攜帶信號及/或例如由信號攜帶的資料、指令等至該工作記憶體2135,處理器2110 從該工作記憶體2135取回並執行該等指令。在藉由處理器2110執行前或執行後,由工作記憶體2135接收之指令可儲存在一非暫時儲存裝置2125上。
在描述中給出了具體細節以提供對包括實施之示例設置之徹底理解。然而,可在沒有該等具體細節之情況下實踐設置。舉例而言,為了避免混淆該等設置,顯示眾所周知之製程、機構及技術而無需細節。該描述僅僅提供示例設置,且並未限制申請專利範圍之範疇、適用性或設置。當然,設置之先前描述將向熟習此項技術者提供用於實施所述技術之使能描述。在不脫離本揭示案之精神或範疇的情況下可對元件之功能及佈置作出各種修改。
在先前描述中,處於解釋之目的,為了提供對本技術之不同實施例之理解,已闡述了大量細節。然而,將對於所屬領域的技術人員顯而易見,某些實施例可在沒有一些該等細節或在具有附加細節之情況下得以實踐。各種設置可在適當之情況下省略、替代或增加不同工序或組件。舉例而言,在備選設置中,方法可按與所述次序不同之次序施行,及/或可增加、省略及/或組合不同階段。此外,相對於某些設置描述之特徵可在各種其他設置中組合。該等設置之不同態樣及元件可以類似方式組合。此外,技術逐步形成及因此,諸多元件為實例且沒有限制本揭示案或申請專利範圍之範疇。
在提供值的範圍之情況下,應理解,對於下限單元最小部分的每一中間值同樣亦經特別揭示,除非文中另有明確規定,該每一中間值處於該範圍內之上限與下限之間。包 含處於一所述範圍中之任一所述值或中間值與在所述範圍內之任一其他所述值或之間值之間的每一更小範圍。彼等更小範圍的上限及下限可獨立地包括於或不包括於該範圍中,且在一個限值、沒有限制或兩個限值包括於更小範圍內之每一範圍亦包含於該技術內,該等上限及下限經受所述範圍中之任何特定排除之限制。在所述範圍包括限值中之一或兩者之情況下,亦包括排除了該等所包括限值中之一者或兩者之範圍。
如在本文及所附申請專利範圍中使用,單數形式「一」及「該」包括複數個指示物,除非文中另有明確規定。因此,舉例而言,對「一操作」之參考包括複數個此類操作,且對「該板」參考包括對一或多塊板之參考及熟習此項技術者所知之該等參考之均等物等等。
同樣,當字詞「包括(謂語形式)」、「包括(現在分詞形式)」、「含有(謂語形式)」、「含有(現在分詞形式)」、「包含(謂語形式)」及「包含(現在分詞形式)」用於此說明範及以下申請專利範圍中時,該等字詞意欲說明所述特徵、整數、組件或步驟之存在,但該等字詞並不排除一或多個其他特徵、整數、組件、步驟、行動或群組之存在或添加。
100A‧‧‧例處理系統
100B‧‧‧處理工具
102‧‧‧前開式晶圓盒
103‧‧‧介面
104‧‧‧裝載腔室
104a‧‧‧介面機械臂
104b‧‧‧介面機械臂
105‧‧‧腔室
105A‧‧‧晶圓盒裝載器A
105B‧‧‧晶圓盒裝載器B
105C‧‧‧晶圓盒裝載器C
106a‧‧‧裝載腔室a
106A‧‧‧裝載閉鎖腔室A
106b‧‧‧裝載腔室b
106B‧‧‧裝載閉鎖腔室B
107‧‧‧腔室
108a‧‧‧基板處理腔室a
108A‧‧‧機器人A
108b‧‧‧基板處理腔室b
108B‧‧‧機器人B
108c‧‧‧基板處理腔室c
108d‧‧‧基板處理腔室d
108e‧‧‧基板處理腔室e
108f‧‧‧基板處理腔室f
109a‧‧‧串聯區a
109b‧‧‧串聯區b
109c‧‧‧串聯區c
110‧‧‧轉移站
111‧‧‧臂
112‧‧‧轉移段
113‧‧‧機械運輸機構
113A‧‧‧刀片A
113B‧‧‧刀片B
114A‧‧‧腔室A
114B‧‧‧腔室B
114C‧‧‧腔室C
114D‧‧‧腔室D

Claims (18)

  1. 一種基板處理系統,該基板處理系統包含:複數個固持腔室;複數個裝載腔室,該等複數個裝載腔室經設置以接收基板至一真空環境中;一介面段,該介面段具備至少兩個介面轉移裝置,該等介面轉移裝置經設置以在該等複數個固持腔室與該等複數個裝載腔室之間輸送基板,該等複數個固持腔室在該介面段之一第一位置處與該介面段耦接,該等複數個裝載腔室在該介面段之一第二位置處與該介面段耦接,該介面段之該第二位置與該等複數個固持腔室相對;一處置腔室,該處置腔室定位為與該等裝載腔室中之至少一者垂直對齊且與該等複數個裝載腔室中之該至少一者耦接;複數個處理腔室;及一製程轉移裝置,該製程轉移裝置經設置以在將該基板維持在真空條件下的同時在該等複數個裝載腔室中之任一者與該等複數個處理腔室中之任一者之間輸送一基板,其中該製程轉移裝置可進一步經設置以垂直於該處置腔室輸送基板,及其中該等複數個裝載腔室及該等複數個處理腔室全部處於該基板處理系統之一第一海拔平面上,且其中該處置腔室處於該基板處理系統之一第二海拔平面上,該第二海拔平面在該基板處理系統之該第一海拔平面之上。
  2. 如請求項1所述之基板處理系統,其中該製程轉移裝置經設置以維持真空條件同時垂直於該處置腔室輸送基板。
  3. 如請求項1所述之基板處理系統,該基板處理系統進一步包含複數個處置腔室,其中每個處置腔室與該等複數個裝載腔室中之一者垂直對齊且耦接。
  4. 如請求項1所述之基板處理系統,其中該系統包括兩個裝載腔室及兩個處置腔室,其中該等裝載腔室相互水平安置。
  5. 如請求項4所述之基板處理系統,該基板處理系統進一步包含一處置電漿產生裝置,該處置電漿產生裝置與該等處置腔室之兩者都分開並與該等處置腔室之兩者都耦接。
  6. 如請求項4所述之基板處理系統,該基板處理系統進一步包含兩個處置電漿產生裝置,其中該等處置電漿產生裝置中之一者與該等處置腔室中之一者耦接,該等處置電漿產生裝置中之一第二者與該等處置腔室中之一第二者耦接。
  7. 如請求項1所述之基板處理系統,其中該等固持腔室包含至少一個入口埠並且經設置以經由該入口埠接收一流體以及引導該流體穿過該固持腔室並進入該介面段。
  8. 如請求項7所述之基板處理系統,其中該等固持腔室包含至少一個內部擴散器,該內部擴散器經設置以引導所接收的該流體遍及該固持腔室。
  9. 如請求項1所述之基板處理系統,其中該等裝載腔室包含至少一個加熱裝置,該加熱裝置經設置以加熱該裝載腔室達約300℃。
  10. 如請求項1所述之基板處理系統,該基板處理系統進一步包含一濕式蝕刻腔室,該濕式蝕刻腔室在該介面段之一第三位置處與該介面段耦接,該介面段之該第三位置與該介面段之該第一位置及該第二位置相鄰。
  11. 如請求項10所述之基板處理系統,該基板處理系統進一步包含一儲存腔室,該儲存腔室在介面段之一第四位置處與該介面段耦接,該第四位置與該第三位置相對。
  12. 如請求項1所述之基板處理系統,其中該處置腔室包含經設置以在該處置腔室內產生一直接電漿之組件。
  13. 如請求項12所述之基板處理系統,其中該直接電漿包含一電容耦合電漿。
  14. 如請求項1所述之基板處理系統,其中該處置腔室包含 經設置以在該處置腔室內產生一紫外光處置之組件。
  15. 如請求項1所述之基板處理系統,其中該等複數個處理腔室耦接成該基板處理系統內之成對串聯處理腔室。
  16. 如請求項15所述之基板處理系統,其中該等複數個處理腔室包含至少兩對串聯處理腔室,其中該至少兩對串聯處理腔室中之一第一對經設置以施行氧化矽蝕刻操作,該至少兩對串聯處理腔室中之一第二對經設置以施行矽蝕刻操作。
  17. 一種處理基板的方法,該方法包含以下步驟:使用一第一轉移裝置從一固持腔室轉移一基板至一裝載腔室;抽空該裝載腔室使得該基板維持在一真空環境;使用一第二轉移裝置從經抽空的該裝載腔室轉移該基板至一處理腔室;在將該基板維持在真空條件下的同時使用該第二轉移裝置從該處理腔室轉移該基板至該裝載腔室;移除該裝載腔室之該等真空條件;及使用該第一轉移裝置從該裝載腔室轉移該基板至一儲存腔室,及在轉移該基板至該裝載腔室之前,使用該第二轉移裝置從該處理腔室轉移該基板至一處置腔室,該處置腔室與該裝載腔室垂直對齊且耦接, 其中該裝載腔室及該處理腔室處於一第一海拔平面上,且其中該處置腔室處於該第一海拔平面之上的一第二海拔平面上。
  18. 如請求項17所述之方法,該方法進一步包含以下步驟:在轉移該基板至該固持腔室之前,使用該第一轉移裝置將該基板轉移至一濕式蝕刻站。
TW103109119A 2013-03-15 2014-03-13 用於鹵化物驅氣的處理系統及方法 TWI618135B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361789259P 2013-03-15 2013-03-15
US61/789,259 2013-03-15
US14/188,344 US20140271097A1 (en) 2013-03-15 2014-02-24 Processing systems and methods for halide scavenging
US14/188,344 2014-02-24

Publications (2)

Publication Number Publication Date
TW201440138A TW201440138A (zh) 2014-10-16
TWI618135B true TWI618135B (zh) 2018-03-11

Family

ID=51522150

Family Applications (3)

Application Number Title Priority Date Filing Date
TW107104260A TWI676205B (zh) 2013-03-15 2014-03-13 用於鹵化物驅氣的處理系統及方法
TW108126980A TWI736946B (zh) 2013-03-15 2014-03-13 用於鹵化物驅氣的處理系統及方法
TW103109119A TWI618135B (zh) 2013-03-15 2014-03-13 用於鹵化物驅氣的處理系統及方法

Family Applications Before (2)

Application Number Title Priority Date Filing Date
TW107104260A TWI676205B (zh) 2013-03-15 2014-03-13 用於鹵化物驅氣的處理系統及方法
TW108126980A TWI736946B (zh) 2013-03-15 2014-03-13 用於鹵化物驅氣的處理系統及方法

Country Status (5)

Country Link
US (10) US20140271097A1 (zh)
KR (1) KR102223662B1 (zh)
CN (2) CN110112053B (zh)
TW (3) TWI676205B (zh)
WO (1) WO2014149862A1 (zh)

Families Citing this family (232)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8834969B2 (en) * 2007-10-24 2014-09-16 Oerlikon Advanced Technologies Ag Method for manufacturing workpieces and apparatus
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) * 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
WO2011146571A2 (en) * 2010-05-21 2011-11-24 Applied Materials, Inc. Tightly-fitted ceramic insulator on large-area electrode
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9285168B2 (en) * 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9404178B2 (en) * 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617411B2 (en) * 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
KR101327458B1 (ko) * 2012-01-10 2013-11-08 주식회사 유진테크 냉각 방식의 샤워헤드 및 이를 구비하는 기판 처리 장치
US8592328B2 (en) * 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9418866B2 (en) * 2012-06-08 2016-08-16 Tokyo Electron Limited Gas treatment method
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
KR102297447B1 (ko) 2013-08-12 2021-09-01 어플라이드 머티어리얼스, 인코포레이티드 팩토리 인터페이스 환경 제어들을 갖는 기판 프로세싱 시스템들, 장치, 및 방법들
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
JP6822953B2 (ja) 2014-11-25 2021-01-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板キャリア及びパージチャンバの環境制御を伴う基板処理のシステム、装置、及び方法
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US20160181116A1 (en) * 2014-12-18 2016-06-23 Lam Research Corporation Selective nitride etch
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
KR102508955B1 (ko) * 2015-02-18 2023-03-13 스미토모 오사카 세멘토 가부시키가이샤 정전 척 장치 및 반도체 제조 장치
US9275834B1 (en) * 2015-02-20 2016-03-01 Applied Materials, Inc. Selective titanium nitride etch
US9911620B2 (en) 2015-02-23 2018-03-06 Lam Research Corporation Method for achieving ultra-high selectivity while etching silicon nitride
JP6511858B2 (ja) * 2015-02-27 2019-05-15 シンフォニアテクノロジー株式会社 搬送室
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9576815B2 (en) * 2015-04-17 2017-02-21 Applied Materials, Inc. Gas-phase silicon nitride selective etch
KR101730147B1 (ko) * 2015-07-23 2017-05-12 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) * 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
JP6294365B2 (ja) * 2016-01-29 2018-03-14 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
JP6240695B2 (ja) * 2016-03-02 2017-11-29 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US10249521B2 (en) * 2016-03-17 2019-04-02 Lam Research Ag Wet-dry integrated wafer processing system
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
KR102214350B1 (ko) * 2016-05-20 2021-02-09 어플라이드 머티어리얼스, 인코포레이티드 반도체 처리를 위한 가스 분배 샤워헤드
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
JP6493339B2 (ja) * 2016-08-26 2019-04-03 村田機械株式会社 搬送容器、及び収容物の移載方法
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
FR3057390B1 (fr) * 2016-10-11 2018-12-07 Soitec Four vertical avec dispositif de piegeage de contaminants
US11482434B2 (en) * 2016-10-18 2022-10-25 Belting E-Town Semiconductor Technology Co., Ltd Systems and methods for workpiece processing
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6238094B1 (ja) * 2016-11-21 2017-11-29 日新イオン機器株式会社 半導体製造装置、基板支持装置の冷却方法
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
JP7158133B2 (ja) * 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド 雰囲気が制御された移送モジュール及び処理システム
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) * 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
KR102574914B1 (ko) 2017-06-02 2023-09-04 어플라이드 머티어리얼스, 인코포레이티드 보론 카바이드 하드마스크의 건식 스트리핑
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10179941B1 (en) * 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US20190051540A1 (en) * 2017-08-08 2019-02-14 Lam Research Corporation Systems and methods for plasma-less de-halogenation
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US11049719B2 (en) * 2017-08-30 2021-06-29 Applied Materials, Inc. Epitaxy system integrated with high selectivity oxide removal and high temperature contaminant removal
CN111095524B (zh) 2017-09-12 2023-10-03 应用材料公司 用于使用保护阻挡物层制造半导体结构的设备和方法
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10811296B2 (en) * 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
KR102396319B1 (ko) 2017-11-11 2022-05-09 마이크로머티어리얼즈 엘엘씨 고압 프로세싱 챔버를 위한 가스 전달 시스템
US11948810B2 (en) 2017-11-15 2024-04-02 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for processing substrates or wafers
CN111373519B (zh) 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
CN111699549A (zh) 2018-01-24 2020-09-22 应用材料公司 使用高压退火的接缝弥合
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
JP7239598B2 (ja) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド 金属含有材料の高圧アニーリングプロセス
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
TWI735895B (zh) * 2018-06-22 2021-08-11 瑞士商G射線工業公司 共價接合之半導體界面
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
JP2020017645A (ja) * 2018-07-26 2020-01-30 株式会社Kokusai Electric 基板処理装置
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN112640065A (zh) 2018-10-30 2021-04-09 应用材料公司 用于蚀刻用于半导体应用的结构的方法
US10861722B2 (en) * 2018-11-13 2020-12-08 Applied Materials, Inc. Integrated semiconductor processing
CN112996950B (zh) 2018-11-16 2024-04-05 应用材料公司 使用增强扩散工艺的膜沉积
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
WO2020131989A1 (en) * 2018-12-21 2020-06-25 Mattson Technology, Inc. Surface smoothing of workpieces
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US20210381125A1 (en) * 2019-03-08 2021-12-09 Seagate Technology Llc Epitaxial directed ald crystal growth
KR102281826B1 (ko) * 2019-07-08 2021-07-23 세메스 주식회사 기판 처리 장치 및 방법
US11574826B2 (en) * 2019-07-12 2023-02-07 Applied Materials, Inc. High-density substrate processing systems and methods
KR20210014496A (ko) * 2019-07-30 2021-02-09 삼성전자주식회사 반도체 제조 장치
JP7304768B2 (ja) * 2019-08-16 2023-07-07 株式会社Screenホールディングス 熱処理装置および熱処理装置の洗浄方法
US10692730B1 (en) 2019-08-30 2020-06-23 Mattson Technology, Inc. Silicon oxide selective dry etch process
CN110648891B (zh) * 2019-09-20 2022-02-15 湖北中培电子科技有限公司 一种等离子刻蚀机用二氧化硅去除组件
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
JP7445509B2 (ja) 2020-04-27 2024-03-07 東京エレクトロン株式会社 基板処理装置及び基板搬送方法
CN113675119A (zh) * 2020-05-15 2021-11-19 拓荆科技股份有限公司 基片传输模块及半导体处理系统
CN114068272B (zh) * 2020-07-31 2023-09-29 中微半导体设备(上海)股份有限公司 一种气体流量调节装置和调节方法及等离子体处理装置
CN114093739B (zh) * 2020-08-24 2024-03-12 中微半导体设备(上海)股份有限公司 一种气体流量调节装置和调节方法及等离子体处理装置
US11640905B2 (en) 2020-12-17 2023-05-02 Applied Materials, Inc. Plasma enhanced deposition of silicon-containing films at low temperature
JP7312160B2 (ja) * 2020-12-28 2023-07-20 株式会社アルバック エッチング装置及びエッチング方法
US11898245B2 (en) * 2021-02-26 2024-02-13 Applied Materials, Inc. High throughput and metal contamination control oven for chamber component cleaning process
JP2024521260A (ja) * 2021-05-25 2024-05-30 ラム リサーチ コーポレーション 3d-nand用の高アスペクト比エッチングのための化学物質
JP7288486B2 (ja) * 2021-09-17 2023-06-07 株式会社Kokusai Electric 基板処理方法、基板処理装置、半導体装置の製造方法、及びプログラム

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5913978A (en) * 1995-04-20 1999-06-22 Tokyo Electron Ltd. Apparatus and method for regulating pressure in two chambers
US20060020797A1 (en) * 2004-07-08 2006-01-26 Kan Zhang Method for verifying a secure association between devices
US20060215347A1 (en) * 2005-03-28 2006-09-28 Tokyo Electron Limited Processing apparatus and recording medium
US20090014127A1 (en) * 2007-07-12 2009-01-15 Applied Materials, Inc. Systems for plasma enhanced chemical vapor deposition and bevel edge etching
US20090014324A1 (en) * 2006-10-26 2009-01-15 Mark Naoshi Kawaguchi Integrated apparatus for efficient removal of halogen residues from etched substrates
US20100129974A1 (en) * 2008-11-26 2010-05-27 Renesas Technology Corp. Method for manufacturing a semiconductor integrated circuit device circuit device
US20110266256A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Methods for processing substrates in process systems having shared resources

Family Cites Families (1328)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2369620A (en) 1941-03-07 1945-02-13 Battelle Development Corp Method of coating cupreous metal with tin
US3451840A (en) 1965-10-06 1969-06-24 Us Air Force Wire coated with boron nitride and boron
US3537474A (en) 1968-02-19 1970-11-03 Varian Associates Push button vacuum control valve and vacuum system using same
US3756511A (en) 1971-02-02 1973-09-04 Kogyo Kaihatsu Kenyusho Nozzle and torch for plasma jet
US3969077A (en) 1971-12-16 1976-07-13 Varian Associates Alkali metal leak detection method and apparatus
US4232060A (en) 1979-01-22 1980-11-04 Richardson Chemical Company Method of preparing substrate surface for electroless plating and products produced thereby
US4397812A (en) 1974-05-24 1983-08-09 Richardson Chemical Company Electroless nickel polyalloys
US4632857A (en) 1974-05-24 1986-12-30 Richardson Chemical Company Electrolessly plated product having a polymetallic catalytic film underlayer
US4006047A (en) 1974-07-22 1977-02-01 Amp Incorporated Catalysts for electroless deposition of metals on comparatively low-temperature polyolefin and polyester substrates
US3937857A (en) 1974-07-22 1976-02-10 Amp Incorporated Catalyst for electroless deposition of metals
US4341592A (en) 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4265943A (en) 1978-11-27 1981-05-05 Macdermid Incorporated Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence of cobalt or nickel ions
US4234628A (en) 1978-11-28 1980-11-18 The Harshaw Chemical Company Two-step preplate system for polymeric surfaces
US4214946A (en) 1979-02-21 1980-07-29 International Business Machines Corporation Selective reactive ion etching of polysilicon against SiO2 utilizing SF6 -Cl2 -inert gas etchant
US4361441A (en) 1979-04-17 1982-11-30 Plasma Holdings N.V. Treatment of matter in low temperature plasmas
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
IT1130955B (it) 1980-03-11 1986-06-18 Oronzio De Nora Impianti Procedimento per la formazione di elettroci sulle superficie di membrane semipermeabili e sistemi elettrodo-membrana cosi' prodotti
US4361418A (en) 1980-05-06 1982-11-30 Risdon Corporation High vacuum processing system having improved recycle draw-down capability under high humidity ambient atmospheric conditions
NL8004005A (nl) 1980-07-11 1982-02-01 Philips Nv Werkwijze voor het vervaardigen van een halfgeleiderinrichting.
US4381441A (en) 1980-10-30 1983-04-26 Western Electric Company, Inc. Methods of and apparatus for trimming film resistors
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4368223A (en) 1981-06-01 1983-01-11 Asahi Glass Company, Ltd. Process for preparing nickel layer
DE3205345A1 (de) 1982-02-15 1983-09-01 Philips Patentverwaltung Gmbh, 2000 Hamburg "verfahren zur herstellung von fluordotierten lichtleitfasern"
US4585920A (en) 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
JPS591671A (ja) 1982-05-28 1984-01-07 Fujitsu Ltd プラズマcvd装置
JPS59126778A (ja) 1983-01-11 1984-07-21 Tokyo Denshi Kagaku Kabushiki プラズマエツチング方法及びその装置
JPS6060060A (ja) 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4656052A (en) 1984-02-13 1987-04-07 Kyocera Corporation Process for production of high-hardness boron nitride film
US4571819A (en) 1984-11-01 1986-02-25 Ncr Corporation Method for forming trench isolation structures
US4656076A (en) 1985-04-26 1987-04-07 Triquint Semiconductors, Inc. Self-aligned recessed gate process
JPS61276977A (ja) 1985-05-30 1986-12-06 Canon Inc 堆積膜形成法
US4807016A (en) 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
US4714520A (en) 1985-07-25 1987-12-22 Advanced Micro Devices, Inc. Method for filling a trench in an integrated circuit structure without producing voids
US4610775A (en) 1985-07-26 1986-09-09 Westinghouse Electric Corp. Method and apparatus for clearing short-circuited, high-voltage cathodes in a sputtering chamber
US4749440A (en) 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US4690746A (en) 1986-02-24 1987-09-01 Genus, Inc. Interlayer dielectric process
US4715937A (en) 1986-05-05 1987-12-29 The Board Of Trustees Of The Leland Stanford Junior University Low-temperature direct nitridation of silicon in nitrogen plasma generated by microwave discharge
US5228501A (en) 1986-12-19 1993-07-20 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4872947A (en) 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
JPS63204726A (ja) 1987-02-20 1988-08-24 Anelva Corp 真空処理装置
US4868071A (en) 1987-02-24 1989-09-19 Polyonics Corporation Thermally stable dual metal coated laminate products made from textured polyimide film
US5322976A (en) 1987-02-24 1994-06-21 Polyonics Corporation Process for forming polyimide-metal laminates
EP0283311B1 (en) 1987-03-18 2001-08-01 Kabushiki Kaisha Toshiba Thin film forming method
US4793897A (en) 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
US4786360A (en) 1987-03-30 1988-11-22 International Business Machines Corporation Anisotropic etch process for tungsten metallurgy
US5198034A (en) 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
DE3884653T2 (de) 1987-04-03 1994-02-03 Fujitsu Ltd Verfahren und Vorrichtung zur Gasphasenabscheidung von Diamant.
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US4753898A (en) 1987-07-09 1988-06-28 Motorola, Inc. LDD CMOS process
US4904621A (en) 1987-07-16 1990-02-27 Texas Instruments Incorporated Remote plasma generation process using a two-stage showerhead
US4857140A (en) 1987-07-16 1989-08-15 Texas Instruments Incorporated Method for etching silicon nitride
US4886570A (en) 1987-07-16 1989-12-12 Texas Instruments Incorporated Processing apparatus and method
US4838990A (en) 1987-07-16 1989-06-13 Texas Instruments Incorporated Method for plasma etching tungsten
US4828649A (en) 1987-07-16 1989-05-09 Texas Instruments Incorporated Method for etching an aluminum film doped with silicon
US4820377A (en) 1987-07-16 1989-04-11 Texas Instruments Incorporated Method for cleanup processing chamber and vacuum process module
US4867841A (en) * 1987-07-16 1989-09-19 Texas Instruments Incorporated Method for etch of polysilicon film
US4878994A (en) 1987-07-16 1989-11-07 Texas Instruments Incorporated Method for etching titanium nitride local interconnects
JPS6432627A (en) 1987-07-29 1989-02-02 Hitachi Ltd Low-temperature dry etching method
US4810520A (en) 1987-09-23 1989-03-07 Magnetic Peripherals Inc. Method for controlling electroless magnetic plating
US5180435A (en) 1987-09-24 1993-01-19 Research Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semiconductor layer
US4991542A (en) 1987-10-14 1991-02-12 The Furukawa Electric Co., Ltd. Method of forming a thin film by plasma CVD and apapratus for forming a thin film
US4865685A (en) 1987-11-03 1989-09-12 North Carolina State University Dry etching of silicon carbide
US4981551A (en) 1987-11-03 1991-01-01 North Carolina State University Dry etching of silicon carbide
US4851370A (en) 1987-12-28 1989-07-25 American Telephone And Telegraph Company, At&T Bell Laboratories Fabricating a semiconductor device with low defect density oxide
JP2804037B2 (ja) 1988-02-05 1998-09-24 株式会社東芝 ドライエッチング方法
JPH01297141A (ja) 1988-05-25 1989-11-30 Canon Inc マイクロ波プラズマ処理装置
US4900856A (en) 1988-05-26 1990-02-13 Ethyl Corporation Preparation of metal halide-amine complexes
JPH029115A (ja) 1988-06-28 1990-01-12 Mitsubishi Electric Corp 半導体製造装置
US4904341A (en) 1988-08-22 1990-02-27 Westinghouse Electric Corp. Selective silicon dioxide etchant for superconductor integrated circuits
JPH0258836A (ja) 1988-08-24 1990-02-28 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JPH02114525A (ja) 1988-10-24 1990-04-26 Toshiba Corp 有機化合物膜の除去方法及び除去装置
JPH02114530A (ja) * 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
KR930004115B1 (ko) 1988-10-31 1993-05-20 후지쓰 가부시끼가이샤 애싱(ashing)처리방법 및 장치
JPH02121330A (ja) 1988-10-31 1990-05-09 Hitachi Ltd プラズマ処理方法及び装置
JP2981243B2 (ja) 1988-12-27 1999-11-22 株式会社東芝 表面処理方法
US5030319A (en) 1988-12-27 1991-07-09 Kabushiki Kaisha Toshiba Method of oxide etching with condensed plasma reaction product
US4985372A (en) 1989-02-17 1991-01-15 Tokyo Electron Limited Method of forming conductive layer including removal of native oxide
IT216961Z2 (it) 1989-03-07 1991-10-21 Roltra Spa Dispositivo attuatore per bloccaserratura elettrico
JP2823276B2 (ja) 1989-03-18 1998-11-11 株式会社東芝 X線マスクの製造方法および薄膜の内部応力制御装置
US4946903A (en) 1989-03-27 1990-08-07 The Research Foundation Of State University Of Ny Oxyfluoropolymers having chemically reactive surface functionality and increased surface energies
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5061838A (en) 1989-06-23 1991-10-29 Massachusetts Institute Of Technology Toroidal electron cyclotron resonance reactor
US5270125A (en) 1989-07-11 1993-12-14 Redwood Microsystems, Inc. Boron nutride membrane in wafer structure
US5013691A (en) 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
US5028565A (en) 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
US4994404A (en) 1989-08-28 1991-02-19 Motorola, Inc. Method for forming a lightly-doped drain (LDD) structure in a semiconductor device
US4980018A (en) 1989-11-14 1990-12-25 Intel Corporation Plasma etching process for refractory metal vias
DE69111493T2 (de) 1990-03-12 1996-03-21 Ngk Insulators Ltd Wafer-Heizgeräte für Apparate, zur Halbleiterherstellung Heizanlage mit diesen Heizgeräten und Herstellung von Heizgeräten.
JP2960466B2 (ja) 1990-03-19 1999-10-06 株式会社日立製作所 半導体デバイスの配線絶縁膜の形成方法及びその装置
US5089441A (en) 1990-04-16 1992-02-18 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafers
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5147692A (en) 1990-05-08 1992-09-15 Macdermid, Incorporated Electroless plating of nickel onto surfaces such as copper or fused tungston
US5238499A (en) 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
US5083030A (en) 1990-07-18 1992-01-21 Applied Photonics Research Double-sided radiation-assisted processing apparatus
JPH04228572A (ja) 1990-08-10 1992-08-18 Sumitomo Electric Ind Ltd 硬質窒化ホウ素合成法
US5235139A (en) 1990-09-12 1993-08-10 Macdermid, Incorprated Method for fabricating printed circuits
US5089442A (en) 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
KR930011413B1 (ko) 1990-09-25 1993-12-06 가부시키가이샤 한도오따이 에네루기 겐큐쇼 펄스형 전자파를 사용한 플라즈마 cvd 법
EP0478233B1 (en) 1990-09-27 1996-01-03 AT&T Corp. Process for fabricating integrated circuits
JPH04142738A (ja) 1990-10-04 1992-05-15 Sony Corp ドライエッチング方法
US5549780A (en) 1990-10-23 1996-08-27 Semiconductor Energy Laboratory Co., Ltd. Method for plasma processing and apparatus for plasma processing
JP2640174B2 (ja) 1990-10-30 1997-08-13 三菱電機株式会社 半導体装置およびその製造方法
JP3206916B2 (ja) 1990-11-28 2001-09-10 住友電気工業株式会社 欠陥濃度低減方法、紫外線透過用光学ガラスの製造方法及び紫外線透過用光学ガラス
US5578130A (en) 1990-12-12 1996-11-26 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for depositing a film
US5314724A (en) 1991-01-08 1994-05-24 Fujitsu Limited Process for forming silicon oxide film
JP2697315B2 (ja) 1991-01-23 1998-01-14 日本電気株式会社 フッ素含有シリコン酸化膜の形成方法
JPH04239723A (ja) 1991-01-23 1992-08-27 Nec Corp 半導体装置の製造方法
JP2787142B2 (ja) 1991-03-01 1998-08-13 上村工業 株式会社 無電解錫、鉛又はそれらの合金めっき方法
DE4107006A1 (de) 1991-03-05 1992-09-10 Siemens Ag Verfahren zum anisotropen trockenaetzen von aluminium bzw. aluminiumlegierungen enthaltenden leiterbahnebenen in integrierten halbleiterschaltungen
US5897751A (en) 1991-03-11 1999-04-27 Regents Of The University Of California Method of fabricating boron containing coatings
US5330578A (en) 1991-03-12 1994-07-19 Semiconductor Energy Laboratory Co., Ltd. Plasma treatment apparatus
EP0511448A1 (en) * 1991-04-30 1992-11-04 International Business Machines Corporation Method and apparatus for in-situ and on-line monitoring of a trench formation process
JPH04341568A (ja) 1991-05-16 1992-11-27 Toshiba Corp 薄膜形成方法及び薄膜形成装置
WO1992020833A1 (en) 1991-05-17 1992-11-26 Lam Research Corporation A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT
JP2699695B2 (ja) 1991-06-07 1998-01-19 日本電気株式会社 化学気相成長法
US5203911A (en) 1991-06-24 1993-04-20 Shipley Company Inc. Controlled electroless plating
US6074512A (en) 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US5279865A (en) 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
JPH0562936A (ja) 1991-09-03 1993-03-12 Mitsubishi Electric Corp プラズマ処理装置およびプラズマクリーニング方法
US5240497A (en) 1991-10-08 1993-08-31 Cornell Research Foundation, Inc. Alkaline free electroless deposition
JPH05226480A (ja) 1991-12-04 1993-09-03 Nec Corp 半導体装置の製造方法
US5290382A (en) 1991-12-13 1994-03-01 Hughes Aircraft Company Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films
US5279669A (en) 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
US5352636A (en) 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
US5300463A (en) 1992-03-06 1994-04-05 Micron Technology, Inc. Method of selectively etching silicon dioxide dielectric layers on semiconductor wafers
JP3084497B2 (ja) 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
JP2773530B2 (ja) 1992-04-15 1998-07-09 日本電気株式会社 半導体装置の製造方法
JP2792335B2 (ja) 1992-05-27 1998-09-03 日本電気株式会社 半導体装置の製造方法
JP3502096B2 (ja) 1992-06-22 2004-03-02 ラム リサーチ コーポレイション プラズマ処理装置内の残留物を除去するためのプラズマクリーニング方法
US5286297A (en) 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
US5252178A (en) 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
JP3688726B2 (ja) 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
US5380560A (en) 1992-07-28 1995-01-10 International Business Machines Corporation Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition
US5248371A (en) 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
US5292370A (en) 1992-08-14 1994-03-08 Martin Marietta Energy Systems, Inc. Coupled microwave ECR and radio-frequency plasma source for plasma processing
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5326427A (en) 1992-09-11 1994-07-05 Lsi Logic Corporation Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation
US5306530A (en) 1992-11-23 1994-04-26 Associated Universities, Inc. Method for producing high quality thin layer films on substrates
JP2809018B2 (ja) 1992-11-26 1998-10-08 日本電気株式会社 半導体装置およびその製造方法
KR100238629B1 (ko) 1992-12-17 2000-01-15 히가시 데쓰로 정전척을 가지는 재치대 및 이것을 이용한 플라즈마 처리장치
US5500249A (en) 1992-12-22 1996-03-19 Applied Materials, Inc. Uniform tungsten silicide films produced by chemical vapor deposition
US5756402A (en) 1992-12-28 1998-05-26 Kabushiki Kaisha Toshiba Method of etching silicon nitride film
US5624582A (en) 1993-01-21 1997-04-29 Vlsi Technology, Inc. Optimization of dry etching through the control of helium backside pressure
US5345999A (en) 1993-03-17 1994-09-13 Applied Materials, Inc. Method and apparatus for cooling semiconductor wafers
US5302233A (en) 1993-03-19 1994-04-12 Micron Semiconductor, Inc. Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
JP3236111B2 (ja) 1993-03-31 2001-12-10 キヤノン株式会社 プラズマ処理装置及び処理方法
US5800686A (en) 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
JP2664866B2 (ja) 1993-04-09 1997-10-22 インターナショナル・ビジネス・マシーンズ・コーポレイション 窒化ホウ素をエッチングする方法
US5416048A (en) 1993-04-16 1995-05-16 Micron Semiconductor, Inc. Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage
DE69432383D1 (de) 1993-05-27 2003-05-08 Applied Materials Inc Verbesserungen betreffend Substrathalter geeignet für den Gebrauch in Vorrichtungen für die chemische Abscheidung aus der Dampfphase
US5591269A (en) 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
US5413670A (en) 1993-07-08 1995-05-09 Air Products And Chemicals, Inc. Method for plasma etching or cleaning with diluted NF3
US5560779A (en) 1993-07-12 1996-10-01 Olin Corporation Apparatus for synthesizing diamond films utilizing an arc plasma
WO1995002900A1 (en) 1993-07-15 1995-01-26 Astarix, Inc. Aluminum-palladium alloy for initiation of electroless plating
EP0637063B1 (en) 1993-07-30 1999-11-03 Applied Materials, Inc. Method for depositing silicon nitride on silicium surfaces
US5483920A (en) 1993-08-05 1996-01-16 Board Of Governors Of Wayne State University Method of forming cubic boron nitride films
US5685946A (en) 1993-08-11 1997-11-11 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Method of producing buried porous silicon-geramanium layers in monocrystalline silicon lattices
US5468597A (en) 1993-08-25 1995-11-21 Shipley Company, L.L.C. Selective metallization process
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5865896A (en) 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
US5384284A (en) 1993-10-01 1995-01-24 Micron Semiconductor, Inc. Method to form a low resistant bond pad interconnect
SE501888C2 (sv) 1993-10-18 1995-06-12 Ladislav Bardos En metod och en apparat för generering av en urladdning i egna ångor från en radiofrekvenselektrod för kontinuerlig självförstoftning av elektroden
JPH07130713A (ja) 1993-11-04 1995-05-19 Fujitsu Ltd ダウンフローエッチング装置
JPH07161703A (ja) 1993-12-03 1995-06-23 Ricoh Co Ltd 半導体装置の製造方法
US5505816A (en) 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
JPH07193214A (ja) 1993-12-27 1995-07-28 Mitsubishi Electric Corp バイアホール及びその形成方法
US5415890A (en) 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
US5399237A (en) 1994-01-27 1995-03-21 Applied Materials, Inc. Etching titanium nitride using carbon-fluoride and carbon-oxide gas
US5451259A (en) 1994-02-17 1995-09-19 Krogh; Ole D. ECR plasma source for remote processing
US5439553A (en) 1994-03-30 1995-08-08 Penn State Research Foundation Controlled etching of oxides via gas phase reactions
JPH07297543A (ja) 1994-04-25 1995-11-10 Sumitomo Metal Mining Co Ltd プリント配線板用金属被覆ガラスエポキシ樹脂基板
US5468342A (en) 1994-04-28 1995-11-21 Cypress Semiconductor Corp. Method of etching an oxide layer
EP0680072B1 (en) 1994-04-28 2003-10-08 Applied Materials, Inc. A method of operating a high density plasma CVD reactor with combined inductive and capacitive coupling
US6110838A (en) 1994-04-29 2000-08-29 Texas Instruments Incorporated Isotropic polysilicon plus nitride stripping
US5531835A (en) 1994-05-18 1996-07-02 Applied Materials, Inc. Patterned susceptor to reduce electrostatic force in a CVD chamber
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5628829A (en) 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5580421A (en) * 1994-06-14 1996-12-03 Fsi International Apparatus for surface conditioning
US5767373A (en) 1994-06-16 1998-06-16 Novartis Finance Corporation Manipulation of protoporphyrinogen oxidase enzyme activity in eukaryotic organisms
US5592358A (en) 1994-07-18 1997-01-07 Applied Materials, Inc. Electrostatic chuck for magnetic flux processing
EP0697467A1 (en) 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US5563105A (en) 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
JPH08148470A (ja) 1994-11-21 1996-06-07 Sanyo Electric Co Ltd 半導体装置の製造方法
TW344897B (en) * 1994-11-30 1998-11-11 At&T Tcorporation A process for forming gate oxides possessing different thicknesses on a semiconductor substrate
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5792376A (en) 1995-01-06 1998-08-11 Kabushiki Kaisha Toshiba Plasma processing apparatus and plasma processing method
US5772770A (en) 1995-01-27 1998-06-30 Kokusai Electric Co, Ltd. Substrate processing apparatus
JPH08279495A (ja) 1995-02-07 1996-10-22 Seiko Epson Corp プラズマ処理装置及びその方法
US5571576A (en) 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
US5670066A (en) 1995-03-17 1997-09-23 Lam Research Corporation Vacuum plasma processing wherein workpiece position is detected prior to chuck being activated
US6039851A (en) 1995-03-22 2000-03-21 Micron Technology, Inc. Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines
JPH08264510A (ja) 1995-03-27 1996-10-11 Toshiba Corp シリコン窒化膜のエッチング方法およびエッチング装置
US5571577A (en) 1995-04-07 1996-11-05 Board Of Trustees Operating Michigan State University Method and apparatus for plasma treatment of a surface
JP3386287B2 (ja) 1995-05-08 2003-03-17 堀池 靖浩 プラズマエッチング装置
US20010028922A1 (en) 1995-06-07 2001-10-11 Sandhu Gurtej S. High throughput ILD fill process for high aspect ratio gap fill
JP3599204B2 (ja) 1995-06-08 2004-12-08 アネルバ株式会社 Cvd装置
JP2814370B2 (ja) 1995-06-18 1998-10-22 東京エレクトロン株式会社 プラズマ処理装置
US5997962A (en) 1995-06-30 1999-12-07 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
US6197364B1 (en) 1995-08-22 2001-03-06 International Business Machines Corporation Production of electroless Co(P) with designed coercivity
US5755859A (en) 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
AU6962196A (en) 1995-09-01 1997-03-27 Advanced Semiconductor Materials America, Inc. Wafer support system
US6228751B1 (en) 1995-09-08 2001-05-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US5719085A (en) 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
US5716506A (en) 1995-10-06 1998-02-10 Board Of Trustees Of The University Of Illinois Electrochemical sensors for gas detection
JPH09106898A (ja) 1995-10-09 1997-04-22 Anelva Corp プラズマcvd装置、プラズマ処理装置及びプラズマcvd方法
US5635086A (en) 1995-10-10 1997-06-03 The Esab Group, Inc. Laser-plasma arc metal cutting apparatus
JPH09106899A (ja) 1995-10-11 1997-04-22 Anelva Corp プラズマcvd装置及び方法並びにドライエッチング装置及び方法
US5814238A (en) 1995-10-12 1998-09-29 Sandia Corporation Method for dry etching of transition metals
US5910340A (en) 1995-10-23 1999-06-08 C. Uyemura & Co., Ltd. Electroless nickel plating solution and method
US6015724A (en) 1995-11-02 2000-01-18 Semiconductor Energy Laboratory Co. Manufacturing method of a semiconductor device
US5648125A (en) 1995-11-16 1997-07-15 Cane; Frank N. Electroless plating process for the manufacture of printed circuit boards
US5599740A (en) 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
JP4420986B2 (ja) 1995-11-21 2010-02-24 株式会社東芝 シャロウ・トレンチ分離半導体基板及びその製造方法
JPH09153481A (ja) 1995-11-30 1997-06-10 Sumitomo Metal Ind Ltd プラズマ処理装置
US5846598A (en) 1995-11-30 1998-12-08 International Business Machines Corporation Electroless plating of metallic features on nonmetallic or semiconductor layer without extraneous plating
US5756400A (en) 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
US5733816A (en) 1995-12-13 1998-03-31 Micron Technology, Inc. Method for depositing a tungsten layer on silicon
US6261637B1 (en) 1995-12-15 2001-07-17 Enthone-Omi, Inc. Use of palladium immersion deposition to selectively initiate electroless plating on Ti and W alloys for wafer fabrication
DE69608669T2 (de) 1995-12-19 2001-03-01 Fsi International Chaska Stromloses aufbringen von metallfilmen mit sprayprozessor
US5883012A (en) 1995-12-21 1999-03-16 Motorola, Inc. Method of etching a trench into a semiconductor substrate
US5679606A (en) 1995-12-27 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. method of forming inter-metal-dielectric structure
WO1997024761A1 (en) 1995-12-27 1997-07-10 Lam Research Corporation Methods and apparatus for filling trenches in a semiconductor wafer
DE69636880T2 (de) * 1995-12-28 2007-11-15 Taiyo Nippon Sanso Corporation Verfahren und Anordnung zum Transport von Substratscheiben
US6191026B1 (en) 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US5824599A (en) 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
US5891513A (en) 1996-01-16 1999-04-06 Cornell Research Foundation Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5872052A (en) 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
US5648175A (en) 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
US6004884A (en) 1996-02-15 1999-12-21 Lam Research Corporation Methods and apparatus for etching semiconductor wafers
US5656093A (en) 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
US5951601A (en) 1996-03-25 1999-09-14 Lesinski; S. George Attaching an implantable hearing aid microactuator
US5858876A (en) 1996-04-01 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer
US5712185A (en) 1996-04-23 1998-01-27 United Microelectronics Method for forming shallow trench isolation
US5843847A (en) 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
US6176667B1 (en) 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
KR100230981B1 (ko) 1996-05-08 1999-11-15 김광호 반도체장치 제조공정의 플라즈마 식각 방법
US6313035B1 (en) 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
TW409152B (en) 1996-06-13 2000-10-21 Samsung Electronic Etching gas composition for ferroelectric capacitor electrode film and method for etching a transition metal thin film
US5846373A (en) 1996-06-28 1998-12-08 Lam Research Corporation Method for monitoring process endpoints in a plasma chamber and a process monitoring arrangement in a plasma chamber
US5846883A (en) 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
US5993916A (en) 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5781693A (en) 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
US20010012700A1 (en) 1998-12-15 2001-08-09 Klaus F. Schuegraf Semiconductor processing methods of chemical vapor depositing sio2 on a substrate
US5661093A (en) 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5888906A (en) 1996-09-16 1999-03-30 Micron Technology, Inc. Plasmaless dry contact cleaning method using interhalogen compounds
US5747373A (en) 1996-09-24 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd. Nitride-oxide sidewall spacer for salicide formation
US5846375A (en) 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US5904827A (en) 1996-10-15 1999-05-18 Reynolds Tech Fabricators, Inc. Plating cell with rotary wiper and megasonic transducer
US5951776A (en) 1996-10-25 1999-09-14 Applied Materials, Inc. Self aligning lift mechanism
KR100237825B1 (ko) 1996-11-05 2000-01-15 윤종용 반도체장치 제조설비의 페디스탈
US5804259A (en) 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US5812403A (en) 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5935334A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Substrate processing apparatus with bottom-mounted remote plasma system
US5939831A (en) 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US5882786A (en) 1996-11-15 1999-03-16 C3, Inc. Gemstones formed of silicon carbide with diamond coating
US5830805A (en) 1996-11-18 1998-11-03 Cornell Research Foundation Electroless deposition equipment or apparatus and method of performing electroless deposition
US5855681A (en) 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US6152070A (en) 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5844195A (en) 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US5951896A (en) 1996-12-04 1999-09-14 Micro C Technologies, Inc. Rapid thermal processing heater technology and method of use
FR2756663B1 (fr) 1996-12-04 1999-02-26 Berenguer Marc Procede de traitement d'un substrat semi-conducteur comprenant une etape de traitement de surface
JPH10172792A (ja) 1996-12-05 1998-06-26 Tokyo Electron Ltd プラズマ処理装置
US6312554B1 (en) 1996-12-05 2001-11-06 Applied Materials, Inc. Apparatus and method for controlling the ratio of reactive to non-reactive ions in a semiconductor wafer processing chamber
US5843538A (en) 1996-12-09 1998-12-01 John L. Raymond Method for electroless nickel plating of metal substrates
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US5948702A (en) 1996-12-19 1999-09-07 Texas Instruments Incorporated Selective removal of TixNy
US5953635A (en) 1996-12-19 1999-09-14 Intel Corporation Interlayer dielectric with a composite dielectric stack
US5913140A (en) 1996-12-23 1999-06-15 Lam Research Corporation Method for reduction of plasma charging damage during chemical vapor deposition
KR100234539B1 (ko) * 1996-12-24 1999-12-15 윤종용 반도체장치 제조용 식각 장치
US5955037A (en) 1996-12-31 1999-09-21 Atmi Ecosys Corporation Effluent gas stream treatment system having utility for oxidation treatment of semiconductor manufacturing effluent gases
DE19700231C2 (de) 1997-01-07 2001-10-04 Geesthacht Gkss Forschung Vorrichtung zum Filtern und Trennen von Strömungsmedien
US5913147A (en) 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
US5882424A (en) 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
JPH10223608A (ja) 1997-02-04 1998-08-21 Sony Corp 半導体装置の製造方法
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US6035101A (en) 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6013584A (en) 1997-02-19 2000-01-11 Applied Materials, Inc. Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
US6479373B2 (en) 1997-02-20 2002-11-12 Infineon Technologies Ag Method of structuring layers with a polysilicon layer and an overlying metal or metal silicide layer using a three step etching process with fluorine, chlorine, bromine containing gases
US6190233B1 (en) 1997-02-20 2001-02-20 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US5990000A (en) 1997-02-20 1999-11-23 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6059643A (en) 1997-02-21 2000-05-09 Aplex, Inc. Apparatus and method for polishing a flat surface using a belted polishing pad
US6376386B1 (en) 1997-02-25 2002-04-23 Fujitsu Limited Method of etching silicon nitride by a mixture of CH2 F2, CH3F or CHF3 and an inert gas
US5789300A (en) 1997-02-25 1998-08-04 Advanced Micro Devices, Inc. Method of making IGFETs in densely and sparsely populated areas of a substrate
TW418461B (en) 1997-03-07 2001-01-11 Tokyo Electron Ltd Plasma etching device
US5850105A (en) 1997-03-21 1998-12-15 Advanced Micro Devices, Inc. Substantially planar semiconductor topography using dielectrics and chemical mechanical polish
TW376547B (en) 1997-03-27 1999-12-11 Matsushita Electric Ind Co Ltd Method and apparatus for plasma processing
US6017414A (en) 1997-03-31 2000-01-25 Lam Research Corporation Method of and apparatus for detecting and controlling in situ cleaning time of vacuum processing chambers
US6030666A (en) 1997-03-31 2000-02-29 Lam Research Corporation Method for microwave plasma substrate heating
US5786276A (en) 1997-03-31 1998-07-28 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2
JPH10284360A (ja) 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US5968610A (en) 1997-04-02 1999-10-19 United Microelectronics Corp. Multi-step high density plasma chemical vapor deposition process
US5866483A (en) 1997-04-04 1999-02-02 Applied Materials, Inc. Method for anisotropically etching tungsten using SF6, CHF3, and N2
US6174450B1 (en) 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
US6149828A (en) 1997-05-05 2000-11-21 Micron Technology, Inc. Supercritical etching compositions and method of using same
US6204200B1 (en) 1997-05-05 2001-03-20 Texas Instruments Incorporated Process scheme to form controlled airgaps between interconnect lines to reduce capacitance
US5969422A (en) 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US6083344A (en) 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
US6189483B1 (en) 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US5838055A (en) 1997-05-29 1998-11-17 International Business Machines Corporation Trench sidewall patterned by vapor phase etching
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6136685A (en) 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US6706334B1 (en) 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US5872058A (en) 1997-06-17 1999-02-16 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
US5885749A (en) 1997-06-20 1999-03-23 Clear Logic, Inc. Method of customizing integrated circuits by selective secondary deposition of layer interconnect material
US5933757A (en) 1997-06-23 1999-08-03 Lsi Logic Corporation Etch process selective to cobalt silicide for formation of integrated circuit structures
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6518155B1 (en) 1997-06-30 2003-02-11 Intel Corporation Device structure and method for reducing silicide encroachment
US6184121B1 (en) 1997-07-10 2001-02-06 International Business Machines Corporation Chip interconnect wiring structure with low dielectric constant insulator and methods for fabricating the same
US5944049A (en) 1997-07-15 1999-08-31 Applied Materials, Inc. Apparatus and method for regulating a pressure in a chamber
JPH1136076A (ja) 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd成膜装置およびcvd成膜方法
US6090212A (en) 1997-08-15 2000-07-18 Micro C Technologies, Inc. Substrate platform for a semiconductor substrate during rapid high temperature processing and method of supporting a substrate
US6007635A (en) 1997-11-26 1999-12-28 Micro C Technologies, Inc. Platform for supporting a semiconductor substrate and method of supporting a substrate during rapid high temperature processing
US5814365A (en) 1997-08-15 1998-09-29 Micro C Technologies, Inc. Reactor and method of processing a semiconductor substate
US5926737A (en) 1997-08-19 1999-07-20 Tokyo Electron Limited Use of TiCl4 etchback process during integrated CVD-Ti/TiN wafer processing
US6063688A (en) 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
US6364957B1 (en) 1997-10-09 2002-04-02 Applied Materials, Inc. Support assembly with thermal expansion compensation
US6688375B1 (en) 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
JP3874911B2 (ja) 1997-10-15 2007-01-31 株式会社Neomaxマテリアル 微小プラスチック球へのめっき方法
GB9722028D0 (en) 1997-10-17 1997-12-17 Shipley Company Ll C Plating of polymers
US6379575B1 (en) 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6136693A (en) 1997-10-27 2000-10-24 Chartered Semiconductor Manufacturing Ltd. Method for planarized interconnect vias using electroless plating and CMP
US6013191A (en) 1997-10-27 2000-01-11 Advanced Refractory Technologies, Inc. Method of polishing CVD diamond films by oxygen plasma
WO1999026277A1 (en) 1997-11-17 1999-05-27 Mattson Technology, Inc. Systems and methods for plasma enhanced processing of semiconductor wafers
US6063712A (en) 1997-11-25 2000-05-16 Micron Technology, Inc. Oxide etch and method of etching
US5849639A (en) 1997-11-26 1998-12-15 Lucent Technologies Inc. Method for removing etching residues and contaminants
US6077780A (en) 1997-12-03 2000-06-20 Advanced Micro Devices, Inc. Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US5976327A (en) 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6083844A (en) 1997-12-22 2000-07-04 Lam Research Corporation Techniques for etching an oxide layer
US6406759B1 (en) 1998-01-08 2002-06-18 The University Of Tennessee Research Corporation Remote exposure of workpieces using a recirculated plasma
JPH11204442A (ja) 1998-01-12 1999-07-30 Tokyo Electron Ltd 枚葉式の熱処理装置
US6140234A (en) 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
US5932077A (en) 1998-02-09 1999-08-03 Reynolds Tech Fabricators, Inc. Plating cell with horizontal product load mechanism
US6635578B1 (en) 1998-02-09 2003-10-21 Applied Materials, Inc Method of operating a dual chamber reactor with neutral density decoupled from ion density
US6186091B1 (en) 1998-02-11 2001-02-13 Silicon Genesis Corporation Shielded platen design for plasma immersion ion implantation
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6627532B1 (en) 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6197688B1 (en) 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6171661B1 (en) 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
JP4151862B2 (ja) 1998-02-26 2008-09-17 キヤノンアネルバ株式会社 Cvd装置
US6892669B2 (en) 1998-02-26 2005-05-17 Anelva Corporation CVD apparatus
US6177222B1 (en) 1998-03-12 2001-01-23 Xerox Corporation Coated photographic papers
US6551939B2 (en) 1998-03-17 2003-04-22 Anneal Corporation Plasma surface treatment method and resulting device
US5920792A (en) 1998-03-19 1999-07-06 Winbond Electronics Corp High density plasma enhanced chemical vapor deposition process in combination with chemical mechanical polishing process for preparation and planarization of intemetal dielectric layers
US6197181B1 (en) 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6565729B2 (en) 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6194038B1 (en) 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6602434B1 (en) 1998-03-27 2003-08-05 Applied Materials, Inc. Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
US6395150B1 (en) 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
JP2002510878A (ja) 1998-04-02 2002-04-09 アプライド マテリアルズ インコーポレイテッド 低k誘電体をエッチングする方法
US6117245A (en) 1998-04-08 2000-09-12 Applied Materials, Inc. Method and apparatus for controlling cooling and heating fluids for a gas distribution plate
US5997649A (en) * 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6113771A (en) 1998-04-21 2000-09-05 Applied Materials, Inc. Electro deposition chemistry
US6416647B1 (en) 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US6179924B1 (en) 1998-04-28 2001-01-30 Applied Materials, Inc. Heater for use in substrate processing apparatus to deposit tungsten
US6093594A (en) 1998-04-29 2000-07-25 Advanced Micro Devices, Inc. CMOS optimization method utilizing sacrificial sidewall spacer
US6081414A (en) 1998-05-01 2000-06-27 Applied Materials, Inc. Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system
US6030881A (en) 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6218288B1 (en) 1998-05-11 2001-04-17 Micron Technology, Inc. Multiple step methods for forming conformal layers
US6007785A (en) 1998-05-20 1999-12-28 Academia Sinica Apparatus for efficient ozone generation
EP0959496B1 (en) 1998-05-22 2006-07-19 Applied Materials, Inc. Methods for forming self-planarized dielectric layer for shallow trench isolation
KR100296137B1 (ko) 1998-06-16 2001-08-07 박종섭 보호막으로서고밀도플라즈마화학기상증착에의한절연막을갖는반도체소자제조방법
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
JP2000012514A (ja) 1998-06-19 2000-01-14 Hitachi Ltd 後処理方法
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
ATE316426T1 (de) 1998-06-30 2006-02-15 Semitool Inc Metallisierungsstrukturen für mikroelektronische anwendungen und verfahren zur herstellung dieser strukturen
US6562128B1 (en) 2001-11-28 2003-05-13 Seh America, Inc. In-situ post epitaxial treatment process
US6037018A (en) 1998-07-01 2000-03-14 Taiwan Semiconductor Maufacturing Company Shallow trench isolation filled by high density plasma chemical vapor deposition
US6248429B1 (en) 1998-07-06 2001-06-19 Micron Technology, Inc. Metallized recess in a substrate
JP2000026975A (ja) 1998-07-09 2000-01-25 Komatsu Ltd 表面処理装置
KR100265866B1 (ko) 1998-07-11 2000-12-01 황철주 반도체 제조장치
US6063683A (en) 1998-07-27 2000-05-16 Acer Semiconductor Manufacturing, Inc. Method of fabricating a self-aligned crown-shaped capacitor for high density DRAM cells
US6436816B1 (en) 1998-07-31 2002-08-20 Industrial Technology Research Institute Method of electroless plating copper on nitride barrier
US6074954A (en) 1998-08-31 2000-06-13 Applied Materials, Inc Process for control of the shape of the etch front in the etching of polysilicon
US6383951B1 (en) 1998-09-03 2002-05-07 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
US6440863B1 (en) 1998-09-04 2002-08-27 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming patterned oxygen containing plasma etchable layer
US6165912A (en) 1998-09-17 2000-12-26 Cfmt, Inc. Electroless metal deposition of electronic components in an enclosable vessel
US6037266A (en) 1998-09-28 2000-03-14 Taiwan Semiconductor Manufacturing Company Method for patterning a polysilicon gate with a thin gate oxide in a polysilicon etcher
JP3725708B2 (ja) 1998-09-29 2005-12-14 株式会社東芝 半導体装置
US6277733B1 (en) 1998-10-05 2001-08-21 Texas Instruments Incorporated Oxygen-free, dry plasma process for polymer removal
JP3764594B2 (ja) 1998-10-12 2006-04-12 株式会社日立製作所 プラズマ処理方法
US6180523B1 (en) 1998-10-13 2001-01-30 Industrial Technology Research Institute Copper metallization of USLI by electroless process
US6228758B1 (en) 1998-10-14 2001-05-08 Advanced Micro Devices, Inc. Method of making dual damascene conductive interconnections and integrated circuit device comprising same
US6251802B1 (en) 1998-10-19 2001-06-26 Micron Technology, Inc. Methods of forming carbon-containing layers
US6107199A (en) 1998-10-24 2000-08-22 International Business Machines Corporation Method for improving the morphology of refractory metal thin films
JP3064268B2 (ja) 1998-10-29 2000-07-12 アプライド マテリアルズ インコーポレイテッド 成膜方法及び装置
US6176198B1 (en) 1998-11-02 2001-01-23 Applied Materials, Inc. Apparatus and method for depositing low K dielectric materials
US6462371B1 (en) 1998-11-24 2002-10-08 Micron Technology Inc. Films doped with carbon for use in integrated circuit technology
US6203863B1 (en) 1998-11-27 2001-03-20 United Microelectronics Corp. Method of gap filling
US6228233B1 (en) 1998-11-30 2001-05-08 Applied Materials, Inc. Inflatable compliant bladder assembly
US6251236B1 (en) 1998-11-30 2001-06-26 Applied Materials, Inc. Cathode contact ring for electrochemical deposition
US6258220B1 (en) 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6015747A (en) 1998-12-07 2000-01-18 Advanced Micro Device Method of metal/polysilicon gate formation in a field effect transistor
US6242349B1 (en) 1998-12-09 2001-06-05 Advanced Micro Devices, Inc. Method of forming copper/copper alloy interconnection with reduced electromigration
US6364954B2 (en) 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
EP1014434B1 (de) 1998-12-24 2008-03-26 ATMEL Germany GmbH Verfahren zum anisotropen plasmachemischen Trockenätzen von Siliziumnitrid-Schichten mittels eines Fluor-enthaltenden Gasgemisches
KR20000044928A (ko) 1998-12-30 2000-07-15 김영환 반도체 소자의 트랜치 형성 방법
DE19901210A1 (de) 1999-01-14 2000-07-27 Siemens Ag Halbleiterbauelement und Verfahren zu dessen Herstellung
US6499425B1 (en) 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
TW428256B (en) 1999-01-25 2001-04-01 United Microelectronics Corp Structure of conducting-wire layer and its fabricating method
JP3330554B2 (ja) 1999-01-27 2002-09-30 松下電器産業株式会社 エッチング方法
US6245669B1 (en) 1999-02-05 2001-06-12 Taiwan Semiconductor Manufacturing Company High selectivity Si-rich SiON etch-stop layer
US6010962A (en) 1999-02-12 2000-01-04 Taiwan Semiconductor Manufacturing Company Copper chemical-mechanical-polishing (CMP) dishing
US6245670B1 (en) 1999-02-19 2001-06-12 Advanced Micro Devices, Inc. Method for filling a dual damascene opening having high aspect ratio to minimize electromigration failure
TW469534B (en) 1999-02-23 2001-12-21 Matsushita Electric Ind Co Ltd Plasma processing method and apparatus
US6291282B1 (en) 1999-02-26 2001-09-18 Texas Instruments Incorporated Method of forming dual metal gate structures or CMOS devices
US6136163A (en) 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
US6312995B1 (en) 1999-03-08 2001-11-06 Advanced Micro Devices, Inc. MOS transistor with assisted-gates and ultra-shallow “Psuedo” source and drain extensions for ultra-large-scale integration
US6197705B1 (en) 1999-03-18 2001-03-06 Chartered Semiconductor Manufacturing Ltd. Method of silicon oxide and silicon glass films deposition
US6797189B2 (en) 1999-03-25 2004-09-28 Hoiman (Raymond) Hung Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
US6238582B1 (en) 1999-03-30 2001-05-29 Veeco Instruments, Inc. Reactive ion beam etching method and a thin film head fabricated using the method
US6144099A (en) 1999-03-30 2000-11-07 Advanced Micro Devices, Inc. Semiconductor metalization barrier
JP2000290777A (ja) 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
US6263830B1 (en) 1999-04-12 2001-07-24 Matrix Integrated Systems, Inc. Microwave choke for remote plasma generator
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
US6110836A (en) 1999-04-22 2000-08-29 Applied Materials, Inc. Reactive plasma etch cleaning of high aspect ratio openings
US6110832A (en) 1999-04-28 2000-08-29 International Business Machines Corporation Method and apparatus for slurry polishing
US6541671B1 (en) 2002-02-13 2003-04-01 The Regents Of The University Of California Synthesis of 2H- and 13C-substituted dithanes
JP3099066B1 (ja) 1999-05-07 2000-10-16 東京工業大学長 薄膜構造体の製造方法
JP3482904B2 (ja) 1999-05-10 2004-01-06 松下電器産業株式会社 プラズマ処理方法及び装置
US6129829A (en) 1999-05-14 2000-10-10 Thompson; Donald E. Electrostatic filter for dielectric fluid
US7091605B2 (en) 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
US20020129902A1 (en) 1999-05-14 2002-09-19 Babayan Steven E. Low-temperature compatible wide-pressure-range plasma flow device
JP2000331993A (ja) 1999-05-19 2000-11-30 Mitsubishi Electric Corp プラズマ処理装置
US6323128B1 (en) 1999-05-26 2001-11-27 International Business Machines Corporation Method for forming Co-W-P-Au films
JP3320685B2 (ja) * 1999-06-02 2002-09-03 株式会社半導体先端テクノロジーズ 微細パターン形成方法
US20020033233A1 (en) 1999-06-08 2002-03-21 Stephen E. Savas Icp reactor having a conically-shaped plasma-generating section
US6174812B1 (en) 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6821571B2 (en) 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6161576A (en) 1999-06-23 2000-12-19 Mks Instruments, Inc. Integrated turbo pump and control valve system
US6110530A (en) 1999-06-25 2000-08-29 Applied Materials, Inc. CVD method of depositing copper films by using improved organocopper precursor blend
US6277752B1 (en) 1999-06-28 2001-08-21 Taiwan Semiconductor Manufacturing Company Multiple etch method for forming residue free patterned hard mask layer
FR2795555B1 (fr) 1999-06-28 2002-12-13 France Telecom Procede de fabrication d'un dispositif semi-conducteur comprenant un empilement forme alternativement de couches de silicium et de couches de materiau dielectrique
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6352081B1 (en) 1999-07-09 2002-03-05 Applied Materials, Inc. Method of cleaning a semiconductor device processing chamber after a copper etch process
US6516815B1 (en) 1999-07-09 2003-02-11 Applied Materials, Inc. Edge bead removal/spin rinse dry (EBR/SRD) module
US6258223B1 (en) 1999-07-09 2001-07-10 Applied Materials, Inc. In-situ electroless copper seed layer enhancement in an electroplating system
US6351013B1 (en) 1999-07-13 2002-02-26 Advanced Micro Devices, Inc. Low-K sub spacer pocket formation for gate capacitance reduction
US6342733B1 (en) 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
US6281135B1 (en) 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US6237527B1 (en) 1999-08-06 2001-05-29 Axcelis Technologies, Inc. System for improving energy purity and implant consistency, and for minimizing charge accumulation of an implanted substrate
US6235643B1 (en) 1999-08-10 2001-05-22 Applied Materials, Inc. Method for etching a trench having rounded top and bottom corners in a silicon substrate
JP4819267B2 (ja) 1999-08-17 2011-11-24 東京エレクトロン株式会社 パルスプラズマ処理方法および装置
JP4220075B2 (ja) 1999-08-20 2009-02-04 東京エレクトロン株式会社 成膜方法および成膜装置
US6322716B1 (en) 1999-08-30 2001-11-27 Cypress Semiconductor Corp. Method for conditioning a plasma etch chamber
US6375748B1 (en) 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
US6441492B1 (en) 1999-09-10 2002-08-27 James A. Cunningham Diffusion barriers for copper interconnect systems
US6548414B2 (en) 1999-09-14 2003-04-15 Infineon Technologies Ag Method of plasma etching thin films of difficult to dry etch materials
JP3514186B2 (ja) 1999-09-16 2004-03-31 日新電機株式会社 薄膜形成方法及び装置
US6503843B1 (en) 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US6432819B1 (en) 1999-09-27 2002-08-13 Applied Materials, Inc. Method and apparatus of forming a sputtered doped seed layer
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6153935A (en) 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
US6321587B1 (en) 1999-10-15 2001-11-27 Radian International Llc Solid state fluorine sensor system and method
US6423284B1 (en) 1999-10-18 2002-07-23 Advanced Technology Materials, Inc. Fluorine abatement using steam injection in oxidation treatment of semiconductor manufacturing effluent gases
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
KR100338768B1 (ko) 1999-10-25 2002-05-30 윤종용 산화막 제거방법 및 산화막 제거를 위한 반도체 제조 장치
US20010041444A1 (en) 1999-10-29 2001-11-15 Jeffrey A. Shields Tin contact barc for tungsten polished contacts
DE29919142U1 (de) 1999-10-30 2001-03-08 Agrodyn Hochspannungstechnik G Plasmadüse
US6551924B1 (en) 1999-11-02 2003-04-22 International Business Machines Corporation Post metalization chem-mech polishing dielectric etch
EP1099776A1 (en) 1999-11-09 2001-05-16 Applied Materials, Inc. Plasma cleaning step in a salicide process
JP3366301B2 (ja) 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
US8114245B2 (en) 1999-11-26 2012-02-14 Tadahiro Ohmi Plasma etching device
US6599842B2 (en) 1999-11-29 2003-07-29 Applied Materials, Inc. Method for rounding corners and removing damaged outer surfaces of a trench
TW484170B (en) 1999-11-30 2002-04-21 Applied Materials Inc Integrated modular processing platform
US6342453B1 (en) 1999-12-03 2002-01-29 Applied Materials, Inc. Method for CVD process control for enhancing device performance
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6277763B1 (en) 1999-12-16 2001-08-21 Applied Materials, Inc. Plasma processing of tungsten using a gas mixture comprising a fluorinated gas and oxygen
AU2577001A (en) 1999-12-22 2001-07-03 Tokyo Electron Limited Method and system for reducing damage to substrates during plasma processing with a resonator source
US6534809B2 (en) 1999-12-22 2003-03-18 Agilent Technologies, Inc. Hardmask designs for dry etching FeRAM capacitor stacks
US6350697B1 (en) 1999-12-22 2002-02-26 Lam Research Corporation Method of cleaning and conditioning plasma reaction chamber
US6238513B1 (en) 1999-12-28 2001-05-29 International Business Machines Corporation Wafer lift assembly
KR20010058774A (ko) 1999-12-30 2001-07-06 박종섭 반도체 소자의 제조 방법
KR100767762B1 (ko) 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6772827B2 (en) 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6656831B1 (en) 2000-01-26 2003-12-02 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of a metal nitride layer
US6494959B1 (en) 2000-01-28 2002-12-17 Applied Materials, Inc. Process and apparatus for cleaning a silicon surface
EP1124252A2 (en) 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
JP3723712B2 (ja) 2000-02-10 2005-12-07 株式会社日立国際電気 基板処理装置及び基板処理方法
US6743473B1 (en) 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6350320B1 (en) 2000-02-22 2002-02-26 Applied Materials, Inc. Heater for processing chamber
US6391788B1 (en) 2000-02-25 2002-05-21 Applied Materials, Inc. Two etchant etch method
US6958098B2 (en) 2000-02-28 2005-10-25 Applied Materials, Inc. Semiconductor wafer support lift-pin assembly
JP2001319885A (ja) 2000-03-02 2001-11-16 Hitachi Kokusai Electric Inc 基板処理装置及び半導体製造方法
JP3979791B2 (ja) 2000-03-08 2007-09-19 株式会社ルネサステクノロジ 半導体装置およびその製造方法
KR100350056B1 (ko) 2000-03-09 2002-08-24 삼성전자 주식회사 다마신 게이트 공정에서 자기정렬콘택패드 형성 방법
US6900596B2 (en) 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6527968B1 (en) 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
JP3433721B2 (ja) 2000-03-28 2003-08-04 ティーディーケイ株式会社 ドライエッチング方法及び微細加工方法
AU2001247685A1 (en) 2000-03-30 2001-10-15 Tokyo Electron Limited Method of and apparatus for tunable gas injection in a plasma processing system
JP4056195B2 (ja) 2000-03-30 2008-03-05 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
DE10016340C1 (de) 2000-03-31 2001-12-06 Promos Technologies Inc Verfahren zur Herstellung von flaschenförmigen Tiefgräben zur Verwendung in Halbleitervorrichtungen
US6558564B1 (en) 2000-04-05 2003-05-06 Applied Materials Inc. Plasma energy control by inducing plasma instability
JP2001355074A (ja) 2000-04-10 2001-12-25 Sony Corp 無電解メッキ処理方法およびその装置
US7892974B2 (en) 2000-04-11 2011-02-22 Cree, Inc. Method of forming vias in silicon carbide and resulting devices and circuits
US6762129B2 (en) * 2000-04-19 2004-07-13 Matsushita Electric Industrial Co., Ltd. Dry etching method, fabrication method for semiconductor device, and dry etching apparatus
JP2001308023A (ja) 2000-04-21 2001-11-02 Tokyo Electron Ltd 熱処理装置及び方法
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
KR100367662B1 (ko) 2000-05-02 2003-01-10 주식회사 셈테크놀러지 하이퍼서멀 중성입자 발생 장치 및 이를 채용하는 중성입자 처리 장치
JP3662472B2 (ja) 2000-05-09 2005-06-22 エム・エフエスアイ株式会社 基板表面の処理方法
WO2001086717A1 (fr) 2000-05-10 2001-11-15 Ibiden Co., Ltd. Mandrin electrostatique
US6679981B1 (en) 2000-05-11 2004-01-20 Applied Materials, Inc. Inductive plasma loop enhancing magnetron sputtering
KR100638917B1 (ko) 2000-05-17 2006-10-25 동경 엘렉트론 주식회사 처리 장치 부품의 조립 기구 및 그 조립 방법
US6418874B1 (en) 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
US6335261B1 (en) 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
US6729081B2 (en) 2000-06-09 2004-05-04 United Solar Systems Corporation Self-adhesive photovoltaic module
US6603269B1 (en) 2000-06-13 2003-08-05 Applied Materials, Inc. Resonant chamber applicator for remote plasma source
US6509623B2 (en) 2000-06-15 2003-01-21 Newport Fab, Llc Microelectronic air-gap structures and methods of forming the same
US6391753B1 (en) 2000-06-20 2002-05-21 Advanced Micro Devices, Inc. Process for forming gate conductors
US6645550B1 (en) 2000-06-22 2003-11-11 Applied Materials, Inc. Method of treating a substrate
TW527436B (en) 2000-06-23 2003-04-11 Anelva Corp Chemical vapor deposition system
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6303418B1 (en) 2000-06-30 2001-10-16 Chartered Semiconductor Manufacturing Ltd. Method of fabricating CMOS devices featuring dual gate structures and a high dielectric constant gate insulator layer
DE10032607B4 (de) 2000-07-07 2004-08-12 Leo Elektronenmikroskopie Gmbh Teilchenstrahlgerät mit einer im Ultrahochvakuum zu betreibenden Teilchenquelle und kaskadenförmige Pumpanordnung für ein solches Teilchenstrahlgerät
US6440870B1 (en) 2000-07-12 2002-08-27 Applied Materials, Inc. Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
KR100366623B1 (ko) 2000-07-18 2003-01-09 삼성전자 주식회사 반도체 기판 또는 lcd 기판의 세정방법
US6764958B1 (en) 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6677242B1 (en) * 2000-08-12 2004-01-13 Applied Materials Inc. Integrated shallow trench isolation approach
US6800830B2 (en) 2000-08-18 2004-10-05 Hitachi Kokusai Electric, Inc. Chemistry for boron diffusion barrier layer and method of application in semiconductor device fabrication
US6446572B1 (en) 2000-08-18 2002-09-10 Tokyo Electron Limited Embedded plasma source for plasma density improvement
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6459066B1 (en) 2000-08-25 2002-10-01 Board Of Regents, The University Of Texas System Transmission line based inductively coupled plasma source with stable impedance
US6372657B1 (en) 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
US6465366B1 (en) 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
JP2002100578A (ja) 2000-09-25 2002-04-05 Crystage Co Ltd 薄膜形成装置
JP4717295B2 (ja) 2000-10-04 2011-07-06 株式会社半導体エネルギー研究所 ドライエッチング装置及びエッチング方法
US6461974B1 (en) 2000-10-06 2002-10-08 Lam Research Corporation High temperature tungsten etching process
DK200001497A (da) 2000-10-08 2002-04-09 Scanavo As Opbevaringsindretning for en databærer
KR100375102B1 (ko) 2000-10-18 2003-03-08 삼성전자주식회사 반도체 장치의 제조에서 화학 기상 증착 방법 및 이를수행하기 위한 장치
US6403491B1 (en) 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
US6610362B1 (en) 2000-11-20 2003-08-26 Intel Corporation Method of forming a carbon doped oxide layer on a substrate
KR100382725B1 (ko) 2000-11-24 2003-05-09 삼성전자주식회사 클러스터화된 플라즈마 장치에서의 반도체소자의 제조방법
AUPR179500A0 (en) 2000-11-30 2000-12-21 Saintech Pty Limited Ion source
US6291348B1 (en) 2000-11-30 2001-09-18 Advanced Micro Devices, Inc. Method of forming Cu-Ca-O thin films on Cu surfaces in a chemical solution and semiconductor device thereby formed
US6544340B2 (en) 2000-12-08 2003-04-08 Applied Materials, Inc. Heater with detachable ceramic top plate
US6448537B1 (en) 2000-12-11 2002-09-10 Eric Anton Nering Single-wafer process chamber thermal convection processes
US6533910B2 (en) 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6500772B2 (en) 2001-01-08 2002-12-31 International Business Machines Corporation Methods and materials for depositing films on semiconductor substrates
US20020124867A1 (en) 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
FR2819341B1 (fr) 2001-01-11 2003-06-27 St Microelectronics Sa Procede d'integration d'une cellule dram
US6879981B2 (en) 2001-01-16 2005-04-12 Corigin Ltd. Sharing live data with a non cooperative DBMS
US6849854B2 (en) 2001-01-18 2005-02-01 Saintech Pty Ltd. Ion source
JP4644943B2 (ja) 2001-01-23 2011-03-09 東京エレクトロン株式会社 処理装置
US6743732B1 (en) 2001-01-26 2004-06-01 Taiwan Semiconductor Manufacturing Company Organic low K dielectric etch with NH3 chemistry
JP2002222934A (ja) 2001-01-29 2002-08-09 Nec Corp 半導体装置およびその製造方法
US6893969B2 (en) 2001-02-12 2005-05-17 Lam Research Corporation Use of ammonia for etching organic low-k dielectrics
US6537733B2 (en) 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
JP2002256235A (ja) 2001-03-01 2002-09-11 Hitachi Chem Co Ltd 接着シート、半導体装置の製造方法および半導体装置
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6348407B1 (en) 2001-03-15 2002-02-19 Chartered Semiconductor Manufacturing Inc. Method to improve adhesion of organic dielectrics in dual damascene interconnects
US6886491B2 (en) 2001-03-19 2005-05-03 Apex Co. Ltd. Plasma chemical vapor deposition apparatus
JP5013353B2 (ja) 2001-03-28 2012-08-29 隆 杉野 成膜方法及び成膜装置
US7084070B1 (en) 2001-03-30 2006-08-01 Lam Research Corporation Treatment for corrosion in substrate processing
US6670278B2 (en) 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
US20020177321A1 (en) 2001-03-30 2002-11-28 Li Si Yi Plasma etching of silicon carbide
FR2823032B1 (fr) 2001-04-03 2003-07-11 St Microelectronics Sa Resonateur electromecanique a poutre vibrante
US20020144657A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
JP3707394B2 (ja) 2001-04-06 2005-10-19 ソニー株式会社 無電解メッキ方法
US20030019428A1 (en) 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US6914009B2 (en) 2001-05-07 2005-07-05 Applied Materials Inc Method of making small transistor lengths
US6740601B2 (en) 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US20020197823A1 (en) 2001-05-18 2002-12-26 Yoo Jae-Yoon Isolation method for semiconductor device
JP4720019B2 (ja) 2001-05-18 2011-07-13 東京エレクトロン株式会社 冷却機構及び処理装置
US6717189B2 (en) 2001-06-01 2004-04-06 Ebara Corporation Electroless plating liquid and semiconductor device
US6573606B2 (en) 2001-06-14 2003-06-03 International Business Machines Corporation Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect
US6506291B2 (en) 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
CN1516895A (zh) 2001-06-14 2004-07-28 马特森技术公司 用于铜互连的阻挡层增强工艺
US20060191637A1 (en) 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
US6685803B2 (en) 2001-06-22 2004-02-03 Applied Materials, Inc. Plasma treatment of processing gases
US6770166B1 (en) 2001-06-29 2004-08-03 Lam Research Corp. Apparatus and method for radio frequency de-coupling and bias voltage control in a plasma reactor
JP2003019433A (ja) 2001-07-06 2003-01-21 Sekisui Chem Co Ltd 放電プラズマ処理装置及びそれを用いた処理方法
KR100403630B1 (ko) 2001-07-07 2003-10-30 삼성전자주식회사 고밀도 플라즈마를 이용한 반도체 장치의 층간 절연막 형성방법
US6531377B2 (en) 2001-07-13 2003-03-11 Infineon Technologies Ag Method for high aspect ratio gap fill using sequential HDP-CVD
US6596599B1 (en) 2001-07-16 2003-07-22 Taiwan Semiconductor Manufacturing Company Gate stack for high performance sub-micron CMOS devices
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US6846745B1 (en) 2001-08-03 2005-01-25 Novellus Systems, Inc. High-density plasma process for filling high aspect ratio structures
JP3914452B2 (ja) 2001-08-07 2007-05-16 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US6984288B2 (en) 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
TW554069B (en) 2001-08-10 2003-09-21 Ebara Corp Plating device and method
CN1329972C (zh) 2001-08-13 2007-08-01 株式会社荏原制作所 半导体器件及其制造方法
US20030038305A1 (en) 2001-08-21 2003-02-27 Wasshuber Christoph A. Method for manufacturing and structure of transistor with low-k spacer
JP2003059914A (ja) 2001-08-21 2003-02-28 Hitachi Kokusai Electric Inc プラズマ処理装置
US6762127B2 (en) 2001-08-23 2004-07-13 Yves Pierre Boiteux Etch process for dielectric materials comprising oxidized organo silane materials
US6753506B2 (en) 2001-08-23 2004-06-22 Axcelis Technologies System and method of fast ambient switching for rapid thermal processing
WO2003018867A1 (en) 2001-08-29 2003-03-06 Applied Materials, Inc. Semiconductor processing using an efficiently coupled gas source
US6796314B1 (en) 2001-09-07 2004-09-28 Novellus Systems, Inc. Using hydrogen gas in a post-etch radio frequency-plasma contact cleaning process
US20030054608A1 (en) 2001-09-17 2003-03-20 Vanguard International Semiconductor Corporation Method for forming shallow trench isolation in semiconductor device
US6555467B2 (en) 2001-09-28 2003-04-29 Sharp Laboratories Of America, Inc. Method of making air gaps copper interconnect
US6656837B2 (en) 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
EP1302988A3 (de) 2001-10-12 2007-01-24 Bayer MaterialScience AG Photovoltaik-Module mit einer thermoplastischen Schmelzklebeschicht sowie ein Verfahren zu ihrer Herstellung
US6855906B2 (en) 2001-10-16 2005-02-15 Adam Alexander Brailove Induction plasma reactor
US20030072639A1 (en) 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support
KR100433091B1 (ko) 2001-10-23 2004-05-28 주식회사 하이닉스반도체 반도체소자의 도전배선 형성방법
JP3759895B2 (ja) 2001-10-24 2006-03-29 松下電器産業株式会社 エッチング方法
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
JP4040284B2 (ja) 2001-11-08 2008-01-30 住友大阪セメント株式会社 プラズマ発生用電極内蔵型サセプタ及びその製造方法
KR100443121B1 (ko) 2001-11-29 2004-08-04 삼성전자주식회사 반도체 공정의 수행 방법 및 반도체 공정 장치
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
US20090029560A1 (en) * 2001-12-07 2009-01-29 Applied Materials, Inc. Apparatus and method for single substrate processing
JP4392852B2 (ja) 2001-12-07 2010-01-06 東京エレクトロン株式会社 プラズマ処理装置に用いられる排気リング機構及びプラズマ処理装置
KR100641762B1 (ko) 2001-12-07 2006-11-06 동경 엘렉트론 주식회사 절연막의 질화 방법, 반도체 장치 및 반도체 장치의 제조방법, 기판 처리 장치 및 기판 처리 방법
US6905968B2 (en) 2001-12-12 2005-06-14 Applied Materials, Inc. Process for selectively etching dielectric layers
CN100524642C (zh) 2001-12-13 2009-08-05 应用材料股份有限公司 一种半导体器件
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6605874B2 (en) 2001-12-19 2003-08-12 Intel Corporation Method of making semiconductor device using an interconnect
AU2002366943A1 (en) 2001-12-20 2003-07-09 Tokyo Electron Limited Method and apparatus comprising a magnetic filter for plasma processing a workpiece
US20030116439A1 (en) 2001-12-21 2003-06-26 International Business Machines Corporation Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
KR100442167B1 (ko) 2001-12-26 2004-07-30 주성엔지니어링(주) 자연산화막 제거방법
US20030124842A1 (en) 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
KR100484258B1 (ko) 2001-12-27 2005-04-22 주식회사 하이닉스반도체 반도체 소자 제조 방법
US6677247B2 (en) 2002-01-07 2004-01-13 Applied Materials Inc. Method of increasing the etch selectivity of a contact sidewall to a preclean etchant
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
JP2003217898A (ja) 2002-01-16 2003-07-31 Sekisui Chem Co Ltd 放電プラズマ処理装置
US6869880B2 (en) 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
US20040060514A1 (en) * 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US7138014B2 (en) 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
TWI239794B (en) 2002-01-30 2005-09-11 Alps Electric Co Ltd Plasma processing apparatus and method
US7226504B2 (en) 2002-01-31 2007-06-05 Sharp Laboratories Of America, Inc. Method to form thick relaxed SiGe layer with trench structure
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US6821348B2 (en) 2002-02-14 2004-11-23 3M Innovative Properties Company In-line deposition processes for circuit fabrication
JP3921234B2 (ja) 2002-02-28 2007-05-30 キヤノンアネルバ株式会社 表面処理装置及びその製造方法
US6646233B2 (en) 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
US20060252265A1 (en) 2002-03-06 2006-11-09 Guangxiang Jin Etching high-kappa dielectric materials with good high-kappa foot control and silicon recess control
US20030168174A1 (en) 2002-03-08 2003-09-11 Foree Michael Todd Gas cushion susceptor system
US7252011B2 (en) 2002-03-11 2007-08-07 Mks Instruments, Inc. Surface area deposition trap
JP3813562B2 (ja) 2002-03-15 2006-08-23 富士通株式会社 半導体装置及びその製造方法
US7256370B2 (en) 2002-03-15 2007-08-14 Steed Technology, Inc. Vacuum thermal annealer
US6913651B2 (en) 2002-03-22 2005-07-05 Blue29, Llc Apparatus and method for electroless deposition of materials on semiconductor substrates
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6843858B2 (en) 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US20030190426A1 (en) 2002-04-03 2003-10-09 Deenesh Padhi Electroless deposition method
US6921556B2 (en) 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US6616967B1 (en) 2002-04-15 2003-09-09 Texas Instruments Incorporated Method to achieve continuous hydrogen saturation in sparingly used electroless nickel plating process
US6897532B1 (en) 2002-04-15 2005-05-24 Cypress Semiconductor Corp. Magnetic tunneling junction configuration and a method for making the same
US7013834B2 (en) 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
KR100448714B1 (ko) 2002-04-24 2004-09-13 삼성전자주식회사 다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막및 그의 형성방법
US6528409B1 (en) 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6908862B2 (en) 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
TW538497B (en) 2002-05-16 2003-06-21 Nanya Technology Corp Method to form a bottle-shaped trench
US6825051B2 (en) 2002-05-17 2004-11-30 Asm America, Inc. Plasma etch resistant coating and process
JP2003347278A (ja) 2002-05-23 2003-12-05 Hitachi Kokusai Electric Inc 基板処理装置、及び半導体装置の製造方法
US6500728B1 (en) 2002-05-24 2002-12-31 Taiwan Semiconductor Manufacturing Company Shallow trench isolation (STI) module to improve contact etch process window
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
KR100434110B1 (ko) 2002-06-04 2004-06-04 삼성전자주식회사 반도체 장치의 제조방법
US20030230385A1 (en) * 2002-06-13 2003-12-18 Applied Materials, Inc. Electro-magnetic configuration for uniformity enhancement in a dual chamber plasma processing system
KR101019190B1 (ko) 2002-06-14 2011-03-04 세키스이가가쿠 고교가부시키가이샤 산화막 형성 방법 및 산화막 형성 장치
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
DE10229037A1 (de) 2002-06-28 2004-01-29 Robert Bosch Gmbh Vorrichtung und Verfahren zur Erzeugung von Chlortrifluorid und Anlage zur Ätzung von Halbleitersubstraten mit dieser Vorrichtung
US20040072446A1 (en) 2002-07-02 2004-04-15 Applied Materials, Inc. Method for fabricating an ultra shallow junction of a field effect transistor
US6767844B2 (en) 2002-07-03 2004-07-27 Taiwan Semiconductor Manufacturing Co., Ltd Plasma chamber equipped with temperature-controlled focus ring and method of operating
US6838125B2 (en) 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US7357138B2 (en) 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US7988398B2 (en) * 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US20060040055A1 (en) 2002-08-06 2006-02-23 Tegal Corporation Method and system for sequential processing in a two-compartment chamber
US20040058293A1 (en) 2002-08-06 2004-03-25 Tue Nguyen Assembly line processing system
US20060046412A1 (en) 2002-08-06 2006-03-02 Tegal Corporation Method and system for sequential processing in a two-compartment chamber
US6921555B2 (en) 2002-08-06 2005-07-26 Tegal Corporation Method and system for sequential processing in a two-compartment chamber
JP3861036B2 (ja) 2002-08-09 2006-12-20 三菱重工業株式会社 プラズマcvd装置
US7541270B2 (en) 2002-08-13 2009-06-02 Micron Technology, Inc. Methods for forming openings in doped silicon dioxide
US20040033677A1 (en) 2002-08-14 2004-02-19 Reza Arghavani Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier
US6781173B2 (en) 2002-08-29 2004-08-24 Micron Technology, Inc. MRAM sense layer area control
US7223701B2 (en) 2002-09-06 2007-05-29 Intel Corporation In-situ sequential high density plasma deposition and etch processing for gap fill
US6946033B2 (en) 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
JP3991315B2 (ja) 2002-09-17 2007-10-17 キヤノンアネルバ株式会社 薄膜形成装置及び方法
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
KR100500852B1 (ko) 2002-10-10 2005-07-12 최대규 원격 플라즈마 발생기
US6991959B2 (en) 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
JP4606713B2 (ja) 2002-10-17 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US6699380B1 (en) 2002-10-18 2004-03-02 Applied Materials Inc. Modular electrochemical processing system
TW587139B (en) * 2002-10-18 2004-05-11 Winbond Electronics Corp Gas distribution system and method for the plasma gas in the chamber
US7628897B2 (en) 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US6802944B2 (en) 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US6853043B2 (en) 2002-11-04 2005-02-08 Applied Materials, Inc. Nitrogen-free antireflective coating for use with photolithographic patterning
JP2004165317A (ja) 2002-11-12 2004-06-10 Renesas Technology Corp 半導体装置およびその製造方法
KR100862658B1 (ko) 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
US6861332B2 (en) 2002-11-21 2005-03-01 Intel Corporation Air gap interconnect method
US6713873B1 (en) 2002-11-27 2004-03-30 Intel Corporation Adhesion between dielectric materials
KR100898580B1 (ko) 2002-12-07 2009-05-20 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US6858532B2 (en) 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
JP3838969B2 (ja) 2002-12-17 2006-10-25 沖電気工業株式会社 ドライエッチング方法
DE10260352A1 (de) 2002-12-20 2004-07-15 Infineon Technologies Ag Verfahren zum Herstellen einer Kondensatoranordnung und Kondensatoranordnung
US20040118344A1 (en) 2002-12-20 2004-06-24 Lam Research Corporation System and method for controlling plasma with an adjustable coupling to ground circuit
US6806949B2 (en) 2002-12-31 2004-10-19 Tokyo Electron Limited Monitoring material buildup on system components by optical emission
US6720213B1 (en) 2003-01-15 2004-04-13 International Business Machines Corporation Low-K gate spacers by fluorine implantation
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7500445B2 (en) 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US7316761B2 (en) 2003-02-03 2008-01-08 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
JP4041974B2 (ja) 2003-02-05 2008-02-06 株式会社Nhvコーポレーション 電子線照射装置
US7078351B2 (en) 2003-02-10 2006-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist intensive patterning and processing
US6982175B2 (en) 2003-02-14 2006-01-03 Unaxis Usa Inc. End point detection in time division multiplexed etch processes
CN101457338B (zh) 2003-02-14 2011-04-27 应用材料股份有限公司 利用含氢自由基清洁自生氧化物的方法和设备
DE10308870B4 (de) 2003-02-28 2006-07-27 Austriamicrosystems Ag Bipolartransistor mit verbessertem Basis-Emitter-Übergang und Verfahren zur Herstellung
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
WO2004082007A1 (ja) 2003-03-12 2004-09-23 Tokyo Electron Limited 半導体処理用の基板保持構造及びプラズマ処理装置
US20040182315A1 (en) 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US6951821B2 (en) 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US7037376B2 (en) 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US7575692B2 (en) 2003-04-11 2009-08-18 Hoya Corporation Method for etching chromium thin film and method for producing photomask
US7126225B2 (en) 2003-04-15 2006-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for manufacturing a semiconductor wafer with reduced delamination and peeling
US6872909B2 (en) 2003-04-16 2005-03-29 Applied Science And Technology, Inc. Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US20040211357A1 (en) 2003-04-24 2004-10-28 Gadgil Pradad N. Method of manufacturing a gap-filled structure of a semiconductor device
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US6903511B2 (en) 2003-05-06 2005-06-07 Zond, Inc. Generation of uniformly-distributed plasma
DE10320472A1 (de) 2003-05-08 2004-12-02 Kolektor D.O.O. Plasmabehandlung zur Reinigung von Kupfer oder Nickel
KR20040096365A (ko) 2003-05-09 2004-11-16 주식회사 하이닉스반도체 반도체소자의 제조방법
US6713835B1 (en) 2003-05-22 2004-03-30 International Business Machines Corporation Method for manufacturing a multi-level interconnect structure
US7081414B2 (en) 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
JP4108633B2 (ja) 2003-06-20 2008-06-25 シャープ株式会社 薄膜トランジスタおよびその製造方法ならびに電子デバイス
US7067432B2 (en) 2003-06-26 2006-06-27 Applied Materials, Inc. Methodology for in-situ and real-time chamber condition monitoring and process recovery during plasma processing
US7151277B2 (en) 2003-07-03 2006-12-19 The Regents Of The University Of California Selective etching of silicon carbide films
JP4245996B2 (ja) 2003-07-07 2009-04-02 株式会社荏原製作所 無電解めっきによるキャップ膜の形成方法およびこれに用いる装置
JP2005033023A (ja) 2003-07-07 2005-02-03 Sony Corp 半導体装置の製造方法および半導体装置
US7368392B2 (en) 2003-07-10 2008-05-06 Applied Materials, Inc. Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode
US6995073B2 (en) 2003-07-16 2006-02-07 Intel Corporation Air gap integration
JP3866694B2 (ja) 2003-07-30 2007-01-10 株式会社日立ハイテクノロジーズ Lsiデバイスのエッチング方法および装置
US7256134B2 (en) 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
JP4239750B2 (ja) 2003-08-13 2009-03-18 セイコーエプソン株式会社 マイクロレンズ及びマイクロレンズの製造方法、光学装置、光伝送装置、レーザプリンタ用ヘッド、並びにレーザプリンタ
US20050035455A1 (en) 2003-08-14 2005-02-17 Chenming Hu Device with low-k dielectric in close proximity thereto and its method of fabrication
US7521000B2 (en) 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
US7078312B1 (en) 2003-09-02 2006-07-18 Novellus Systems, Inc. Method for controlling etch process repeatability
US6903031B2 (en) 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US7282244B2 (en) 2003-09-05 2007-10-16 General Electric Company Replaceable plate expanded thermal plasma apparatus and method
US7030034B2 (en) 2003-09-18 2006-04-18 Micron Technology, Inc. Methods of etching silicon nitride substantially selectively relative to an oxide of aluminum
JP2005101141A (ja) 2003-09-24 2005-04-14 Renesas Technology Corp 半導体集積回路装置およびその製造方法
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
US7371688B2 (en) 2003-09-30 2008-05-13 Air Products And Chemicals, Inc. Removal of transition metal ternary and/or quaternary barrier materials from a substrate
US7071532B2 (en) 2003-09-30 2006-07-04 International Business Machines Corporation Adjustable self-aligned air gap dielectric for low capacitance wiring
JP4399227B2 (ja) 2003-10-06 2010-01-13 株式会社フジキン チャンバの内圧制御装置及び内圧被制御式チャンバ
WO2005036615A2 (en) 2003-10-06 2005-04-21 Applied Materials, Inc. Apparatus to improve wafer temperature uniformity for face-up wet processing
US7581511B2 (en) 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7125792B2 (en) 2003-10-14 2006-10-24 Infineon Technologies Ag Dual damascene structure and method
US7465358B2 (en) 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
US20070111519A1 (en) 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
JP2005129666A (ja) 2003-10-22 2005-05-19 Canon Inc 処理方法及び装置
JP2005129688A (ja) 2003-10-23 2005-05-19 Hitachi Ltd 半導体装置の製造方法
US7053994B2 (en) 2003-10-28 2006-05-30 Lam Research Corporation Method and apparatus for etch endpoint detection
KR100561848B1 (ko) 2003-11-04 2006-03-16 삼성전자주식회사 헬리컬 공진기형 플라즈마 처리 장치
US7709392B2 (en) 2003-11-05 2010-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Low K dielectric surface damage control
KR100550808B1 (ko) 2003-11-17 2006-02-09 주식회사 에스테크 전자파 차폐 성능이 우수한 다층 구조의 시트 및 그 제조방법
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US20050112876A1 (en) 2003-11-26 2005-05-26 Chih-Ta Wu Method to form a robust TiCI4 based CVD TiN film
US7202172B2 (en) 2003-12-05 2007-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Microelectronic device having disposable spacer
US7081407B2 (en) 2003-12-16 2006-07-25 Lam Research Corporation Method of preventing damage to porous low-k materials during resist stripping
US20050136684A1 (en) * 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
US6958286B2 (en) 2004-01-02 2005-10-25 International Business Machines Corporation Method of preventing surface roughening during hydrogen prebake of SiGe substrates
US6893967B1 (en) 2004-01-13 2005-05-17 Advanced Micro Devices, Inc. L-shaped spacer incorporating or patterned using amorphous carbon or CVD organic materials
WO2005072211A2 (en) 2004-01-20 2005-08-11 Mattson Technology, Inc. System and method for removal of photoresist and residues following contact etch with a stop layer present
US20060033678A1 (en) 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US7012027B2 (en) 2004-01-27 2006-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Zirconium oxide and hafnium oxide etching using halogen containing chemicals
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US7291550B2 (en) 2004-02-13 2007-11-06 Chartered Semiconductor Manufacturing Ltd. Method to form a contact hole
JP4698251B2 (ja) 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US20070123051A1 (en) 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US20060051966A1 (en) 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
WO2005087974A2 (en) 2004-03-05 2005-09-22 Applied Materials, Inc. Cvd processes for the deposition of amorphous carbon films
US8037896B2 (en) 2004-03-09 2011-10-18 Mks Instruments, Inc. Pressure regulation in remote zones
US7196342B2 (en) 2004-03-10 2007-03-27 Cymer, Inc. Systems and methods for reducing the influence of plasma-generated debris on the internal components of an EUV light source
US7682985B2 (en) 2004-03-17 2010-03-23 Lam Research Corporation Dual doped polysilicon and silicon germanium etch
US7109521B2 (en) 2004-03-18 2006-09-19 Cree, Inc. Silicon carbide semiconductor structures including multiple epitaxial layers having sidewalls
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7244474B2 (en) 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7291360B2 (en) 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7697260B2 (en) 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
US7358192B2 (en) * 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US7018941B2 (en) 2004-04-21 2006-03-28 Applied Materials, Inc. Post treatment of low k dielectric films
TWI249774B (en) 2004-04-23 2006-02-21 Nanya Technology Corp Forming method of self-aligned contact for semiconductor device
US7115974B2 (en) 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
CN101124661A (zh) 2004-05-11 2008-02-13 应用材料公司 碳氟化合物蚀刻化学剂中使用氢气添加剂的掺碳的硅氧化物蚀刻
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
CN100594619C (zh) 2004-05-21 2010-03-17 株式会社半导体能源研究所 半导体器件及其制造方法
US7049200B2 (en) 2004-05-25 2006-05-23 Applied Materials Inc. Method for forming a low thermal budget spacer
US7651583B2 (en) 2004-06-04 2010-01-26 Tokyo Electron Limited Processing system and method for treating a substrate
US20050274324A1 (en) 2004-06-04 2005-12-15 Tokyo Electron Limited Plasma processing apparatus and mounting unit thereof
US7226852B1 (en) 2004-06-10 2007-06-05 Lam Research Corporation Preventing damage to low-k materials during resist stripping
US7253107B2 (en) 2004-06-17 2007-08-07 Asm International N.V. Pressure control system
US7122949B2 (en) 2004-06-21 2006-10-17 Neocera, Inc. Cylindrical electron beam generating/triggering device and method for generation of electrons
US20060005856A1 (en) 2004-06-29 2006-01-12 Applied Materials, Inc. Reduction of reactive gas attack on substrate heater
US20060000802A1 (en) 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US7097779B2 (en) 2004-07-06 2006-08-29 Tokyo Electron Limited Processing system and method for chemically treating a TERA layer
JP2006049817A (ja) 2004-07-07 2006-02-16 Showa Denko Kk プラズマ処理方法およびプラズマエッチング方法
US7845309B2 (en) 2004-07-13 2010-12-07 Nordson Corporation Ultra high speed uniform plasma processing system
KR100614648B1 (ko) 2004-07-15 2006-08-23 삼성전자주식회사 반도체 소자 제조에 사용되는 기판 처리 장치
KR100584485B1 (ko) 2004-07-20 2006-05-29 동부일렉트로닉스 주식회사 반도체 소자의 금속 부식 방지 방법
US20060016783A1 (en) 2004-07-22 2006-01-26 Dingjun Wu Process for titanium nitride removal
US7217626B2 (en) 2004-07-26 2007-05-15 Texas Instruments Incorporated Transistor fabrication methods using dual sidewall spacers
US7192863B2 (en) 2004-07-30 2007-03-20 Texas Instruments Incorporated Method of eliminating etch ridges in a dual damascene process
US7806077B2 (en) 2004-07-30 2010-10-05 Amarante Technologies, Inc. Plasma nozzle array for providing uniform scalable microwave plasma generation
KR101309334B1 (ko) 2004-08-02 2013-09-16 비코 인스트루먼츠 인코포레이티드 화학적 기상 증착 반응기용 멀티 가스 분배 인젝터
US20060024954A1 (en) 2004-08-02 2006-02-02 Zhen-Cheng Wu Copper damascene barrier and capping layer
US7247570B2 (en) 2004-08-19 2007-07-24 Micron Technology, Inc. Silicon pillars for vertical transistors
US20060043066A1 (en) 2004-08-26 2006-03-02 Kamp Thomas A Processes for pre-tapering silicon or silicon-germanium prior to etching shallow trenches
US20060042752A1 (en) 2004-08-30 2006-03-02 Rueger Neal R Plasma processing apparatuses and methods
WO2006026765A2 (en) 2004-09-01 2006-03-09 Axcelis Technologies, Inc. Plasma ashing process for increasing photoresist removal rate and plasma apparatus wuth cooling means
US7329576B2 (en) 2004-09-02 2008-02-12 Micron Technology, Inc. Double-sided container capacitors using a sacrificial layer
US7390710B2 (en) 2004-09-02 2008-06-24 Micron Technology, Inc. Protection of tunnel dielectric using epitaxial silicon
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US20060292846A1 (en) 2004-09-17 2006-12-28 Pinto Gustavo A Material management in substrate processing
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7053003B2 (en) 2004-10-27 2006-05-30 Lam Research Corporation Photoresist conditioning with hydrogen ramping
US20060093756A1 (en) 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
US20060097397A1 (en) 2004-11-10 2006-05-11 Russell Stephen W Method for forming a dual layer, low resistance metallization during the formation of a semiconductor device
US7618515B2 (en) 2004-11-15 2009-11-17 Tokyo Electron Limited Focus ring, plasma etching apparatus and plasma etching method
US7256121B2 (en) 2004-12-02 2007-08-14 Texas Instruments Incorporated Contact resistance reduction by new barrier stack process
FR2878913B1 (fr) 2004-12-03 2007-01-19 Cit Alcatel Controle des pressions partielles de gaz pour optimisation de procede
EP1831430A2 (en) 2004-12-21 2007-09-12 Applied Materials, Inc. An in-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20060130971A1 (en) 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
JP2006179693A (ja) 2004-12-22 2006-07-06 Shin Etsu Chem Co Ltd ヒータ付き静電チャック
US7365016B2 (en) 2004-12-27 2008-04-29 Dalsa Semiconductor Inc. Anhydrous HF release of process for MEMS devices
US20060148243A1 (en) 2004-12-30 2006-07-06 Jeng-Ho Wang Method for fabricating a dual damascene and polymer removal
US7253123B2 (en) 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
KR100610019B1 (ko) * 2005-01-11 2006-08-08 삼성전자주식회사 플라즈마 분배장치 및 이를 구비하는 건식 스트리핑 장치
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7829243B2 (en) 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
GB0502149D0 (en) 2005-02-02 2005-03-09 Boc Group Inc Method of operating a pumping system
US7341943B2 (en) 2005-02-08 2008-03-11 Taiwan Semiconductor Manufacturing Co., Ltd. Post etch copper cleaning using dry plasma
JP4475136B2 (ja) 2005-02-18 2010-06-09 東京エレクトロン株式会社 処理システム、前処理装置及び記憶媒体
US7344912B1 (en) 2005-03-01 2008-03-18 Spansion Llc Method for patterning electrically conducting poly(phenyl acetylene) and poly(diphenyl acetylene)
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
JP2006261217A (ja) 2005-03-15 2006-09-28 Canon Anelva Corp 薄膜形成方法
JP4518986B2 (ja) * 2005-03-17 2010-08-04 東京エレクトロン株式会社 大気搬送室、被処理体の処理後搬送方法、プログラム及び記憶媒体
KR100681390B1 (ko) 2005-03-18 2007-02-09 (주)한빛레이저 레이저빔의 초점위치를 임의의 3차원으로 고속이동 시킬 수 있는 광집속장치와 광편향장치를 이용한 반도체웨이퍼의 레이저 다이싱 및 스크라이빙 방법
US20060252252A1 (en) 2005-03-18 2006-11-09 Zhize Zhu Electroless deposition processes and compositions for forming interconnects
TW200734482A (en) 2005-03-18 2007-09-16 Applied Materials Inc Electroless deposition process on a contact containing silicon or silicide
US20060210723A1 (en) 2005-03-21 2006-09-21 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
KR100610465B1 (ko) 2005-03-25 2006-08-08 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US7442274B2 (en) 2005-03-28 2008-10-28 Tokyo Electron Limited Plasma etching method and apparatus therefor
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
KR100689826B1 (ko) 2005-03-29 2007-03-08 삼성전자주식회사 불소 함유된 화학적 식각 가스를 사용하는 고밀도 플라즈마화학기상증착 방법들 및 이를 채택하여 반도체 소자를제조하는 방법들
JP4860167B2 (ja) * 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法
US20060228889A1 (en) 2005-03-31 2006-10-12 Edelberg Erik A Methods of removing resist from substrates in resist stripping chambers
US7288482B2 (en) 2005-05-04 2007-10-30 International Business Machines Corporation Silicon nitride etching methods
US7431856B2 (en) 2005-05-18 2008-10-07 National Research Council Of Canada Nano-tip fabrication by spatially controlled etching
KR100745067B1 (ko) 2005-05-18 2007-08-01 주식회사 하이닉스반도체 반도체 소자의 트렌치 소자분리막 및 그 형성방법
US20060266288A1 (en) 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
JP4853857B2 (ja) 2005-06-15 2012-01-11 東京エレクトロン株式会社 基板の処理方法,コンピュータ読み取り可能な記録媒体及び基板処理装置
JP4554461B2 (ja) 2005-07-26 2010-09-29 株式会社日立ハイテクノロジーズ 半導体装置の製造方法
DE102006038885B4 (de) 2005-08-24 2013-10-10 Wonik Ips Co., Ltd. Verfahren zum Abscheiden einer Ge-Sb-Te-Dünnschicht
US20070056925A1 (en) 2005-09-09 2007-03-15 Lam Research Corporation Selective etch of films with high dielectric constant with H2 addition
US20070071888A1 (en) 2005-09-21 2007-03-29 Arulkumar Shanmugasundram Method and apparatus for forming device features in an integrated electroless deposition system
DE102005047081B4 (de) 2005-09-30 2019-01-31 Robert Bosch Gmbh Verfahren zum plasmalosen Ätzen von Silizium mit dem Ätzgas ClF3 oder XeF2
US8102123B2 (en) 2005-10-04 2012-01-24 Topanga Technologies, Inc. External resonator electrode-less plasma lamp and method of exciting with radio-frequency energy
KR100703014B1 (ko) 2005-10-26 2007-04-06 삼성전자주식회사 실리콘 산화물 식각액 및 이를 이용한 반도체 소자의 제조 방법
US7884032B2 (en) 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
US20070099806A1 (en) 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
EP1780779A3 (en) 2005-10-28 2008-06-11 Interuniversitair Microelektronica Centrum ( Imec) A plasma for patterning advanced gate stacks
US7696101B2 (en) 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
TWI331770B (en) 2005-11-04 2010-10-11 Applied Materials Inc Apparatus for plasma-enhanced atomic layer deposition
US20070107750A1 (en) 2005-11-14 2007-05-17 Sawin Herbert H Method of using NF3 for removing surface deposits from the interior of chemical vapor deposition chambers
JP4918778B2 (ja) 2005-11-16 2012-04-18 株式会社日立製作所 半導体集積回路装置の製造方法
US20070117396A1 (en) 2005-11-22 2007-05-24 Dingjun Wu Selective etching of titanium nitride with xenon difluoride
US7662723B2 (en) 2005-12-13 2010-02-16 Lam Research Corporation Methods and apparatus for in-situ substrate processing
US7405160B2 (en) 2005-12-13 2008-07-29 Tokyo Electron Limited Method of making semiconductor device
JP2007173383A (ja) 2005-12-20 2007-07-05 Sharp Corp トレンチ素子分離領域の形成方法、窒化シリコン膜ライナーの形成方法、半導体装置の製造方法
US7449538B2 (en) 2005-12-30 2008-11-11 Hynix Semiconductor Inc. Hard mask composition and method for manufacturing semiconductor device
JP2007191792A (ja) 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
US7494545B2 (en) 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
KR100752622B1 (ko) 2006-02-17 2007-08-30 한양대학교 산학협력단 원거리 플라즈마 발생장치
US20070207275A1 (en) 2006-02-21 2007-09-06 Applied Materials, Inc. Enhancement of remote plasma source clean for dielectric films
EP2001864A1 (en) 2006-03-16 2008-12-17 Novartis Pharma AG Heterocyclic organic compounds for the treatment of in particular melanoma
US8343280B2 (en) 2006-03-28 2013-01-01 Tokyo Electron Limited Multi-zone substrate temperature control system and method of operating
US7628574B2 (en) * 2006-03-28 2009-12-08 Arcus Technology, Inc. Apparatus and method for processing substrates using one or more vacuum transfer chamber units
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7906032B2 (en) 2006-03-31 2011-03-15 Tokyo Electron Limited Method for conditioning a process chamber
JP5042517B2 (ja) 2006-04-10 2012-10-03 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN100539080C (zh) 2006-04-12 2009-09-09 中芯国际集成电路制造(上海)有限公司 通过自对准形成多晶硅浮栅结构的方法
US20070243714A1 (en) 2006-04-18 2007-10-18 Applied Materials, Inc. Method of controlling silicon-containing polymer build up during etching by using a periodic cleaning step
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US7297564B1 (en) 2006-05-02 2007-11-20 Sharp Laboratories Of America, Inc. Fabrication of vertical sidewalls on (110) silicon substrates for use in Si/SiGe photodetectors
US7601607B2 (en) 2006-05-15 2009-10-13 Chartered Semiconductor Manufacturing, Ltd. Protruded contact and insertion of inter-layer-dielectric material to match damascene hardmask to improve undercut for low-k interconnects
JP5578389B2 (ja) 2006-05-16 2014-08-27 Nltテクノロジー株式会社 積層膜パターン形成方法及びゲート電極形成方法
JP2007311540A (ja) 2006-05-18 2007-11-29 Renesas Technology Corp 半導体装置の製造方法
US20070266946A1 (en) 2006-05-22 2007-11-22 Byung-Chul Choi Semiconductor device manufacturing apparatus and method of using the same
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US20070277734A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7932181B2 (en) 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US20070296967A1 (en) 2006-06-27 2007-12-27 Bhupendra Kumra Gupta Analysis of component for presence, composition and/or thickness of coating
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US7618889B2 (en) 2006-07-18 2009-11-17 Applied Materials, Inc. Dual damascene fabrication with low k materials
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
KR20080013174A (ko) 2006-08-07 2008-02-13 주식회사 하이닉스반도체 캐패시터의 스토리지노드 분리 방법
GB0616131D0 (en) * 2006-08-14 2006-09-20 Oxford Instr Plasma Technology Surface processing apparatus
US20080124937A1 (en) 2006-08-16 2008-05-29 Songlin Xu Selective etching method and apparatus
KR100818708B1 (ko) 2006-08-18 2008-04-01 주식회사 하이닉스반도체 표면 세정을 포함하는 반도체소자 제조방법
US7575007B2 (en) 2006-08-23 2009-08-18 Applied Materials, Inc. Chamber recovery after opening barrier over copper
US20080063810A1 (en) 2006-08-23 2008-03-13 Applied Materials, Inc. In-situ process state monitoring of chamber
US8110787B1 (en) 2006-08-23 2012-02-07 ON Semiconductor Trading, Ltd Image sensor with a reflective waveguide
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7452766B2 (en) 2006-08-31 2008-11-18 Micron Technology, Inc. Finned memory cells and the fabrication thereof
US20080075668A1 (en) * 2006-09-27 2008-03-27 Goldstein Alan H Security Device Using Reversibly Self-Assembling Systems
CN101153396B (zh) 2006-09-30 2010-06-09 中芯国际集成电路制造(上海)有限公司 等离子刻蚀方法
JP2008103645A (ja) 2006-10-20 2008-05-01 Toshiba Corp 半導体装置の製造方法
US20080099147A1 (en) 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
JP2008109043A (ja) 2006-10-27 2008-05-08 Oki Electric Ind Co Ltd 半導体装置の製造方法および半導体装置
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080102640A1 (en) 2006-10-30 2008-05-01 Applied Materials, Inc. Etching oxide with high selectivity to titanium nitride
US7880232B2 (en) 2006-11-01 2011-02-01 Micron Technology, Inc. Processes and apparatus having a semiconductor fin
US7725974B2 (en) 2006-11-02 2010-06-01 Hughes Randall L Shoe and foot cleaning and disinfecting system
US7700479B2 (en) 2006-11-06 2010-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning processes in the formation of integrated circuit interconnect structures
US20080178805A1 (en) * 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US7939422B2 (en) 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US20080142483A1 (en) 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
WO2008073906A2 (en) 2006-12-11 2008-06-19 Applied Materials, Inc. Dry photoresist stripping process and apparatus
JP2008148470A (ja) 2006-12-12 2008-06-26 Hitachi Ltd 集中巻コイルおよび集中巻きコイルの製造方法
TWM318795U (en) 2006-12-18 2007-09-11 Lighthouse Technology Co Ltd Package structure
WO2008074672A1 (en) 2006-12-20 2008-06-26 Nxp B.V. Improving adhesion of diffusion barrier on cu containing interconnect element
JP5229711B2 (ja) 2006-12-25 2013-07-03 国立大学法人名古屋大学 パターン形成方法、および半導体装置の製造方法
US20080156631A1 (en) * 2006-12-27 2008-07-03 Novellus Systems, Inc. Methods of Producing Plasma in a Container
US20080157225A1 (en) 2006-12-29 2008-07-03 Suman Datta SRAM and logic transistors with variable height multi-gate transistor architecture
US7808053B2 (en) 2006-12-29 2010-10-05 Intel Corporation Method, apparatus, and system for flash memory
KR20080063988A (ko) 2007-01-03 2008-07-08 삼성전자주식회사 중성빔을 이용한 식각장치
JP4421618B2 (ja) 2007-01-17 2010-02-24 東京エレクトロン株式会社 フィン型電界効果トランジスタの製造方法
US7728364B2 (en) 2007-01-19 2010-06-01 International Business Machines Corporation Enhanced mobility CMOS transistors with a V-shaped channel with self-alignment to shallow trench isolation
KR100878015B1 (ko) 2007-01-31 2009-01-13 삼성전자주식회사 산화물 제거 방법 및 이를 이용한 트렌치 매립 방법
JP2008205219A (ja) * 2007-02-20 2008-09-04 Masato Toshima シャワーヘッドおよびこれを用いたcvd装置
US20080202892A1 (en) 2007-02-27 2008-08-28 Smith John M Stacked process chambers for substrate vacuum processing tool
KR100853485B1 (ko) 2007-03-19 2008-08-21 주식회사 하이닉스반도체 리세스 게이트를 갖는 반도체 소자의 제조 방법
US20080233709A1 (en) 2007-03-22 2008-09-25 Infineon Technologies North America Corp. Method for removing material from a semiconductor
US7815814B2 (en) 2007-03-23 2010-10-19 Tokyo Electron Limited Method and system for dry etching a metal nitride
JP4988402B2 (ja) 2007-03-30 2012-08-01 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP5179476B2 (ja) 2007-04-17 2013-04-10 株式会社アルバック 成膜装置
JP5135879B2 (ja) 2007-05-21 2013-02-06 富士電機株式会社 炭化珪素半導体装置の製造方法
KR100777043B1 (ko) 2007-05-22 2007-11-16 주식회사 테스 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법
US8084105B2 (en) 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
US7807578B2 (en) 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
US7585716B2 (en) 2007-06-27 2009-09-08 International Business Machines Corporation High-k/metal gate MOSFET with reduced parasitic capacitance
KR100877107B1 (ko) 2007-06-28 2009-01-07 주식회사 하이닉스반도체 반도체 소자의 층간절연막 형성방법
KR101050454B1 (ko) 2007-07-02 2011-07-19 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 및 그 형성방법
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
JP5047881B2 (ja) 2007-07-13 2012-10-10 東京応化工業株式会社 窒化チタン剥離液、及び窒化チタン被膜の剥離方法
JP5583011B2 (ja) 2007-07-19 2014-09-03 コーニンクレッカ フィリップス エヌ ヴェ 照明装置データを送信するための方法、システム及び装置
DE102007033685A1 (de) 2007-07-19 2009-01-22 Robert Bosch Gmbh Verfahren zum Ätzen einer Schicht auf einem Silizium-Halbleitersubstrat
US8008166B2 (en) 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
EP2042516A1 (en) 2007-09-27 2009-04-01 Protaffin Biotechnologie AG Glycosaminoglycan-antagonising MCP-1 mutants and methods of using same
JP5316412B2 (ja) 2007-08-31 2013-10-16 東京エレクトロン株式会社 半導体装置の製造方法
JP5347294B2 (ja) 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US7781332B2 (en) 2007-09-19 2010-08-24 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics using a protective sidewall spacer
WO2009042137A2 (en) 2007-09-25 2009-04-02 Lam Research Corporation Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
US7838361B2 (en) 2007-09-28 2010-11-23 Hynix Semiconductor Inc. Method for fabricating recess gate in semiconductor device
US8298931B2 (en) 2007-09-28 2012-10-30 Sandisk 3D Llc Dual damascene with amorphous carbon for 3D deep via/trench application
US20090084317A1 (en) 2007-09-28 2009-04-02 Applied Materials, Inc. Atomic layer deposition chamber and components
WO2009049020A2 (en) 2007-10-11 2009-04-16 Valence Process Equipment, Inc. Chemical vapor deposition reactor
US7838390B2 (en) 2007-10-12 2010-11-23 Samsung Electronics Co., Ltd. Methods of forming integrated circuit devices having ion-cured electrically insulating layers therein
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US8252696B2 (en) 2007-10-22 2012-08-28 Applied Materials, Inc. Selective etching of silicon nitride
US7871926B2 (en) 2007-10-22 2011-01-18 Applied Materials, Inc. Methods and systems for forming at least one dielectric layer
JP5567486B2 (ja) 2007-10-31 2014-08-06 ラム リサーチ コーポレーション 窒化シリコン−二酸化シリコン高寿命消耗プラズマ処理構成部品
EP2208221A4 (en) * 2007-11-01 2010-12-15 Eugene Technology Co Ltd DEVICE FOR WAFER SURFACE TREATMENT USING AN INDUCTIVE COUPLED HIGH-FREQUENCY PLASMA
US7964040B2 (en) 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US8592318B2 (en) 2007-11-08 2013-11-26 Lam Research Corporation Pitch reduction using oxide spacer
US7704849B2 (en) 2007-12-03 2010-04-27 Micron Technology, Inc. Methods of forming trench isolation in silicon of a semiconductor substrate by plasma
DE202008016190U1 (de) 2007-12-04 2009-03-19 Parabel Ag Mehrschichtiges Solarelement
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
JP2009170890A (ja) 2007-12-18 2009-07-30 Takashima & Co Ltd 可撓性膜状太陽電池複層体
US8512509B2 (en) 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US20090170331A1 (en) 2007-12-27 2009-07-02 International Business Machines Corporation Method of forming a bottle-shaped trench by ion implantation
TWI427697B (zh) 2007-12-28 2014-02-21 Tokyo Electron Ltd 金屬膜及金屬氧化膜之蝕刻方法與半導體裝置之製造方法
US7910477B2 (en) 2007-12-28 2011-03-22 Texas Instruments Incorporated Etch residue reduction by ash methodology
US8018023B2 (en) 2008-01-14 2011-09-13 Kabushiki Kaisha Toshiba Trench sidewall protection by a carbon-rich layer in a semiconductor device
TW200933812A (en) 2008-01-30 2009-08-01 Promos Technologies Inc Process for forming trench isolation structure and semiconductor device produced thereby
US20090194810A1 (en) 2008-01-31 2009-08-06 Masahiro Kiyotoshi Semiconductor device using element isolation region of trench isolation structure and manufacturing method thereof
JP5108933B2 (ja) 2008-02-26 2012-12-26 京セラ株式会社 静電チャック
US8066895B2 (en) * 2008-02-28 2011-11-29 Applied Materials, Inc. Method to control uniformity using tri-zone showerhead
DE102008016425B4 (de) 2008-03-31 2015-11-19 Advanced Micro Devices, Inc. Verfahren zur Strukturierung einer Metallisierungsschicht durch Verringerung der durch Lackentfernung hervorgerufenen Schäden des dielektrischen Materials
US20090258162A1 (en) 2008-04-12 2009-10-15 Applied Materials, Inc. Plasma processing apparatus and method
JP2009266952A (ja) 2008-04-23 2009-11-12 Seiko Epson Corp デバイスの製造方法及び製造装置
US8318605B2 (en) 2008-04-25 2012-11-27 Applied Materials, Inc. Plasma treatment method for preventing defects in doped silicon oxide surfaces during exposure to atmosphere
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US20090274590A1 (en) 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma reactor electrostatic chuck having a coaxial rf feed and multizone ac heater power transmission through the coaxial feed
US20090275206A1 (en) 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma process employing multiple zone gas distribution for improved uniformity of critical dimension bias
US20090277587A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090277874A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8277670B2 (en) 2008-05-13 2012-10-02 Lam Research Corporation Plasma process with photoresist mask pretreatment
KR100998011B1 (ko) 2008-05-22 2010-12-03 삼성엘이디 주식회사 화학기상 증착장치
DE102008026134A1 (de) 2008-05-30 2009-12-17 Advanced Micro Devices, Inc., Sunnyvale Mikrostrukturbauelement mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
KR20090128913A (ko) 2008-06-11 2009-12-16 성균관대학교산학협력단 태양전지용 실리콘 기판의 텍스처링 장치 및 그 방법
JP2010003826A (ja) 2008-06-19 2010-01-07 Toshiba Corp 半導体装置の製造方法
JP5222040B2 (ja) 2008-06-25 2013-06-26 東京エレクトロン株式会社 マイクロ波プラズマ処理装置
JP5211332B2 (ja) 2008-07-01 2013-06-12 株式会社ユーテック プラズマcvd装置、dlc膜及び薄膜の製造方法
JP2010016147A (ja) * 2008-07-03 2010-01-21 Disco Abrasive Syst Ltd 粘着テープの貼着方法
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
WO2010008021A1 (ja) 2008-07-15 2010-01-21 キヤノンアネルバ株式会社 プラズマ処理方法及びプラズマ処理装置
JP2011253832A (ja) 2008-07-24 2011-12-15 Canon Anelva Corp レジストトリミング方法及びトリミング装置
KR20100013980A (ko) 2008-08-01 2010-02-10 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 형성 방법
EP2321846A4 (en) 2008-08-12 2012-03-14 Applied Materials Inc ELECTROSTATIC FODDER ASSEMBLY
EP2324687B1 (en) 2008-08-20 2016-01-27 Vision Dynamics Holding B.V. Device for generating a plasma discharge for patterning the surface of a substrate
US8268729B2 (en) 2008-08-21 2012-09-18 International Business Machines Corporation Smooth and vertical semiconductor fin structure
KR100997502B1 (ko) 2008-08-26 2010-11-30 금호석유화학 주식회사 개환된 프탈릭 언하이드라이드를 포함하는 유기 반사 방지막 조성물과 이의 제조방법
KR101025741B1 (ko) 2008-09-02 2011-04-04 주식회사 하이닉스반도체 수직 채널 트랜지스터의 활성필라 제조방법
US8871645B2 (en) 2008-09-11 2014-10-28 Applied Materials, Inc. Semiconductor devices suitable for narrow pitch applications and methods of fabrication thereof
US7709396B2 (en) 2008-09-19 2010-05-04 Applied Materials, Inc. Integral patterning of large features along with array using spacer mask patterning process flow
US7968441B2 (en) 2008-10-08 2011-06-28 Applied Materials, Inc. Dopant activation anneal to achieve less dopant diffusion (better USJ profile) and higher activation percentage
US7928003B2 (en) 2008-10-10 2011-04-19 Applied Materials, Inc. Air gap interconnects using carbon-based films
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8563090B2 (en) 2008-10-16 2013-10-22 Applied Materials, Inc. Boron film interface engineering
US20100099263A1 (en) 2008-10-20 2010-04-22 Applied Materials, Inc. Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
US8207470B2 (en) 2008-10-20 2012-06-26 Industry-University Cooperation Foundation Hanyang University Apparatus for generating remote plasma
US8173547B2 (en) 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US20100101727A1 (en) 2008-10-27 2010-04-29 Helin Ji Capacitively coupled remote plasma source with large operating pressure range
JP5396065B2 (ja) 2008-10-28 2014-01-22 株式会社日立製作所 半導体装置の製造方法
US20100144140A1 (en) 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
US20100147219A1 (en) 2008-12-12 2010-06-17 Jui Hai Hsieh High temperature and high voltage electrode assembly design
US8540844B2 (en) 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
KR20100074508A (ko) 2008-12-24 2010-07-02 주식회사 동부하이텍 반도체 소자의 제조 방법
JP2010154699A (ja) 2008-12-26 2010-07-08 Hitachi Ltd 磁束可変型回転電機
US20100183825A1 (en) 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
KR101587601B1 (ko) 2009-01-14 2016-01-25 삼성전자주식회사 비휘발성 메모리 장치의 제조 방법
US20100187694A1 (en) 2009-01-28 2010-07-29 Chen-Hua Yu Through-Silicon Via Sidewall Isolation Structure
US7964517B2 (en) 2009-01-29 2011-06-21 Texas Instruments Incorporated Use of a biased precoat for reduced first wafer defects in high-density plasma process
KR20100087915A (ko) 2009-01-29 2010-08-06 삼성전자주식회사 실린더형 스토리지 노드를 포함하는 반도체 메모리 소자 및그 제조 방법
JP5210191B2 (ja) 2009-02-03 2013-06-12 東京エレクトロン株式会社 窒化珪素膜のドライエッチング方法
KR101617781B1 (ko) 2009-02-13 2016-05-03 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 챔버 전극을 위한 rf 버스 및 rf 리턴 버스
US8148749B2 (en) * 2009-02-19 2012-04-03 Fairchild Semiconductor Corporation Trench-shielded semiconductor device
KR20100099535A (ko) 2009-03-03 2010-09-13 주성엔지니어링(주) 기판처리장치 및 그의 제조방법
US9378930B2 (en) 2009-03-05 2016-06-28 Applied Materials, Inc. Inductively coupled plasma reactor having RF phase control and methods of use thereof
CN102007565A (zh) * 2009-03-17 2011-04-06 德国罗特·劳股份有限公司 基片处理系统和基片处理方法
KR101539699B1 (ko) 2009-03-19 2015-07-27 삼성전자주식회사 3차원 구조의 비휘발성 메모리 소자 및 그 제조방법
US8312839B2 (en) 2009-03-24 2012-11-20 Applied Materials, Inc. Mixing frequency at multiple feeding points
US8026179B2 (en) 2009-04-09 2011-09-27 Macronix International Co., Ltd. Patterning method and integrated circuit structure
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8193075B2 (en) 2009-04-20 2012-06-05 Applied Materials, Inc. Remote hydrogen plasma with ion filter for terminating silicon dangling bonds
SG10201401671SA (en) 2009-04-21 2014-07-30 Applied Materials Inc Cvd apparatus for improved film thickness non-uniformity and particle performance
US20100317198A1 (en) * 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces
US8492292B2 (en) 2009-06-29 2013-07-23 Applied Materials, Inc. Methods of forming oxide layers on substrates
TWI490366B (zh) 2009-07-15 2015-07-01 Applied Materials Inc Cvd腔室之流體控制特徵結構
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20120223048A1 (en) 2009-08-26 2012-09-06 Veeco Process Equipment Inc. System for Fabricating a Pattern on Magnetic Recording Media
US8211808B2 (en) * 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
US8623148B2 (en) 2009-09-10 2014-01-07 Matheson Tri-Gas, Inc. NF3 chamber clean additive
US20110061812A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110065276A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110061810A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
JP5648349B2 (ja) 2009-09-17 2015-01-07 東京エレクトロン株式会社 成膜装置
US8329587B2 (en) 2009-10-05 2012-12-11 Applied Materials, Inc. Post-planarization densification
EP2315028A1 (en) 2009-10-26 2011-04-27 Atlas Antibodies AB PODXL protein in colorectal cancer
JP5257328B2 (ja) 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US8455364B2 (en) 2009-11-06 2013-06-04 International Business Machines Corporation Sidewall image transfer using the lithographic stack as the mandrel
US8742665B2 (en) 2009-11-18 2014-06-03 Applied Materials, Inc. Plasma source design
US8771538B2 (en) 2009-11-18 2014-07-08 Applied Materials, Inc. Plasma source design
CN102640216A (zh) 2009-11-30 2012-08-15 应用材料公司 处理硬盘驱动器基板的腔室
WO2011072143A2 (en) 2009-12-09 2011-06-16 Novellus Systems, Inc. Novel gap fill integration
US8202803B2 (en) 2009-12-11 2012-06-19 Tokyo Electron Limited Method to remove capping layer of insulation dielectric in interconnect structures
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
JP4927158B2 (ja) 2009-12-25 2012-05-09 東京エレクトロン株式会社 基板処理方法、その基板処理方法を実行させるためのプログラムを記録した記録媒体及び基板処理装置
JP5710209B2 (ja) 2010-01-18 2015-04-30 東京エレクトロン株式会社 電磁波給電機構およびマイクロ波導入機構
JP5166458B2 (ja) 2010-01-22 2013-03-21 株式会社東芝 半導体装置及びその製造方法
JP5608384B2 (ja) 2010-02-05 2014-10-15 東京エレクトロン株式会社 半導体装置の製造方法及びプラズマエッチング装置
US8361338B2 (en) 2010-02-11 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hard mask removal method
US8456009B2 (en) 2010-02-18 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having an air-gap region and a method of manufacturing the same
CN102844848A (zh) 2010-03-05 2012-12-26 应用材料公司 通过自由基成分化学气相沉积的共形层
JP5450187B2 (ja) 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
EP2548238B8 (en) 2010-03-16 2015-06-17 SanDisk 3D, LLC Method of forming bottom electrodes for use with metal oxide resistivity switching layers
US8435902B2 (en) 2010-03-17 2013-05-07 Applied Materials, Inc. Invertable pattern loading with dry etch
US8288268B2 (en) 2010-04-29 2012-10-16 International Business Machines Corporation Microelectronic structure including air gap
US20110265951A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system
US8475674B2 (en) 2010-04-30 2013-07-02 Applied Materials, Inc. High-temperature selective dry etch having reduced post-etch solid residue
US20110265884A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system with shared vacuum pump
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8373239B2 (en) 2010-06-08 2013-02-12 International Business Machines Corporation Structure and method for replacement gate MOSFET with self-aligned contact using sacrificial mandrel dielectric
US20110304078A1 (en) * 2010-06-14 2011-12-15 Applied Materials, Inc. Methods for removing byproducts from load lock chambers
US20120009796A1 (en) 2010-07-09 2012-01-12 Applied Materials, Inc. Post-ash sidewall healing
US8278203B2 (en) 2010-07-28 2012-10-02 Sandisk Technologies Inc. Metal control gate formation in non-volatile storage
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
KR20120029291A (ko) 2010-09-16 2012-03-26 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8183134B2 (en) 2010-10-19 2012-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method with improved epitaxial quality of III-V compound on silicon surfaces
WO2012058377A2 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Methods for etching oxide layers using process gas pulsing
JP5544343B2 (ja) 2010-10-29 2014-07-09 東京エレクトロン株式会社 成膜装置
US9111994B2 (en) 2010-11-01 2015-08-18 Magnachip Semiconductor, Ltd. Semiconductor device and method of fabricating the same
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US8389416B2 (en) 2010-11-22 2013-03-05 Tokyo Electron Limited Process for etching silicon with selectivity to silicon-germanium
KR20120058962A (ko) 2010-11-30 2012-06-08 삼성전자주식회사 반도체 장치의 제조 방법
US8475103B2 (en) 2010-12-09 2013-07-02 Hamilton Sundstand Corporation Sealing washer assembly for large diameter holes on flat surfaces
US8470713B2 (en) 2010-12-13 2013-06-25 International Business Machines Corporation Nitride etch for improved spacer uniformity
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
JP5728221B2 (ja) 2010-12-24 2015-06-03 東京エレクトロン株式会社 基板処理方法及び記憶媒体
KR101529578B1 (ko) 2011-01-14 2015-06-19 성균관대학교산학협력단 플라즈마 기판 처리 장치 및 방법
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8363476B2 (en) 2011-01-19 2013-01-29 Macronix International Co., Ltd. Memory device, manufacturing method and operating method of the same
US9018692B2 (en) 2011-01-19 2015-04-28 Macronix International Co., Ltd. Low cost scalable 3D memory
KR101744372B1 (ko) * 2011-01-20 2017-06-07 도쿄엘렉트론가부시키가이샤 진공 처리 장치
KR101732936B1 (ko) 2011-02-14 2017-05-08 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9281207B2 (en) 2011-02-28 2016-03-08 Inpria Corporation Solution processible hardmasks for high resolution lithography
WO2012118951A2 (en) 2011-03-01 2012-09-07 King Abdullah University Of Science And Technology Silicon germanium mask for deep silicon etching
JP6114698B2 (ja) 2011-03-01 2017-04-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated デュアルロードロック構成内の除害及びストリップ処理チャンバ
CN203205393U (zh) * 2011-03-01 2013-09-18 应用材料公司 用于转移基板及限制自由基的箍组件
FR2972563B1 (fr) 2011-03-07 2013-03-01 Altis Semiconductor Snc Procédé de traitement d'une couche de nitrure de métal oxydée
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
JP5837178B2 (ja) 2011-03-22 2015-12-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 化学気相堆積チャンバ用のライナアセンブリ
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP6003011B2 (ja) * 2011-03-31 2016-10-05 東京エレクトロン株式会社 基板処理装置
JP5815967B2 (ja) 2011-03-31 2015-11-17 東京エレクトロン株式会社 基板洗浄装置及び真空処理システム
US8460569B2 (en) 2011-04-07 2013-06-11 Varian Semiconductor Equipment Associates, Inc. Method and system for post-etch treatment of patterned substrate features
US8415250B2 (en) 2011-04-29 2013-04-09 International Business Machines Corporation Method of forming silicide contacts of different shapes selectively on regions of a semiconductor device
US8298954B1 (en) 2011-05-06 2012-10-30 International Business Machines Corporation Sidewall image transfer process employing a cap material layer for a metal nitride layer
US20120285621A1 (en) * 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
US9012283B2 (en) 2011-05-16 2015-04-21 International Business Machines Corporation Integrated circuit (IC) chip having both metal and silicon gate field effect transistors (FETs) and method of manufacture
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
KR101390900B1 (ko) * 2011-05-31 2014-04-30 세메스 주식회사 기판처리장치
US20140191618A1 (en) * 2011-06-07 2014-07-10 Youtec Co., Ltd. Poling treatment method, plasma poling device, piezoelectric body and manufacturing method thereof, film forming device and etching device, and lamp annealing device
EP2720518B1 (en) 2011-06-09 2016-12-28 Korea Basic Science Institute Plasma-generating source comprising a belt-type magnet, and thin-film deposition system using same
US8637372B2 (en) 2011-06-29 2014-01-28 GlobalFoundries, Inc. Methods for fabricating a FINFET integrated circuit on a bulk silicon substrate
US8883637B2 (en) * 2011-06-30 2014-11-11 Novellus Systems, Inc. Systems and methods for controlling etch selectivity of various materials
US9117867B2 (en) 2011-07-01 2015-08-25 Applied Materials, Inc. Electrostatic chuck assembly
US9054048B2 (en) 2011-07-05 2015-06-09 Applied Materials, Inc. NH3 containing plasma nitridation of a layer on a substrate
KR20110086540A (ko) 2011-07-12 2011-07-28 조인숙 불소화합물을 이용한 필름의 선택적인 식각 방법
JP2013033965A (ja) * 2011-07-29 2013-02-14 Semes Co Ltd 基板処理装置、基板処理設備、及び基板処理方法
US20130034666A1 (en) 2011-08-01 2013-02-07 Applied Materials, Inc. Inductive plasma sources for wafer processing and chamber cleaning
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
KR101271247B1 (ko) 2011-08-02 2013-06-07 주식회사 유진테크 에피택셜 공정을 위한 반도체 제조설비
CN102915902B (zh) 2011-08-02 2015-11-25 中微半导体设备(上海)有限公司 一种电容耦合式的等离子体处理装置及其基片加工方法
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US20130217243A1 (en) 2011-09-09 2013-08-22 Applied Materials, Inc. Doping of dielectric layers
US8808562B2 (en) 2011-09-12 2014-08-19 Tokyo Electron Limited Dry metal etching method
US20130260564A1 (en) 2011-09-26 2013-10-03 Applied Materials, Inc. Insensitive dry removal process for semiconductor integration
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8664012B2 (en) 2011-09-30 2014-03-04 Tokyo Electron Limited Combined silicon oxide etch and contamination removal process
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
JP5740281B2 (ja) 2011-10-20 2015-06-24 東京エレクトロン株式会社 金属膜のドライエッチング方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5779482B2 (ja) 2011-11-15 2015-09-16 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US8652298B2 (en) 2011-11-21 2014-02-18 Lam Research Corporation Triode reactor design with multiple radiofrequency powers
US8900364B2 (en) 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
KR20130072911A (ko) 2011-12-22 2013-07-02 에스케이하이닉스 주식회사 비휘발성 메모리 장치 및 그 제조 방법
US8603891B2 (en) 2012-01-20 2013-12-10 Micron Technology, Inc. Methods for forming vertical memory devices and apparatuses
US8747686B2 (en) 2012-01-27 2014-06-10 Applied Materials, Inc. Methods of end point detection for substrate fabrication processes
US20130175654A1 (en) 2012-02-10 2013-07-11 Sylvain Muckenhirn Bulk nanohole structures for thermoelectric devices and methods for making the same
KR102068186B1 (ko) * 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
CN113862634A (zh) 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
US8937800B2 (en) 2012-04-24 2015-01-20 Applied Materials, Inc. Electrostatic chuck with advanced RF and temperature uniformity
US20130284369A1 (en) 2012-04-26 2013-10-31 Applied Materials, Inc. Two-phase operation of plasma chamber by phase locked loop
US9948214B2 (en) 2012-04-26 2018-04-17 Applied Materials, Inc. High temperature electrostatic chuck with real-time heat zone regulating capability
US9161428B2 (en) 2012-04-26 2015-10-13 Applied Materials, Inc. Independent control of RF phases of separate coils of an inductively coupled plasma reactor
KR20190124348A (ko) 2012-04-26 2019-11-04 어플라이드 머티어리얼스, 인코포레이티드 Esc 본딩 접착제 부식을 방지하기 위한 방법들 및 장치
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9976215B2 (en) 2012-05-01 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film formation apparatus and process
JP2013235912A (ja) 2012-05-08 2013-11-21 Tokyo Electron Ltd 被処理基体をエッチングする方法、及びプラズマエッチング装置
US20130298942A1 (en) 2012-05-14 2013-11-14 Applied Materials, Inc. Etch remnant removal
FR2991320B1 (fr) 2012-06-05 2014-06-27 Commissariat Energie Atomique Procede de preparation d'amines methylees
US8974164B2 (en) 2012-06-26 2015-03-10 Newfrey Llc Plastic high heat fastener
US9034773B2 (en) 2012-07-02 2015-05-19 Novellus Systems, Inc. Removal of native oxide with high selectivity
US8916477B2 (en) 2012-07-02 2014-12-23 Novellus Systems, Inc. Polysilicon etch with high selectivity
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9184030B2 (en) 2012-07-19 2015-11-10 Lam Research Corporation Edge exclusion control with adjustable plasma exclusion zone ring
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8772888B2 (en) 2012-08-10 2014-07-08 Avalanche Technology Inc. MTJ MRAM with stud patterning
US8747680B1 (en) 2012-08-14 2014-06-10 Everspin Technologies, Inc. Method of manufacturing a magnetoresistive-based device
US8993058B2 (en) 2012-08-28 2015-03-31 Applied Materials, Inc. Methods and apparatus for forming tantalum silicate layers on germanium or III-V semiconductor devices
JP6027374B2 (ja) 2012-09-12 2016-11-16 東京エレクトロン株式会社 プラズマ処理装置及びフィルタユニット
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US20140099794A1 (en) 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9018022B2 (en) 2012-09-24 2015-04-28 Lam Research Corporation Showerhead electrode assembly in a capacitively coupled plasma processing apparatus
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
JP6035117B2 (ja) 2012-11-09 2016-11-30 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9777564B2 (en) 2012-12-03 2017-10-03 Pyrophase, Inc. Stimulating production from oil wells using an RF dipole antenna
US20140166618A1 (en) 2012-12-14 2014-06-19 The Penn State Research Foundation Ultra-high speed anisotropic reactive ion etching
US9982343B2 (en) 2012-12-14 2018-05-29 Applied Materials, Inc. Apparatus for providing plasma to a process chamber
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
JP6328931B2 (ja) 2012-12-31 2018-05-23 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC フォトレジストパターントリミング方法
US9093389B2 (en) 2013-01-16 2015-07-28 Applied Materials, Inc. Method of patterning a silicon nitride dielectric film
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
JP2014154421A (ja) 2013-02-12 2014-08-25 Tokyo Electron Ltd プラズマ処理装置、プラズマ処理方法、および高周波発生器
US20140234466A1 (en) 2013-02-21 2014-08-21 HGST Netherlands B.V. Imprint mold and method for making using sidewall spacer line doubling
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
TWI487004B (zh) 2013-03-01 2015-06-01 Winbond Electronics Corp 圖案化的方法及記憶體元件的形成方法
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US8859433B2 (en) 2013-03-11 2014-10-14 International Business Machines Corporation DSA grapho-epitaxy process with etch stop material
US20140262031A1 (en) 2013-03-12 2014-09-18 Sergey G. BELOSTOTSKIY Multi-mode etch chamber source assembly
US8946023B2 (en) 2013-03-12 2015-02-03 Sandisk Technologies Inc. Method of making a vertical NAND device using sequential etching of multilayer stacks
TWI625424B (zh) 2013-03-13 2018-06-01 應用材料股份有限公司 蝕刻包含過渡金屬的膜之方法
US20140273451A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Tungsten deposition sequence
US9556507B2 (en) 2013-03-14 2017-01-31 Applied Materials, Inc. Yttria-based material coated chemical vapor deposition chamber heater
US9006106B2 (en) 2013-03-14 2015-04-14 Applied Materials, Inc. Method of removing a metal hardmask
US8946076B2 (en) 2013-03-15 2015-02-03 Micron Technology, Inc. Methods of fabricating integrated structures, and methods of forming vertically-stacked memory cells
US20140288528A1 (en) 2013-03-15 2014-09-25 Dr. Py Institute, Llc Single-use needle assembly and method
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9276011B2 (en) 2013-03-15 2016-03-01 Micron Technology, Inc. Cell pillar structures and integrated flows
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US20140308758A1 (en) 2013-04-10 2014-10-16 Applied Materials, Inc. Patterning magnetic memory
US20140311581A1 (en) 2013-04-19 2014-10-23 Applied Materials, Inc. Pressure controller configuration for semiconductor processing applications
US20140342569A1 (en) 2013-05-16 2014-11-20 Applied Materials, Inc. Near surface etch selectivity enhancement
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US8871651B1 (en) 2013-07-12 2014-10-28 Globalfoundries Inc. Mask formation processing
KR102154112B1 (ko) 2013-08-01 2020-09-09 삼성전자주식회사 금속 배선들을 포함하는 반도체 장치 및 그 제조 방법
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
JP5837012B2 (ja) 2013-09-12 2015-12-24 ラピスセミコンダクタ株式会社 モニタリング方法、プラズマモニタリング方法、モニタリングシステム及びプラズマモニタリングシステム
US9230980B2 (en) 2013-09-15 2016-01-05 Sandisk Technologies Inc. Single-semiconductor-layer channel in a memory opening for a three-dimensional non-volatile memory device
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9051655B2 (en) 2013-09-16 2015-06-09 Applied Materials, Inc. Boron ionization for aluminum oxide etch enhancement
US8980758B1 (en) 2013-09-17 2015-03-17 Applied Materials, Inc. Methods for etching an etching stop layer utilizing a cyclical etching process
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
CN104956476B (zh) 2013-11-06 2017-11-14 马特森技术有限公司 用于垂直nand器件的新型掩模去除方法策略
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9330937B2 (en) 2013-11-13 2016-05-03 Intermolecular, Inc. Etching of semiconductor structures that include titanium-based layers
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US20150170926A1 (en) 2013-12-16 2015-06-18 David J. Michalak Dielectric layers having ordered elongate pores
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US20150170879A1 (en) 2013-12-17 2015-06-18 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US20150171008A1 (en) 2013-12-17 2015-06-18 GLOBAL FOUNDRIES Singapore Ptd. Ltd. Integrated circuits with dummy contacts and methods for producing such integrated circuits
US20150170943A1 (en) 2013-12-17 2015-06-18 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9111907B2 (en) 2014-01-02 2015-08-18 Globalfoundries Inc. Silicide protection during contact metallization and resulting semiconductor structures
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US20150214066A1 (en) 2014-01-27 2015-07-30 Applied Materials, Inc. Method for material removal in dry etch reactor
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
KR102175763B1 (ko) 2014-04-09 2020-11-09 삼성전자주식회사 반도체 메모리 장치 및 이의 제조 방법
US9881788B2 (en) 2014-05-22 2018-01-30 Lam Research Corporation Back side deposition apparatus and applications
US20150345029A1 (en) 2014-05-28 2015-12-03 Applied Materials, Inc. Metal removal
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US20150371865A1 (en) 2014-06-19 2015-12-24 Applied Materials, Inc. High selectivity gas phase silicon nitride removal
US20150371861A1 (en) 2014-06-23 2015-12-24 Applied Materials, Inc. Protective silicon oxide patterning
US20160005833A1 (en) 2014-07-03 2016-01-07 Applied Materials, Inc. Feol low-k spacers
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US20160042968A1 (en) 2014-08-05 2016-02-11 Applied Materials, Inc. Integrated oxide and si etch for 3d cell channel mobility improvements
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160237570A1 (en) 2015-02-13 2016-08-18 Applied Materials, Inc. Gas delivery apparatus for process equipment
US9275834B1 (en) 2015-02-20 2016-03-01 Applied Materials, Inc. Selective titanium nitride etch
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5913978A (en) * 1995-04-20 1999-06-22 Tokyo Electron Ltd. Apparatus and method for regulating pressure in two chambers
US20060020797A1 (en) * 2004-07-08 2006-01-26 Kan Zhang Method for verifying a secure association between devices
US20060215347A1 (en) * 2005-03-28 2006-09-28 Tokyo Electron Limited Processing apparatus and recording medium
US20090014324A1 (en) * 2006-10-26 2009-01-15 Mark Naoshi Kawaguchi Integrated apparatus for efficient removal of halogen residues from etched substrates
US20090014127A1 (en) * 2007-07-12 2009-01-15 Applied Materials, Inc. Systems for plasma enhanced chemical vapor deposition and bevel edge etching
US20100129974A1 (en) * 2008-11-26 2010-05-27 Renesas Technology Corp. Method for manufacturing a semiconductor integrated circuit device circuit device
US20110266256A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Methods for processing substrates in process systems having shared resources

Also Published As

Publication number Publication date
US20150332930A1 (en) 2015-11-19
TW201440138A (zh) 2014-10-16
CN110112053B (zh) 2023-05-12
US20140273488A1 (en) 2014-09-18
KR20150130521A (ko) 2015-11-23
US20140271097A1 (en) 2014-09-18
US9093371B2 (en) 2015-07-28
CN110112053A (zh) 2019-08-09
US9704723B2 (en) 2017-07-11
US9023732B2 (en) 2015-05-05
TW201820398A (zh) 2018-06-01
US20140273406A1 (en) 2014-09-18
US20160064233A1 (en) 2016-03-03
US9449850B2 (en) 2016-09-20
US20150235865A1 (en) 2015-08-20
CN105103266A (zh) 2015-11-25
US9991134B2 (en) 2018-06-05
KR102223662B1 (ko) 2021-03-04
US9153442B2 (en) 2015-10-06
TWI676205B (zh) 2019-11-01
US9184055B2 (en) 2015-11-10
US20140273481A1 (en) 2014-09-18
US20140273489A1 (en) 2014-09-18
US20160027673A1 (en) 2016-01-28
US20140262038A1 (en) 2014-09-18
TWI736946B (zh) 2021-08-21
TW201946098A (zh) 2019-12-01
WO2014149862A1 (en) 2014-09-25
US9659792B2 (en) 2017-05-23

Similar Documents

Publication Publication Date Title
TWI618135B (zh) 用於鹵化物驅氣的處理系統及方法
US10354888B2 (en) Method and apparatus for anisotropic tungsten etching
US10373840B2 (en) Technique to deposit sidewall passivation for high aspect ratio cylinder etch
KR102402866B1 (ko) 고 종횡비의 구조체들의 콘택 세정
TWI587391B (zh) 用於溝塡之保形膜沉積
JP6392760B2 (ja) ラジカルの化学的性質の複数の流れ経路を使用した調節及び制御
US20170229311A1 (en) Atomic layer etching in continuous plasma
WO2013052712A2 (en) Selective etch of silicon by way of metastable hydrogen termination
US20230298896A1 (en) Metal-based liner protection for high aspect ratio plasma etch
KR102581284B1 (ko) 공극을 형성하기 위한 시스템들 및 방법들
JP2023515065A (ja) コア除去
TW202219644A (zh) 用於在euv圖案化中減少缺陷的多層硬遮罩