JP6392760B2 - ラジカルの化学的性質の複数の流れ経路を使用した調節及び制御 - Google Patents

ラジカルの化学的性質の複数の流れ経路を使用した調節及び制御 Download PDF

Info

Publication number
JP6392760B2
JP6392760B2 JP2015533084A JP2015533084A JP6392760B2 JP 6392760 B2 JP6392760 B2 JP 6392760B2 JP 2015533084 A JP2015533084 A JP 2015533084A JP 2015533084 A JP2015533084 A JP 2015533084A JP 6392760 B2 JP6392760 B2 JP 6392760B2
Authority
JP
Japan
Prior art keywords
precursor
chamber
gas distribution
distribution assembly
remote plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2015533084A
Other languages
English (en)
Other versions
JP2015532016A (ja
Inventor
ニティン ケー. イングル,
ニティン ケー. イングル,
アンチョアン ワン,
アンチョアン ワン,
シンロン チェン,
シンロン チェン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2015532016A publication Critical patent/JP2015532016A/ja
Application granted granted Critical
Publication of JP6392760B2 publication Critical patent/JP6392760B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45514Mixing in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/12Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material
    • C23C18/125Process of deposition of the inorganic material
    • C23C18/1279Process of deposition of the inorganic material performed under reactive atmosphere, e.g. oxidising or reducing atmospheres
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/08Reaction chambers; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Thermal Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Description

関連出願の相互参照
本出願は、「ラジカル化学的性質の複数の流れ経路を使用した調節及び制御」と題した2012年9月21日出願の米国仮特許出願第61/704,241号の利益を主張する。この米国仮特許出願の全開示内容は、参照により本明細書に援用される。
本発明の技術は半導体プロセス及び機器に関する。さらに具体的には、本発明の技術は複数のプラズマ構成を有する処理システムに関する。
集積回路は、基板表面上に複雑なパターンの物質層を形成するプロセスによって可能になる。基板上のパターン付きの物質を形成するには、露出した物質を取り除く制御された方法が必要である。化学エッチングは、下位層にフォトレジストでパターンを転写する、層を薄くする、又は表面にすでにある特徴の横寸法を薄くすることを含む、様々な目的に使用される。多くの場合、一つの物質を別の物質よりも早くエッチングして、例えばパターン転写プロセスを促進するエッチングプロセスを有することが望ましい。上記エッチングプロセスは、第1の物質に対して選択的であると言われる。物質、回路、及びプロセスに多様性があるために、様々な物質に対して選択性を有するエッチングプロセスが開発されてきた。
湿式HFエッチングは、その他の誘電体及び半導体材料よりもシリコン酸化物を優先的に除去する。しかしながら、湿式プロセスは、いくつかの制約された溝に浸透することができず、しばしば残りの材料が変形してしまう。基板の処理領域内に形成された局所プラズマにおいて行われるドライエッチングは、より制約のある溝に浸透することができ、壊れやすい残りの構造の変形が抑えられる。しかしながら、局所プラズマは、局所プラズマが放電する時に作られる電気アークによって基板に損傷を与えうる。
したがって、前駆体の化学的性質、及びエッチングパラメータをより良く制御することが可能になる、半導体基板上の物質及び構造を選択的にエッチングする改善された方法及びシステムが必要である。これらの必要、及びその他の必要は本発明の技術によって対処される。
半導体の処理チャンバに関連するシステム及び方法を説明する。チャンバの処理領域に半導体基板を収納するように構成された例示のチャンバは、チャンバの第1のアクセスに流動的に結合された第1の遠隔プラズマシステムと、チャンバの第2のアクセスに流動的に結合された第2の遠隔プラズマシステムとを含むことができる。システムはさらに、第1の前駆体と第2の前駆体がチャンバの処理領域に送られるまで、第1の前駆体と第2の前駆体を互いに流動的に分離させた状態に維持しながら、チャンバの処理領域の中に第1の前駆体と第2の前駆体の両方を送るように構成することができるチャンバのガス分配アセンブリを含むこともできる。第1のアクセスは、チャンバの最上部の近く、又はチャンバの最上部に位置づけすることができ、第2のアクセスは、チャンバの側面部の近く、又はチャンバの側面部に位置づけすることができる。
ガス分配アセンブリは上方プレートと下方プレートとを含むことができ、上方プレートと下方プレートが互いに結合されて、プレート間に容積が画定されうる。プレートの結合は、上方プレートと下方プレートを通る第1の流体チャネルと、下方プレートを通る第2の流動チャネルとを提供しうる。結合は、容積から下方プレートを通る流体のアクセスを提供することもでき、第1の流体チャネルは、プレート間の容積と、第2の流体チャネルとから分離させることができる。容積は、チャンバの第2のアクセスと流動的に結合されたガス分配アセンブリの側面を通って流動的にアクセス可能である。
チャンバは、チャンバの第1のアクセスを介して、またガス分配アセンブリの第1の流体チャネルを介して、第1の遠隔プラズマシステムからチャンバの処理領域の中へ第1の前駆体を供給するように構成することができる。チャンバは、第2の前駆体を、第2の遠隔プラズマシステムからチャンバの第2のアクセスを介して、チャンバの中の上方プレートと下方プレートとの間に画定された容積の中へ、そしてガス分配アセンブリの第2の流体チャネルを介してチャンバの処理領域の中へ供給するように構成することもできる。ガス分配アセンブリは、ガス分配アセンブリの上方プレートを介して第2の前駆体が流れるのを防止するように構成することができる。第1の遠隔プラズマシステムは第1の物質を含むことができ、第2の遠隔プラズマシステムは第2の材料を含むことができる。第1の物質は、第1の前駆体の組成に基づいて選択することができ、第2の物質は、第2の前駆体の組成に基づいて選択することができる。第1の物質及び第2の物質は、本開示実施形態では異なる材料であってよい。第1の遠隔プラズマシステムと第2の遠隔プラズマシステムは、RFプラズマユニット、容量結合プラズマユニット、誘導結合プラズマユニット、マイクロ波プラズマユニット、及びトロイダルプラズマユニットからなる群から選択することもできる。第1の遠隔プラズマシステムと第2の遠隔プラズマシステムは、約10W〜約10kW以上の電力レベルで作動するように構成することができる。第1の遠隔プラズマシステムは、第1の前駆体の組成に基づいて選択された第1の電力レベルで作動するように構成することができ、第2の遠隔プラズマシステムは、第2の前駆体の組成に基づいて選択された第2の電力レベルで作動するように構成することができる。システムは、互いに異なった電力レベルで第1の遠隔プラズマユニットと第2の遠隔プラズマユニットが作動するように構成することができる。
半導体処理チャンバの作動方法は、第1の遠隔プラズマシステムを介して半導体処理チャンバの中へ第1の前駆体を流すことを含むことができる。この方法はまた、第2の遠隔プラズマシステムを介して半導体処理チャンバの中へ第2の前駆体を流すことを含むこともできる。第1の前駆体及び第2の前駆体を、処理チャンバの処理領域で混合することができ、チャンバの処理領域に入る前は互いに流動的に分離された状態で維持することができる。本発明の実施形態において、第1の前駆体はフッ素含有前駆体を含むことができ、第2の前駆体は水素含有前駆体を含むことができる。
上記手法は、従来の手法よりも多数の利点を提供することができる。例えば、異なる前駆体に基づいて異なるプラズマシステムそれぞれにおいて、改善されたプラズマプロファイルを使用することができる。加えて、各システムで処理される特定の前駆体の劣化の防止に特異的な物質から形成された異なるプラズマシステムを有することにより、システムの劣化が抑えられる。これら多数の利点及び特徴と共に、これらの実施形態及びその他の実施形態を、下記の説明及び添付の図面と合わせてさらに詳細に説明する。
本発明の手法の性質及び利点は、本明細書の残りの部分と図面を参照することによってさらに理解を深めることができる。
例示の処理ツールの一実施形態を示す上部平面図である。 例示の処理チャンバを示す概略断面図である。 本発明の手法による例示のシャワーヘッド構成の概略図である。 本発明の手法による例示のシャワーヘッド構成の概略図である。 本発明の手法による例示のシャワーヘッド構成の概略図である。 本発明の手法による例示のシャワーヘッド構成の概略図である。 本発明の手法による処理チャンバの簡略化された断面図である。 本発明の手法による半導体処理チャンバの作動方法のフロー図である。
添付の図面では、類似の構成要素及び/又は特徴に同じ数字の参照名が振られている場合がある。さらに、同じ種類の様々な構成要素は、ダッシュ記号と、類似の構成要素を区別する第2のラベルにより、参照ラベルに従って区別することができる。第1の参照ラベルのみが本明細書において使用されている場合、この説明は、第2の参照ラベルに関わらず、同じ第1の参照ラベルを有する類似の構成要素及び/又は特徴のすべてに適用可能である。
本発明の手法は、改善された流体供給機構を提供する半導体処理のためのシステムを含む。特定の乾式エッチング法は、遠隔プラズマシステムを用いて、処理チャンバの中へラジカル流体種を供給することを含む。例示の方法は、本明細書の特許請求の範囲、及び説明に反しない範囲において、参照によってその全体が本願に組み込まれる同一出願人による2012年4月4日出願の特許出願第13/439079号明細書に記載されている。幾つかのラジカル種を含みうる乾式エッチャント調合法を使用する際は、異なる流体から生成されたラジカル種が、遠隔プラズマチャンバと別々に相互作用しうる。例えば、エッチング用の前駆体の流体は、フッ素含有前駆体、及び水素含有前駆体を含むことができる。遠隔プラズマシステムのプラズマキャビティ、及び処理チャンバへの分配構成要素をコーティングする、又は内張りして、反応性ラジカルから保護することができる。例えば、アルミニウムのプラズマキャビティを、フッ素ラジカルからキャビティを守る酸化物又は窒化物でコーティングすることができる。しかしながら、前駆体が水素ラジカルも含有する場合、水素種により酸化アルミニウムがアルミニウムに変換される、又はアルミニウムに還元される可能性があり、その時にフッ素がアルミニウムと直接反応して、例えばフッ化アルミニウム等の望ましくない副産物が生じる場合がある。
従来の手法では、定期的なメンテナンスと、構成要素の交換によって望ましくない副作用に対処してきたが、本発明のシステムは、処理チャンバの中への流体の流れ経路を分離してラジカル前駆体を供給することによって、この必要を満たすものである。別々に前駆体の流体を送るようにそれぞれ構成された2以上の遠隔プラズマシステムを用いることによって、各システムを送られる流体に基づいて別々に保護することができる。発明者は意外にも、別々の遠隔プラズマシステムを介して前駆体種を供給することによって、各流体の特定の解離、及びプラズマ特性を調整することができ、これにより、エッチングの性能が改善されることを確認している。したがって、本明細書で説明したシステムは、化学特性の調節の点で柔軟性が改善されている。これらの利点、及びその他の利点を下に詳しく説明する。
残りの開示内容は、本発明の手法を利用した特定のエッチングプロセスを規定通りに識別するものであるが、システム及び方法は、記載されたチャンバで起こりうる堆積及び洗浄プロセスに等しく適用可能であることは、容易に理解できることである。したがって、この手法は、エッチングプロセスのみに限定されるものと考えるべきではない。
図1は、本発明の実施形態による、堆積、エッチング、ベーキング、及び/又は硬化チャンバの処理ツール100の一実施形態の上部平面図である。図面では、FOUP(前面開口型統一ポッド)102の対により基板(例:規定直径の半導体ウェハ)が供給され、この基板をロボットアーム104が受け、タンデム式処理チャンバ109a〜cの基板処理区域108a〜fのうちの一つの中に配置する前に、低圧保持領域106の中に置くことができる。第2のロボットアーム110を使用して、保持領域106から処理チャンバ108a〜fまで基板を搬送し、戻すことができる。
タンデム式処理チャンバ109a〜cの基板処理区域108a〜fには、基板又は膜をその上に堆積させる、アニールする、硬化させる及び/又はエッチングする一又は複数のシステム構成要素が含まれうる。例示の膜は流動性誘電体であってよいが、処理ツールで多数の種類の膜を形成する又は処理することができる。一構成では、処理チャンバ(例:108c〜d及び108e〜f)のタンデム式処理区域の2つの対を使用して、基板上に誘電材料を堆積させることができ、タンデム式処理区域の第3の対(例:108a〜b)を使用して、堆積した誘電体をアニールすることができる。別の構成では、処理チャンバのタンデム式処理区域の2つの対(例:108c〜d及び108e〜f)は、基板上の誘電膜の堆積及びアニールの両方を行うように構成することができ、タンデム式処理区域の第3の対(例:108a〜b)を使用して、堆積した膜を紫外線又は電子ビームにより硬化させることができる。さらに別の構成では、タンデム式処理区域の3つの対(例:108a〜f)は全て、基板上に誘電膜を堆積及び硬化させる、又は堆積した膜に特徴をエッチングするように構成することができる。
さらに別の構成では、タンデム式処理区域の2つの対(例:108c〜d及び108e〜f)を使用して、誘電体の堆積及び紫外線による硬化又は電子ビームによる硬化の両方を行うことができ、タンデム式処理区域の第3の対(例:108a〜b)を使用して、誘電膜をアニールすることができる。加えて、一又は複数のタンデム式処理区域108a〜fは処理チャンバとして構成することができ、湿式処理チャンバ、又はドライ処理チャンバであってよい。これらのプロセスチャンバは、湿気を含む大気中で誘電膜を加熱することを含むことができる。したがって、システム100の実施形態は、堆積した誘電膜上に湿式及びドライアニールの両方を行うための、湿式処理用タンデム式処理区域108a〜bと、アニール用タンデム式処理区域108c〜dを含むことができる。当然ながら、システム100においては、誘電膜の堆積、エッチング、アニール、及び硬化チャンバのさらなる構成が考えられる。
図2は、処理チャンバ内部の区分プラズマ生成領域を有する例示のプロセスチャンバ区域200の断面図である。膜(例:シリコン、ポリシリコン、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、又はオキシ炭化ケイ素)のエッチング中に、プロセスガスは、ガス注入口アセンブリ205を通って第1のプラズマ領域215の中へ流れることができる。遠隔プラズマシステム(RPS)201は第1のガスを処理することができ、第1のガスは次に、ガス流入口アセンブリ205を通って移動し、第2のRPS202が第2のガスを処理することができ、第2のガスは次に、プロセスチャンバ200の側面流入口を通って移動する。流入口アセンブリ205は、第2のチャネル(図示せず)がRPS201を迂回しうる2つの異なるガス供給チャネルを含むことができる。本発明の実施形態において、ある例では、RPSを介する第1のチャネルはプロセスガス用に使用可能であり、RPSを迂回する第2のチャネルは処理ガス用に使用可能である。RPS201内部の第1のプラズマ領域215に入る前に、プロセスガスを励起させることができる。上に基板支持体255が配置された冷却板203、面板217、シャワーヘッド225、及び基板支持体265を、本発明の実施形態にしたがって示す。面板217は、最上部が狭く、底部に向けて拡張して広くなっているピラミッド形、円錐形、又は同様の別の構造物であってよい。面板217は図示したように、さらに平坦で、プロセスガスを分配するために使用される複数の貫通チャネル(図示せず)を含んでいてよい。図示した面板(又は導電性の最上部)217とシャワーヘッド225の間には絶縁リング220があるため、シャワーヘッド225に対して面板217にAC電位を印加することができる。絶縁リング220を面板217とシャワーヘッド225の間に位置決めすることができ、これにより、第1のプラズマ領域に容量結合されたプラズマ(CCP)を形成することが可能になる。バッフル(図示せず)を第1のプラズマ領域215にさらに位置づけして、ガス注入口アセンブリ205を通って領域に流れる流体に影響を与えることができる。
例示の構成は、面板217によって第1のプラズマ領域215から分離されたガス供給領域の中に開口しているガス注入口アセンブリ205を含むことにより、ガス/種が面板217の孔を介して第1のプラズマ領域215へ流れる。構造特徴、及び動作特徴は、第1プラズマ領域215から、供給領域、ガス注入口アセンブリ205、流体供給システム210の中へのプラズマの大量逆流を防止するように選択することができる。構造特徴は、プラズマの逆流を止める面板217の開孔の寸法と断面形状の選択を含むことができる。動作特徴は、シャワーヘッド225を通るプラズマの流れを一方向に維持する、ガス供給領域と第1のプラズマ領域215との圧力差を維持することを含みうる。
前駆体、例えばフッ素含有前駆体等の流体は、本明細書に記載されたシャワーヘッドの実施形態によって処理領域233の中へ流れることができる。プラズマ領域215のプロセスガスから引き出された励起種は、シャワーヘッド225の開孔を通って移動し、シャワーヘッドの分離した部分から処理領域233の中へ流れる追加の前駆体と反応しうる。処理領域233にはわずかなプラズマしか存在しない、又はプラズマは全く存在しない可能性がある。前駆体の励起誘導体は、基板の上の領域で、そして時々は基板上で結合して、本願において基板上の構造をエッチングする、又は基板上の種を除去することができる。
第1のプラズマ領域215において流体を直接励起させるか、RPSユニット201及び202のいずれか又は両方で流体を励起させるかのいずれか又は両方を行うことにより、幾つかの利点が得られる。流体から引き出された励起種の濃度は、第1のプラズマ領域215のプラズマのために、処理領域233内部で上昇しうる。この上昇は、第1のプラズマ領域215のプラズマの位置による結果でありうる。処理領域233は、遠隔プラズマシステム(RPS)201よりも第1のプラズマ領域215により近く位置づけすることができ、これにより励起種が他のガス分子、チャンバの壁、及びシャワーヘッド表面と衝突することによって励起状態を離脱する時間が縮小する。
プロセスガスから引き出された励起種の濃度の均一性も、処理領域233内部で上がりうる。これは、処理領域233の形により類似しうる第1のプラズマ領域215の形に起因しうる。RPS201、202に発生した励起種は、シャワーヘッド225のエッジ近辺の開孔を通過するために、シャワーヘッド225の中央近辺の開孔を通過する種よりも長い距離を移動することができる。距離がより長いために励起種の励起状態が低下する場合があり、例えば基板のエッジ近辺の成長速度が遅くなりうる。第1のプラズマ領域215で流体を励起させることで、RPS201を通って流れる流体のこの変化を軽減することができる。
処理ガスをRPS201、202で励起させることができ、励起状態でシャワーヘッド225を処理領域233まで通過させることができる。あるいは、第1の処理領域に電源を投入して、プラズマガスを励起させるか、RPSからすでに励起されたプロセスガスをさらに励起させることができる。プラズマは処理領域233で生成されるが、プラズマは処理領域において生成されなくてもよい。一例では、処理ガス又は前駆体の励起は、RPS201、202の処理ガスを励起させて、処理領域233において互いに反応させることからのみであってよい。
処理システムはさらに、面板217及び/又はシャワーヘッド225へ電力を供給して、第1のプラズマ領域215又は処理領域233にプラズマを生成する処理チャンバに電気的結合された電源240を含むことができる。電源は、実施されるプロセスにより、チャンバに調節可能な量の電力を送るように構成することができる。
流体前駆体に加えて、供給を助けるキャリアガスを含む、様々な時点に様々な目的で導入されるその他のガスも存在しうる。チャンバの壁、基板、堆積膜、及び/又は堆積中の膜から不必要な種を除去するために、処理ガスを導入することができる。処理ガスはプラズマにおいて励起させて、その後にチャンバ内部の残留物含有量を低減する又は除去するために使用することができる。その他の本発明の実施形態では、処理ガスをプラズマなしで使用することができる。処理ガスが水蒸気を含む時は、質量流量計(MFM)、注入バルブ、又は市販の水蒸気発生器を使用して送ることができる。処理ガスは、RPSユニットを介してか、RPSユニットを迂回してかのいずれかで、第1の処理領域から導入することができ、第1のプラズマ領域でさらに励起させることができる。
さらなるデュアルチャネルシャワーヘッド、及びこの処理システムとチャンバは、本明細書の特許請求の範囲、及び説明に反しない範囲において、参照によってその全体が本願に組み込まれる同一出願人による2011年10月3日出願の特許出願第13/251714号明細書にさらに詳しく記載されている。
処理チャンバ区域200で使用されるガス分配アセンブリ225は、デュアルチャネルシャワーヘッド(DCSH)と呼ばれ、本明細書の図3A〜3Dに記載される実施形態に詳しく示される。デュアルチャネルシャワーヘッドにより、誘電材料の流動性のある堆積、及び作動中の前駆体と処理流体との分離を可能にすることができる。シャワーヘッドはあるいは、エッチングプロセスに用いることができ、これにより、反応ゾーン外のエッチング液を処理領域の中へ送る前に分離し、相互との相互作用、そしてチャンバ構成要素との相互作用を制限することができる。
図3A〜3Dのシャワーヘッドを全体的に参照する。前駆体は、最初に第1のマニホールド320、又は上方プレート、及び第2のマニホールド325、又は下方プレートによってシャワーヘッド300において画定されるシャワーヘッド内部容積327の中に導入することによって、処理領域の中へ導入することができる。マニホールドは、複数の開孔を画定する孔開きプレートであってよい。通常第2の前駆体を呼ばれるシャワーヘッド内部容積327の前駆体は、下方プレートに形成された開孔375を介して処理領域233の中に流れ込む295ことができる。この流路は、チャンバの残りのプロセスガスから分離させることができ、前駆体が基板255と下方プレート325の底部との間に画定される処理領域233の中に入るまで、反応していない状態、又はほぼ反応していない状態に保たれる。あるいは、第2のRPS202を使用して、第2の前駆体のラジカル種を励起させる、又は生じさせることができる。これらのラジカル種を、第1の開孔360を通って流れうる第1の前駆体の他のラジカル種と分離した状態に維持することができる。処理領域233に入ると、2つの前駆体は互いに、そして処理ガスと反応しうる。第2の前駆体は、本明細書のシャワーヘッドの実施形態に示すチャネル322等のシャワーヘッドに形成された側面チャネルを通って、シャワーヘッド300で画定されたシャワーヘッド内部容積327の中に導入されうる。第1の前駆体ガスは、RPSユニットからのラジカル、又は第1のプラズマ領域で生成されたプラズマからのラジカルを含むプラズマ状態にあってよい。加えて、プラズマは処理領域で生成されうる。
図3Aは、ガス分配アセンブリ300の上面概略図である。使用において、ガス分配システム300は、システムを通って形成されたガス開孔軸が、基板支持体(図2の基板支持体265を参照)の平面に直交しうる、又はほぼ直交しうるようなほぼ水平の向きを有することができる。図3Bは、ガス分配アセンブリ300の底面斜視図である。図3Cは、ガス分配アセンブリ300の底面図である。図3Dは、図3Cの線A−Aに沿って切り取ったガス分配アセンブリ300の例示の実施形態の断面図である。
図3A〜3Dを参照すると、ガス分配アセンブリ300は概して、環状本体340、上方プレート320、及び下方プレート325を含む。環状本体340は、内径に位置づけされる環状内壁301と、外径に位置づけされる環状外壁305と、上面315、及び下面310を有するリングであってよい。上面315及び下面310は、環状本体340の厚さを画定する。導管350を環状本体340に形成することができ、冷却流体を環状本体340の外周周囲に延在するチャネル内部に流すことができる。あるいは、加熱要素351を、シャワーヘッドアセンブリを加熱するのに使われるチャネルを通って延在させてもよい。
一又は複数の凹部及び/又はチャネルは、図3Dに示すものを含む本開示の実施形態において示すように、環状本体に形成されうる、又は環状本体によって画定されうる。環状本体は、環状内壁301において上面に形成された上方凹部303と、下面に形成された第1の下方凹部302とを含むことができる。環状本体は、第1の下方凹部302の下及び第1の下方凹部302から半径方向上向きの下面310に形成された第2の下方凹部304も含みうる。図3Dに示すように、内側の流体チャネル306を上面315に画定することができ、上方凹部303の半径方向内向きに環状本体に位置づけすることができる。内側の流体チャネル306の形状は環状であり、環状本体340周囲の全長において形成されうる。本開示の実施形態では、上方凹部303の底部は内側の流体チャネル306(図示せず)の外壁と交差する。内側の流体チャネルは、少なくとも部分的に第2の下方凹部304の半径方向外向きであってもよい。複数のポート312は、内側の流体チャネルの内壁に画定することができ、また環状本体340の環状内壁301にも画定されうる。ポート312により、内側の流体チャネルと、上方プレート320と下方プレート325との間に画定された内部容積327との間のアクセスを得ることができる。ポートは、特定の間隔を置いたチャネルの外周周囲で画定することができ、上方プレートと下方プレートとの間に画定された容積327全域にわたる流体の分配を促進することができる。ポート312間の間隔は一定であってよい、又は異なる場所で変化して、容積内への流体の流れに影響を与えるものであってよい。第1の流体チャネル306の半径方向の内壁及び外壁の高さは同じであってよい、又は異なっていてよい。例えば、内壁は外壁よりも高く形成することができ、これにより、内側の流体チャネルの流体の分配が影響され、第1の流体チャネルの内壁の上を流体が流れることが回避される又はほぼ回避される。
図3Dを再び参照すると、外側の流体チャネル308は、内側の流体チャネル306の半径方向外向きに環状本体に位置づけされた上面315に画定されうる。外側の流体チャネル308は環状であり、内側の流体チャネル306から半径方向外向きに、内側の流体チャネル306と同心に位置づけすることができる。外側流体チャネル308を第1の上方凹部303の半径方向外向きに位置づけすることにより、外側流体チャネル308が上方プレート320によってカバーされないようにすることもできる、又は図示したように、第1の上方凹部303の半径方向内向きに位置づけすることにより、上方プレート320が外側流体チャネル308をカバーするようにすることができる。第2の複数のポート314は、内側の流体チャネル306の外壁と、外側の流体チャネル308の内壁を画定する環状本体340の一部において画定されうる。第2の複数のポート314は、チャネル周囲において所定の間隔を置いて位置づけして、外側の流体チャネル308周囲の幾つかの場所において内側の流体チャネル306に流動的なアクセスを提供することができる。作動中に、前駆体は、プロセスチャンバの外から環状本体340の側面に位置づけされる供給チャネル322まで流れることができる。この供給チャネル322は、処理チャンバの第2のアクセスを介して第2のRPS202と流動的に連結していてよい。流体は、外側の流体チャネル308の中へ、第2の複数のポート314を通って内側の流体チャネル306の中へ、第1の複数のポート312を通って上方プレートと下方プレートとの間に画定される内部容積327の中へ、そして下部プレート325に位置づけされた第3の開孔375を通って流れることができる。このように、上記のやり方で供給される流体は、流体が別々に下方プレート325から出るまで、開孔360を通って第1のプラズマ領域の中へ送られるすべての流体から分離させる、又はほぼ分離させることができる。
上方プレート320は円盤状本体であってよく、第1の上方凹部303において環状本体340と結合させることができる。上方プレート320はしたがって、第1の流体チャネル306をカバーして、第1の流体チャネル306の最上部からの流体の流れを防止する、又はほぼ防止することができる。上方プレートの直径は、上方凹部303の直径と嵌合するように選択することができ、上方プレートは、上方プレートを貫通して形成された複数の第1の開孔360を備えることができる。第1の開孔360は、上方プレート320の底面を超えて延在することができ、これにより任意の数の隆起した円筒本体(図示せず)が形成される。隆起した円筒本体同士の間には隙間がありうる。図3Aからわかるように、第1の開孔360を、最外部の第1の開孔360の中央を通って描かれた仮想線により、例えば六辺の多角形であってよい多角形の形態が画定される、又はほぼ画定されるように、上方プレート320の多角形パターンで配置することができる。
下方プレート325は、図3Cで特に見られるように、下方プレート325を貫通して形成された任意の数の第2の開孔365と、第3の開孔375を有する円盤状本体を有することができる。下方プレート325は複数の厚さを有することができ、画定された部分の厚さは上方プレート320の中央の厚さよりも厚く、本発明の実施形態では、上方プレート320の厚さの少なくとも約2倍である。下方プレート325は、第1の下方凹部302において、環状本体340の環状内壁301の直径と嵌合する直径も有しうる。例えば、第2の開孔365は、上方プレート320まで円筒本体が延在しているため、下方プレート325によって画定されうる。これにより、互いに流動的に分離された第1の開孔と第2の開孔との間にチャネルを形成することができ、これは第1の流体チャネルと呼ぶことができる。加えて、上方プレートと下方プレートとの間に形成された容積327を、第1の開孔と第2の開孔との間に形成されたチャネルから流動的に分離させることができる。このように、第1の開孔360を通って流れる流体は第2の開孔365を通って流れ、プレートとプレートの間の内部容積327内の流体は第3の開孔375を通って流れ、流体は、第2の開孔又は第3の開孔のいずれかを通って下方プレート325から出るまで、互いに流動的に分離している。第3の開孔375を、下方プレート325を介して内部容積327から延在する第2の流体チャネルと呼ぶことができる。この分離により、反応ゾーンに到達する前にラジカル前駆体が第2の前駆体と接触することを防止することを含む多数の利点が得られる。ガスの相互作用を防止することによって、反応が所望される処理領域へ到達する前のチャンバ内部での反応を最小限に抑えることができる。
第2の開孔365を、上述したように、第1の開孔360のパターンと直線に並んだパターンで配置することができる。一実施形態において、上方プレート320と下方プレート325が互いに重なって位置決めされた場合、第1の開孔360と第2の開孔365の軸は直線に並ぶ。本発明の実施形態では、上方プレート及び下方プレートは互いに結合させる、又は互いに直接接着させることができる。いずれの場合にも、第1の開孔と第2の開孔が直線に並んで、上方プレートと下方プレートを通るチャネルが形成されるように、プレートを結合させることができる。複数の第1の開孔360と、複数の第2の開孔365は互いに平行する、又はほぼ平行するそれぞれの軸を有することができ、例えば開孔360と365は同心であってよい。あるいは、複数の第1の開孔360と複数の第2の開孔365は、互いに約1〜30度の角度に配置されたそれぞれの軸を有することができる。下方プレート325の中央には、第2の開孔365があってもよく、なくてもよい。
図3Dを再び参照すると、分離チャネル324の対は、環状本体340に形成されうる。分離チャネル324の対のうちの一方を上方プレート320に画定することができ、分離チャネル324の対の他方を環状本体340の下面310に画定することができる。あるいは、図3Aに示すように、分離チャネル324の対のうちの一つを、環状本体340の上面315に画定することができる。分離チャネルの対は互いに垂直方向に直線に並んでいてよく、本発明の実施形態では、直線上に垂直方向に並んでいてよい。あるいは、分離チャネルの対は、垂直方向に直線に並んだ状態からいずれかの方向にずれていてよい。チャネルは、本発明の実施形態において、Oリング等の分離障壁に場所を提供しうる。
本発明の手法による処理チャンバ400の簡略化された概略図である図4を参照する。チャンバ400は、前述したすべての構成要素を含むことができ、チャンバの処理領域433に半導体基板455を収納するように構成することができる。基板455は、図示したように、ペデスタル465に位置づけすることができる。処理チャンバ400は、2つの遠隔プラズマシステム(RPS)401、402を含むことができる。第1のRPSユニット401は、チャンバ400の第1のアクセス405に流動的に結合させることができ、第1のアクセス405を介してチャンバ400の中へ第1の前駆体を送るように構成することができる。第2のRPSユニット402は、チャンバ400の第2のアクセス410に流動的に結合させることができ、第2のアクセス410を介してチャンバ400の中へ第2の前駆体を送るように構成することができる。第1のプラズマユニット401及び第2のプラズマユニット402は、同じ又は異なるプラズマシステムであってよい。例えば、片方又は両方のシステムが、RFプラズマシステム、CCPプラズマチャンバ、ICPプラズマチャンバ、トロイダルプラズマシステムを含む磁気生成プラズマシステム、マイクロ波プラズマシステム等、又はプラズマを形成することができる、又はそうでない場合にシステムにおいて分子を励起させる、及び/又は解離させることができる種類のその他任意のシステムであってよい。システムは、第1の前駆体及び第2の前駆体がチャンバ400の処理領域433に送られるまで、第1の前駆体及び第2の前駆体を互いに流動的に分離された状態に維持するように構成することができる。第1のアクセス405は、処理チャンバ400の最上部の近く、又はチャンバの最上部に位置づけすることができ、第2のアクセス410は、チャンバ400の側面部の一つの近く、又はそれに沿って位置づけすることができる。
チャンバ400はさらに、チャンバ内部にガス分配アセンブリ425を含むことができる。前述したデュアルチャネルシャワーヘッドの態様と類似していて良いガス分配アセンブリ425は、チャンバ400内部の処理領域433の最上部、又は処理領域433の上に位置づけすることができる。ガス分配アセンブリ425は、第1の前駆体及び第2の前駆体の両方を、チャンバ400の処理領域433の中へ送るように構成することができる。図4の例示のシステムはデュアルチャネルシャワーヘッドを含むが、当然ながら、処理領域433へ到達する前に第1及び第2の前駆体を流動的に分離された状態に維持する代替の分配アセンブリを用いることができる。例えば、穴あきプレートと、プレートの下の管を用いることができるが、効率が落ちる、又は記載されたデュアルチャネルシャワーヘッドのような処理の均一性が得られないその他の構成で作動させることができる。
ガス分配アセンブリ425は、前述したように、上方プレート420と下方プレート423を含むことができる。プレートを互いに結合させて、プレート間の容積427を画定することができる。上方プレートと下方プレートを通る第1の流体チャネル440と、下方プレート423を通る第2の流体チャネル445を提供するように、プレートを結合させることができる。形成されたチャネルを、下方プレート423を介して容積427から流動的にアクセスできるように構成することができ、第1の流体チャネル440を、複数のプレートと、第2の流体チャネル445との間の容積427から流動的に分離させることができる。容積427は、前述したチャネル322等のガス分配アセンブリ425の側面を介して流動的にアクセス可能である。ガス分配アセンブリのこの部分を、RPSユニット402がそれを介して第2の前駆体を送ることができるチャンバの第2のアクセス410に流動的に結合させることができる。
チャンバは、第1の前駆体を、チャンバの第1のアクセス405を介して、第1のRPSユニット401からチャンバの処理領域433へ送るように構成することができる。第1の前駆体を次に、ガス分配アセンブリ425の第1の流体チャネル440を介して送ることができる。チャンバはさらに、チャンバ400の第2のアクセス410を介して、第2のRPS402からチャンバの中へ第2の前駆体を供給するように構成することができる。第2の前駆体は、アクセス410を介して、ガス分配アセンブリ425の中へ流すことができる。第2の前駆体は、ガス分配アセンブリを介して、上方プレートと下方プレートとの間に画定された容積427の中へ流した後に、ガス分布アセンブリ425の下方プレート423の第2の流体チャネル445を介して処理領域433の中へ流すことができる。上方プレート420と下方プレート423の結合と構成から、アセンブリを、第2の前駆体のアセンブリ425の上方プレート420を通る流れを防止するように構成することができる。これは、前述したアセンブリの開孔が直線に並んでいるためでありうる。
RPSユニット401、402のプラズマキャビティと、チャンバのアクセス405、410につながるすべての機械的結合は、RPSユニット401、402を通って流れるように選択された第1の前駆体と第2の前駆体に基づく物質でできていてよい。例えば、特定のエッチング工程において、フッ素含有前駆体(例:NF)を、例えばRPSユニット401等の第1のRPSユニット及び第2のRPSユニットのいずれかを介して流すことができる。RPSユニット401でプラズマが形成されると、分子はラジカルイオンに解離しうる。RPSユニット401が変質していないアルミニウムでできている場合、フッ素ラジカルはキャビティの壁と反応し、フッ化アルミニウム等の副産物を形成しうる。したがって、RPSユニット401を、例えば酸化アルミニウム、窒化アルミニウムであってよい第1の物質、又は第1の前駆体が相互作用を起こさない別の物質で形成することができる。RPSユニット401の物質は、第1の前駆体の組成に基づいて選択することができ、特に前駆体がチャンバの構成要素と相互作用しないように選択することができる。
同様に、第2のRPSユニット402は、第2の前駆体に基づいて選択された第2の物質でできていてよい。本発明の実施形態では、第1の物質及び第2の物質は異なる物質であってよい。例えば、水素含有前駆体を第2のRPS402を介して流した場合、プラズマが形成され、解離した水素ラジカルがRPS402のプラズマキャビティと相互作用を起こす場合がある。チャンバが同様に例えば酸化アルミニウムでできている場合、水素ラジカルが酸化物と相互作用を起こし、保護コーティングが剥離する場合がある。したがって、RPSユニット402は、第2の前駆体が相互作用を起こさないアルミニウム、又は別の物質等の第1の物質とは異なる第2の物質でできていてよい。これもガス分配アセンブリまで延在していてよく、上方プレート420の上面は第1のRPSで使用されるのと同じ物質でできている、又はそれでコーティングされており、上方プレート420の下面と、下方プレート423の上面は第2のRPSで使用される同じ物質でできている、又はそれでコーティングされている。上記コーティング又は物質の選択により、経時的な機器の劣化を改善することができる。したがって、ガス分配アセンブリのプレートはそれぞれ、一又は複数の物質でできた複数のプレートを含むことができる。
作動中に、RPSユニット401、402のいずれか、あるいは両方を使用してユニット内部にプラズマを生じさせて、第1の前駆体及び/又は第2の前駆体を少なくとも部分的にイオン化することができる。フッ素含有前駆体と、水素含有前駆体を用いた一例では、水素含有前駆体を第1のRPSユニット401を介して流すことができ、フッ素含有ラジカルを第2のRPSユニット402を介して流すことができる。上記構成は、ラジカル種の移動距離に基づくものであってよい。例えば、処理領域433への経路は、第1のRPSユニット401よりも短い場合がある。水素ラジカルは半減期が短いために、フッ素ラジカルよりも速く再結合しうるため、水素含有ラジカルを短い経路を介して流すことができる。加えて、前述したように、ラジカル種を長持ちさせる、継続させる、又は強化するために、チャンバ400の領域のガス分配アセンブリ425の上でプラズマを形成することができる。しかしながら、本発明のその他の構成では、水素含有前駆体を第2のRPSユニット402を介して流すことができる。
RPSユニット401、402は、様々な実施形態において、約10W以下〜約10又は15kW以上の電力レベルで作動することができる。発明者は、各RPSユニットの電力及びプラズマプロファイルを、使用する特定の前駆体に対して調整できる本発明の手法のさらなる利点を有利に確定している。例えば、続けてフッ素含有前駆体、及び水素含有前駆体の例において、ある従来のシステムでは、解離が必要な前駆体が両方、同じRPSユニットを通って流れる必要がある。上述したプラズマキャビティとRPSユニットの潜在的な劣化に加え、前駆体の両方にとって有益なプラズマプロファイルは利用可能ではない場合がある。続けてこの例においては、NFを含むフッ素含有前駆体を、RPSユニットの比較的低い電力レベルで処理することができる。100W、200W、400W未満〜1000W以上の電力レベルでRPSを作動させることによって、前駆体は粒子を完全にイオン化せず、NF及びNF種も含む個々のラジカルを含む低い度合いまで解離することが可能である。さらに、水素含有前駆体を処理するRPSユニットは、完全な解離が所望されうる場合、はるかに高い電力レベルで作動させることができる。したがって、RPSユニットを最大約1000W以上で、また最大約10kW以上で作動させることができる。例示の処理システムで適用されるRF周波数は、異なる実施形態において、約500kHz未満の低いRF周波数、約10MHz〜15MHzの高いRF周波数、又は約1GHz以上のマイクロ波周波数であってよい。このように、第1のRPSユニット401は、第1の前駆体の組成に基づいて選択された第1の電力レベルで作動するように構成することができ、第2のRPSは、第2の前駆体の組成に基づいて選択された第2の電力レベルで作動するように構成することができる。2つのRPSユニット401、402を、互いに異なる電力レベルで作動するように構成することができる。上記の構成は、その他の変更の中でもとりわけ別々の電源、又は分離した電源を必要としうる。
RPSユニットのうちの一方を作動させ、他方を作動させないことによって、さらなる柔軟性を得ることができる。例えば、フッ素含有前駆体を、前駆体に基づいて低いレベルであってよい電力レベルで作動するように構成された第1のRPSユニット401を介して流すことができる。水素含有前駆体を、分子前駆体が処理領域433へ流れるようにプラズマが形成されない第2のRPSユニット402を介して流すことができる。第1の前駆体と、第2の前駆体がガス分配アセンブリ425を別々に出た時に第1の前駆体と第2の前駆体の相互作用が起こる可能性があり、RPSユニット401で少なくとも部分的にラジカル化されている第1の前駆体が第2の前駆体の一部をイオン化する可能性があり、この場合、システムの電力効率が改善されうる。当然ながら、これらの実施例に基づき、多数の態様を、様々な作動特性に基づく本発明の手法の実施形態において反転させる、又は変更することができる。
本発明をより理解し認識するために、本発明の実施形態による、特にシリコン選択エッチングであるエッチングプロセスのフロー図である図5を参照する。当然ながら、この手法を同様に堆積プロセスに用いることができる。シリコンは非結晶質、結晶質、又は多結晶(この場合は普通ポリシリコンと呼ばれる)であってよい。第1の工程の前に、構造をパターン付基板に形成することができる。この構造は、シリコン、及び酸化シリコンの別々の露出領域を有することができる。前の堆積及び形成プロセスは、同じチャンバで行われていても、行われていなくてもよい。異なるチャンバで行われた場合、基板は上述したようにシステムへ転送することができる。
第1の前駆体、例えば水素含有前駆体を、工程510において基板の処理領域とは別に、第1のプラズマ領域の中へ流すことができる。別々のプラズマ領域を本明細書では遠隔プラズマ領域と呼ばれる場合があり、処理チャンバとは違うモジュール、又は処理チャンバ内部の区画部分の中にあってよい。一般的に言えば、水素含有前駆体を第1のプラズマ領域の中へ流してプラズマ内で励起させることができ、水素含有前駆体は、H、NH、炭化水素等から選択された少なくとも一つの前駆体を含むことができる。第2の前駆体、例えば三フッ化窒素、又は別のフッ素含有前駆体の流れを、工程520において第2の遠隔プラズマシステムの中へ導入して、プラズマ内で励起させることができる。第1のプラズマシステム、及び第2のプラズマシステムを前述したように任意のやり方で作動させることができ、本発明の実施形態では、水素含有前駆体とフッ素含有前駆体を代替のRPSユニットを介して流すことができる。加えて、遠隔プラズマシステムのうちの一方のみを本発明の実施形態において作動させることができる。三フッ化窒素の流動率を水素の流動率に対して遅くして、簡単に定量化される高い原子流動率H:Fを実現することができる。その他のフッ素供給源を使用して、三フッ化窒素を補強する、又は置換することができる。一般に、フッ素含有前駆体を第2の遠隔プラズマ領域に流すことができ、フッ素含有前駆体は、原子フッ素、二原子フッ素、三フッ化臭素、三フッ化塩素、三フッ化窒素、フッ化水素、フッ素化炭化水素、六フッ化硫黄、二フッ化キセノンからなる群から選択される少なくとも一つの前駆体を含む。
第1の前駆体及び第2の前駆体の遠隔プラズマ領域に形成されたプラズマ廃液を次に、工程530において基板処理領域の中へ別々に流し、基板処理領域へ組み込むことができる。パターン化基板を選択的にエッチングすることにより、露出した酸化シリコンの少なくとも、又は約70倍の比率で露出したシリコンを除去することができる。この手法には、シリコンのエッチング選択性を高めるために、フッ素(F)に対する水素(H)の高い原子流量比の維持が含まれうる。幾つかの前駆体はフッ素と水素を両方含むことができ、この場合、本明細書に記載される原子流量比を計算する時に、すべての構成物の原子流動率が含まれる。水素の量の優位性により、パターン化基板上の露出面を水素終端しやすくなりうる。本明細書に記載された条件下で、水素終端は、シリコン表面でのみ準安定原子でありうる。三フッ化窒素又はその他のフッ素含有前駆体のフッ素によりシリコン表面の水素が置換され、表面から剥離してシリコンを取り除く揮発性残留物ができる。他の露出した物質に存在する結合エネルギーが強いために、フッ素は他の水素終端面の水素を置換することができない場合がある(及び/又は他の露出した物質を除去する揮発性残留物ができない可能性がある)。
一例では、約15:1以上のガス流量比(H:NF)、又は一般論として、約10:1以上の原子流量比により、約70:1以上のエッチング選択性(シリコン:酸化シリコン、又はシリコン:窒化シリコン)が得られることがわかっている。エッチング選択性(シリコン:酸化シリコン、又はシリコン:窒化シリコン)は、本発明の実施形態において、約100:1以上、約150:1以上、約200:1以上、約250:1以上、又は約300:1以上であってもよい、又はこれらの範囲のうちの任意の範囲の間、又はこれらの範囲のうちの任意の範囲内であってもよい。パターン化基板には、タングステン、窒化チタン、又はその他の金属が露出した領域も存在する場合があり、露出した金属領域と呼ぶことができる。エッチング選択性(シリコン:露出した金属領域)は、本発明の実施形態では、約100:1以上、約150:1以上、約200:1以上、約250:1以上、約500:1以上、約1000:1以上、約2000:1以上、又は約3000:1以上であってよい。反応性化学種が基板処理領域から取り除かれ、次に基板が処理領域から取り除かれる。
本明細書に記載されるように、大流量の水素含有前駆体が存在することにより、シリコン、酸化シリコン及び窒化シリコンが処理のほとんどの過程において水素終端面が確実に維持される。フッ素含有前駆体及び/又は水素含有前駆体はさらに、He、N、Ar等の一又は複数の比較的不活性なガスを含むことができる。不活性ガスを使用して、プラズマの安定性を改善する、及び/又は液状の前駆体を遠隔プラズマ領域まで送ることができる。異なるガスの流動率及び流量比を使用して、エッチング速度及びエッチング選択性を制御することができる。一実施形態では、フッ素含有ガスは、流動率が約1〜30sccm(立方センチメートル/分)のNFと、流動率が約500〜5000sccmのHと、流動率が約0〜3000sccmのHeと、流動率が約0〜3000sccmのArを含む。本発明の実施形態では、原子流量比H:Fを高く維持して、酸化シリコン上への固体残留物の形成を減らす、又はなくすことができる。固体残留物の形成により、酸化シリコンの一部が消費されるため、エッチングプロセスのシリコン選択性が低下しうる。原子流量比H:Fは、この手法の実施形態では、約25(すなわち25:1)以上、約30:1以上、又は約40:1以上であってよい。
前駆体を流動的に分離した状態に維持することで、腐食、またRPSシステムとのその他の相互作用を減らす、又はなくすことができる。上述したように、ガス分配アセンブリを含むRPSユニット及び分配構成要素は、送られる前駆体に基づいて選択され、したがってイオン化前駆体と機器との間の反応を防止するように選択された物質でできていてよい。
本発明の実施形態では、遠隔プラズマ領域から基板処理領域まで通過する間に、イオンサプレッサーを使用して、プラズマ廃液からのイオンをフィルタリングすることができる。イオンサプレッサーは、プラズマ生成領域から基板まで移動しているイオン的に帯電した種を減らす又はなくすように機能する。荷電されていない中性種及びラジカル種は、イオンサプレッサーの開口部を通過して基板において反応しうる。基板周囲の反応領域からイオン的に荷電した種を完全に除去することが必ずしも望ましい目的でないことに留意されたい。多くの場合、エッチング及び/又は堆積プロセスを行うためには、基板に到達するのにイオン種が必要である。これらの場合、イオンサプレッサーにより、プロセスを支援するレベルで、反応領域のイオン種の濃度を制御しやすくなる。本発明の実施形態では、ガス分配アセンブリの上方プレートはイオンサプレッサーを含むことができる。
エッチングプロセスの間の基板の温度は、0℃超であってよい。基板の温度はあるいは、約20℃以上、及び約300℃以下であってよい。この基板の温度範囲の上限値において、シリコンのエッチング速度は低下しうる。この基板の温度範囲の下限値において、酸化シリコンと窒化シリコンのエッチングが始まりうるため、選択性が低下しうる。本発明の実施形態では、本明細書に記載されたエッチングの間の基板の温度は、約30℃以上、及び約200℃以下、又は約40℃以上、及び約150℃以下であってよい。基板の温度は、本発明の実施形態において、100℃未満、約80℃以下、約65℃以下、又は約50℃以下であってよい。
データはさらに、(所定の水素:フッ素原子比に対して)シリコンのエッチング速度の上昇をプロセス圧の関数として示す。しかしながら、約50:1のH:Fの原子流動率に対し、1Torrを超える圧力の上昇により、選択性が低下し始めうる。これは、2以上のフッ素含有廃液の混合の確率が高いことが原因と考えられる。エッチングプロセスでは次に、酸化シリコン、窒化シリコン、及び他の物質の除去が開始される。基板処理領域内の圧力は、本発明の実施形態において、約10Torr以下、約5Torr以下、約3Torr以下、約2Torr以下、約1Torr以下、又は約750mTorr以下であってよい。本発明の実施形態において、十分なエッチング速度を確保するためには、圧力は約0.05Torr以上、約0.1Torr以上、約0.2Torr以上、又は約0.4Torr以上であってよい。さらなる実施例、プロセスパラメータ、及び作動ステップは、本明細書に記載された供給機構と反しない範囲で、前に組み込まれた特許出願第13/439079号明細書に含まれている。
上述の説明では、説明の目的のために、本発明の様々な実施形態の理解を促すために、多数の詳細が記載されてきた。しかしながら、特定の実施形態をこれらの詳細の幾つかの詳細なしで、又は更なる詳細と共に実行することができることが当業者には明らかとなるであろう。
幾つかの実施形態を開示したが、当然ながら当業者には、本発明の実施形態の主旨から逸脱することなく、様々な変更例、代替構造物、及び同等物を使用することができることが理解されるだろう。加えて、任意の数の周知のプロセス、及び要素は、本発明が不必要に曖昧にならないように記載していない。したがって上記の記載により、本発明の範囲を限定するものと解釈すべきでない。
値の範囲が付与されているところでは、文脈上そうでないと明示されていない限り、当然ながら、その範囲の上限値と下限値との間の各介在値は、下限値の最も小さい単位まで具体的に開示されている。記載された範囲の任意の記載値又は記載されていない介在値の間の任意の小さい範囲、そしてその記載範囲のその他任意の記載された又は介在する値も含まれる。これら小さい範囲の上限値及び下限値は、その範囲に個々に含まれ、又はその範囲から除外される場合があり、小さい範囲に限界値のいずれかが含まれる、どちらも含まれない、又は両方が含まれる各範囲もこの手法に含まれ、記載された範囲において任意に具体的に除外された限界値に依存する。記載された範囲に一又は複数の限界値が含まれるところでは、これらの含有限界値のいずれか、又は両方を除外する範囲も含まれる。
本明細書及び添付の特許請求の範囲で使用される単数形「1つの(a)」、「1つの(an)」、及び「その(the)」は、文脈上別途明示しない限り複数の指示物を含む。したがって、例えば、「開孔」を参照した時は複数の上記開孔を含み、「プレート」を参照した時は、一又は複数のプレート及び当業者に周知のそれらの同等物他への参照を含む。
また、「備える(comprise(s))」、「備えている(comprising)」、「含有する(contain(s))」、「含有している(containing)」、「含む(include(s))」、及び「含んでいる(including)」という単語は、本明細書及び特許請求の範囲で使用された場合、記載された特徴、整数、構成要素、又はステップの存在を特定することを意図しているが、一又は複数のその他の特徴、整数、構成要素、ステップ、作動、又はグループの存在、又は追加を除外するものではない。

Claims (20)

  1. 半導体処理のためのシステムであって、
    チャンバの処理領域に半導体基板を収納するように構成された前記チャンバと、
    前記チャンバの第1のアクセスに流動的に結合され、前記第1のアクセスを介して前記チャンバの中へ第1の前駆体を送るように構成された第1の遠隔プラズマシステムと、
    前記チャンバの第2のアクセスに流動的に結合され、前記第2のアクセスを介して前記チャンバの中へ第2の前駆体を送るように構成された第2の遠隔プラズマシステムと、
    前記第1の前駆体と前記第2の前駆体の両方を前記チャンバの前記処理領域の中へ送るように構成されたガス分配アセンブリであって、前記ガス分配アセンブリは、前記第1の前駆体と前記第2の前駆体が前記チャンバの前記処理領域へ送られるまで、前記第1の前駆体と前記第2の前駆体を互いに流動的に分離された状態に維持するように構成されており、前記ガス分配アセンブリのうちの前記第1の前駆体と接する第1の部分は、前記第1の前駆体と相互作用しない物質でできており、前記ガス分配アセンブリのうちの前記第2の前駆体と接する第2の部分は、前記第2の前駆体と相互作用しない物質でできている、ガス分配アセンブリと、を備えるシステム。
  2. 前記システムが、前記第1の前駆体と前記第2の前駆体が前記チャンバの前記処理領域へ送られるまで、前記第1の前駆体と前記第2の前駆体を互いに流動的に分離された状態に維持するように構成されている、請求項1に記載のシステム。
  3. 前記第1のアクセスが、前記チャンバの最上部の近く、又は前記チャンバの最上部に位置づけされており、前記第2のアクセスが前記チャンバの側面部の近く、又は前記チャンバの側面部に位置づけされている、請求項1または2に記載のシステム。
  4. 前記ガス分配アセンブリは、前記チャンバ内部の前記処理領域の最上部、又は前記処理領域の上に位置づけされている、請求項1から3のいずれか一項に記載のシステム。
  5. 前記ガス分配アセンブリは上方プレートと下方プレートとを備え、前記上方プレートと前記下方プレートは互いに結合されて、前記プレート間の容積を画定し、前記プレートの結合は、前記上方プレートと前記下方プレートとを通る第1の流体チャネルと、前記容積から前記下方プレートを通る流体のアクセスを提供するように構成された、前記下方プレートを通る第2の流体チャネルと、を提供し、前記第1の流体チャネルは、前記プレート間の容積と前記第2の流体チャネルとから流動的に分離されている、請求項1から4のいずれか一項に記載のシステム。
  6. 前記容積は、前記チャンバの前記第2のアクセスに流動的に結合された前記ガス分配アセンブリの側面を介して流動的にアクセス可能である、請求項5に記載のシステム。
  7. 前記チャンバは、前記第1の遠隔プラズマシステムから前記チャンバの前記第1のアクセスを介して、また前記ガス分配アセンブリの前記第1の流体チャネルを介して、前記チャンバの前記処理領域の中へ第1の前駆体を供給するように構成されている、請求項5または6に記載のシステム。
  8. 前記チャンバは、前記第2の前駆体を、前記第2の遠隔プラズマシステムから前記チャンバの前記第2のアクセスを介して前記チャンバの中へ、前記上方プレートと前記下方プレートとの間に画定された前記容積の中へ、そして前記ガス分配アセンブリの前記第2の流体チャネルを介して前記チャンバの前記処理領域の中へ供給するように構成されている、請求項5から7のいずれか一項に記載のシステム。
  9. 前記ガス分配アセンブリは、前記ガス分配アセンブリの前記上方プレートを通る前記第2の前駆体の流れを防止するように構成される、請求項5から8のいずれか一項に記載のシステム。
  10. 前記第1の遠隔プラズマシステムは第1の材料を含み、前記第2の遠隔プラズマシステムは第2の材料を含む、請求項1から9のいずれか一項に記載のシステム。
  11. 前記第1の材料は、前記第1の前駆体の組成に基づいて選択される、請求項10に記載のシステム。
  12. 前記第2の材料は、前記第2の前駆体の組成に基づいて選択される、請求項10または11に記載のシステム。
  13. 前記第1の材料と、前記第2の材料は異なる材料である、請求項10から12のいずれか一項に記載のシステム。
  14. 前記第1の遠隔プラズマシステムと、前記第2の遠隔プラズマシステムは、高周波プラズマユニット、容量結合プラズマユニット、誘導結合プラズマユニット、マイクロ波プラズマユニット、及びトロイダルプラズマユニットから成る群から選択される、請求項1から13のいずれか一項に記載のシステム。
  15. 前記第1の遠隔プラズマシステムと、前記第2の遠隔プラズマシステムは、10Wと10kWの間の電力レベル、または10kWより大きい電力レベルで作動するように構成されている、請求項1から14のいずれか一項に記載のシステム。
  16. 前記第1の遠隔プラズマシステムは、前記第1の前駆体の組成に基づいて選択された第1の電力レベルで作動するように構成されている、請求項1から15のいずれか一項に記載のシステム。
  17. 前記第2の遠隔プラズマシステムは、前記第2の前駆体の組成に基づいて選択された第2の電力レベルで作動するように構成されている、請求項1から16のいずれか一項に記載のシステム。
  18. 前記システムは、互いに異なる電力レベルで第1の遠隔プラズマユニットと、前記第2の遠隔プラズマユニットとを作動させるように構成されている、請求項1から17のいずれか一項に記載のシステム。
  19. 半導体処理チャンバの作動方法であって、
    第1の前駆体を第1の遠隔プラズマシステムを介して半導体処理チャンバの中へ流すことと、
    第2の前駆体を第2の遠隔プラズマシステムを介して半導体処理チャンバの中へ流すことと
    を含み、前記第1の前駆体および前記第2の前駆体の両方は、ガス分配アセンブリにより前記処理チャンバの処理領域の中に送られ、前記第1の前駆体と、前記第2の前駆体は、前記処理領域において混合され、前記ガス分配アセンブリは、前記第1の前駆体と前記第2の前駆体が前記チャンバの前記処理領域へ送られるまで、前記第1の前駆体と前記第2の前駆体を互いに流動的に分離された状態に維持するように構成されており、前記ガス分配アセンブリのうちの前記第1の前駆体と接する第1の部分は、前記第1の前駆体と相互作用しない物質でできており、前記ガス分配アセンブリのうちの前記第2の前駆体と接する第2の部分は、前記第2の前駆体と相互作用しない物質でできている、方法。
  20. 前記第1の前駆体はフッ素含有前駆体を含み、前記第2の前駆体は水素含有前駆体を含む、請求項19に記載の方法。
JP2015533084A 2012-09-21 2013-08-30 ラジカルの化学的性質の複数の流れ経路を使用した調節及び制御 Expired - Fee Related JP6392760B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261704241P 2012-09-21 2012-09-21
US61/704,241 2012-09-21
US13/799,490 2013-03-13
US13/799,490 US20140099794A1 (en) 2012-09-21 2013-03-13 Radical chemistry modulation and control using multiple flow pathways
PCT/US2013/057599 WO2014046864A1 (en) 2012-09-21 2013-08-30 Radical chemistry modulation and control using multiple flow pathways

Publications (2)

Publication Number Publication Date
JP2015532016A JP2015532016A (ja) 2015-11-05
JP6392760B2 true JP6392760B2 (ja) 2018-09-19

Family

ID=50341849

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015533084A Expired - Fee Related JP6392760B2 (ja) 2012-09-21 2013-08-30 ラジカルの化学的性質の複数の流れ経路を使用した調節及び制御

Country Status (6)

Country Link
US (1) US20140099794A1 (ja)
JP (1) JP6392760B2 (ja)
KR (1) KR102114002B1 (ja)
CN (2) CN104641456A (ja)
TW (1) TWI663646B (ja)
WO (1) WO2014046864A1 (ja)

Families Citing this family (404)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9121097B2 (en) 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) * 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US20150348755A1 (en) * 2014-05-29 2015-12-03 Charm Engineering Co., Ltd. Gas distribution apparatus and substrate processing apparatus including same
US20150361582A1 (en) * 2014-06-17 2015-12-17 Veeco Instruments, Inc. Gas Flow Flange For A Rotating Disk Reactor For Chemical Vapor Deposition
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9840777B2 (en) * 2014-06-27 2017-12-12 Applied Materials, Inc. Apparatus for radical-based deposition of dielectric films
KR102247560B1 (ko) 2014-07-14 2021-05-03 삼성전자 주식회사 Rps에서의 플라즈마 생성방법, 및 그 플라즈마 생성방법을 포함한 반도체 소자 제조방법
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US20160032451A1 (en) * 2014-07-29 2016-02-04 Applied Materials, Inc. Remote plasma clean source feed between backing plate and diffuser
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
JP2019515493A (ja) * 2016-04-25 2019-06-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 自己組織化単分子層処理のための化学物質供給チャンバ
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10418246B2 (en) 2016-11-03 2019-09-17 Applied Materials, Inc. Remote hydrogen plasma titanium deposition to enhance selectivity and film uniformity
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9865433B1 (en) * 2016-12-19 2018-01-09 Varian Semiconductor Equipment Associats, Inc. Gas injection system for ion beam device
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US20180230597A1 (en) * 2017-02-14 2018-08-16 Applied Materials, Inc. Method and apparatus of remote plasmas flowable cvd chamber
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
CN110494950A (zh) * 2017-04-10 2019-11-22 应用材料公司 由远程氮自由基源实现的高沉积速率高质量氮化硅
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
KR102440367B1 (ko) 2017-06-22 2022-09-05 삼성전자주식회사 Rps를 이용한 식각 방법 및 그 식각 방법을 포함한 반도체 소자 제조방법
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
TWI794238B (zh) * 2017-07-13 2023-03-01 荷蘭商Asm智慧財產控股公司 於單一加工腔室中自半導體膜移除氧化物及碳之裝置及方法
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
WO2019027863A1 (en) 2017-07-31 2019-02-07 Applied Materials, Inc. GAS SUPPLY ELEMENT WITH DEFLECTOR
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10354883B2 (en) * 2017-10-03 2019-07-16 Mattson Technology, Inc. Surface treatment of silicon or silicon germanium surfaces using organic radicals
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
CN108034946B (zh) * 2017-11-20 2019-04-02 西安交通大学 喷淋蚀刻系统
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US10636626B2 (en) * 2018-01-25 2020-04-28 Applied Materials, Inc. Dogbone inlet cone profile for remote plasma oxidation chamber
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) * 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102592922B1 (ko) 2018-06-21 2023-10-23 삼성전자주식회사 기판 처리 장치, 신호 소스 장치, 물질막의 처리 방법, 및 반도체 소자의 제조 방법
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
WO2020046547A1 (en) * 2018-08-31 2020-03-05 Mattson Technology, Inc. Oxide removal from titanium nitride surfaces
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
KR102135504B1 (ko) * 2018-09-28 2020-07-17 한양대학교 산학협력단 플라즈마 발생 장치
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11355620B2 (en) * 2018-10-31 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) * 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP7278123B2 (ja) * 2019-03-22 2023-05-19 東京エレクトロン株式会社 処理方法
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
CN111799143B (zh) * 2019-04-09 2023-09-22 应用材料公司 半导体处理腔室多阶段混合设备
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
KR102281826B1 (ko) * 2019-07-08 2021-07-23 세메스 주식회사 기판 처리 장치 및 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
KR102409660B1 (ko) * 2019-07-18 2022-06-22 주식회사 히타치하이테크 플라스마 처리 장치
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR20220107521A (ko) * 2021-01-25 2022-08-02 (주) 엔피홀딩스 반응기, 이를 포함하는 공정 처리 장치 및 반응기 제조 방법
JP7393376B2 (ja) * 2021-03-19 2023-12-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、プログラム及び基板処理装置
US20240209500A1 (en) * 2021-05-06 2024-06-27 Applied Materials, Inc. Processing system and methods for forming void-free and seam-free tungsten features
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4715937A (en) * 1986-05-05 1987-12-29 The Board Of Trustees Of The Leland Stanford Junior University Low-temperature direct nitridation of silicon in nitrogen plasma generated by microwave discharge
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US4820377A (en) * 1987-07-16 1989-04-11 Texas Instruments Incorporated Method for cleanup processing chamber and vacuum process module
US5180435A (en) * 1987-09-24 1993-01-19 Research Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semiconductor layer
DE69116058T2 (de) * 1990-09-27 1996-08-22 At & T Corp Verfahren zur Herstellung integrierter Schaltungen
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5628829A (en) * 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
JP3599204B2 (ja) * 1995-06-08 2004-12-08 アネルバ株式会社 Cvd装置
US5951896A (en) * 1996-12-04 1999-09-14 Micro C Technologies, Inc. Rapid thermal processing heater technology and method of use
US5814365A (en) * 1997-08-15 1998-09-29 Micro C Technologies, Inc. Reactor and method of processing a semiconductor substate
US6090212A (en) * 1997-08-15 2000-07-18 Micro C Technologies, Inc. Substrate platform for a semiconductor substrate during rapid high temperature processing and method of supporting a substrate
US6007635A (en) * 1997-11-26 1999-12-28 Micro C Technologies, Inc. Platform for supporting a semiconductor substrate and method of supporting a substrate during rapid high temperature processing
US6635578B1 (en) * 1998-02-09 2003-10-21 Applied Materials, Inc Method of operating a dual chamber reactor with neutral density decoupled from ion density
JP4151862B2 (ja) * 1998-02-26 2008-09-17 キヤノンアネルバ株式会社 Cvd装置
US6892669B2 (en) * 1998-02-26 2005-05-17 Anelva Corporation CVD apparatus
US6499425B1 (en) * 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
JP4220075B2 (ja) * 1999-08-20 2009-02-04 東京エレクトロン株式会社 成膜方法および成膜装置
JP3366301B2 (ja) * 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
KR100385133B1 (ko) * 1999-12-16 2003-05-22 엘지전자 주식회사 교환기의 셀 다중화/역다중화 시스템
US6783627B1 (en) * 2000-01-20 2004-08-31 Kokusai Semiconductor Equipment Corporation Reactor with remote plasma system and method of processing a semiconductor substrate
KR100378871B1 (ko) * 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
US6427623B2 (en) * 2000-06-23 2002-08-06 Anelva Corporation Chemical vapor deposition system
US6800830B2 (en) * 2000-08-18 2004-10-05 Hitachi Kokusai Electric, Inc. Chemistry for boron diffusion barrier layer and method of application in semiconductor device fabrication
US6533910B2 (en) * 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6537429B2 (en) * 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US6886491B2 (en) * 2001-03-19 2005-05-03 Apex Co. Ltd. Plasma chemical vapor deposition apparatus
US6761796B2 (en) * 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
US20060191637A1 (en) * 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
KR100441297B1 (ko) * 2001-09-14 2004-07-23 주성엔지니어링(주) 리모트 플라즈마를 이용하는 ccp형 pecvd장치
US20030124842A1 (en) * 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
US6828241B2 (en) * 2002-01-07 2004-12-07 Applied Materials, Inc. Efficient cleaning by secondary in-situ activation of etch precursor from remote plasma source
US6942929B2 (en) * 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US6825051B2 (en) * 2002-05-17 2004-11-30 Asm America, Inc. Plasma etch resistant coating and process
EP1536462A4 (en) * 2002-06-14 2010-04-07 Sekisui Chemical Co Ltd METHOD AND DEVICE FOR PRODUCING AN OXIDE FILM
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20060046412A1 (en) * 2002-08-06 2006-03-02 Tegal Corporation Method and system for sequential processing in a two-compartment chamber
US20040058293A1 (en) * 2002-08-06 2004-03-25 Tue Nguyen Assembly line processing system
US6858085B1 (en) * 2002-08-06 2005-02-22 Tegal Corporation Two-compartment chamber for sequential processing
US6921555B2 (en) * 2002-08-06 2005-07-26 Tegal Corporation Method and system for sequential processing in a two-compartment chamber
US20060040055A1 (en) * 2002-08-06 2006-02-23 Tegal Corporation Method and system for sequential processing in a two-compartment chamber
JP3991315B2 (ja) * 2002-09-17 2007-10-17 キヤノンアネルバ株式会社 薄膜形成装置及び方法
JP4260450B2 (ja) * 2002-09-20 2009-04-30 東京エレクトロン株式会社 真空処理装置における静電チャックの製造方法
US20040237897A1 (en) * 2003-05-27 2004-12-02 Hiroji Hanawa High-Frequency electrostatically shielded toroidal plasma and radical source
KR100853388B1 (ko) * 2003-06-27 2008-08-21 도쿄엘렉트론가부시키가이샤 클리닝 방법 및 기판 처리 방법
KR20030083663A (ko) * 2003-10-04 2003-10-30 삼영플랜트주식회사 건설폐기물로부터 시멘트 페이스트 및 모르타르가 제거된재생골재 및 모래를 생산하는 방법 및 장치
KR100558925B1 (ko) * 2003-11-24 2006-03-10 세메스 주식회사 웨이퍼 에지 식각 장치
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US20050238807A1 (en) * 2004-04-27 2005-10-27 Applied Materials, Inc. Refurbishment of a coated chamber component
US7572337B2 (en) * 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
US7767561B2 (en) * 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US20060042752A1 (en) * 2004-08-30 2006-03-02 Rueger Neal R Plasma processing apparatuses and methods
US20060093756A1 (en) * 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
JP2006261217A (ja) * 2005-03-15 2006-09-28 Canon Anelva Corp 薄膜形成方法
US20060210723A1 (en) * 2005-03-21 2006-09-21 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
JP4853857B2 (ja) * 2005-06-15 2012-01-11 東京エレクトロン株式会社 基板の処理方法,コンピュータ読み取り可能な記録媒体及び基板処理装置
US7857947B2 (en) * 2005-07-27 2010-12-28 Applied Materials, Inc. Unique passivation technique for a CVD blocker plate to prevent particle formation
DE102006038885B4 (de) * 2005-08-24 2013-10-10 Wonik Ips Co., Ltd. Verfahren zum Abscheiden einer Ge-Sb-Te-Dünnschicht
US7704887B2 (en) * 2005-11-22 2010-04-27 Applied Materials, Inc. Remote plasma pre-clean with low hydrogen pressure
KR100712727B1 (ko) * 2006-01-26 2007-05-04 주식회사 아토 절연체를 이용한 샤워헤드
JP2007191792A (ja) * 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
KR100752622B1 (ko) * 2006-02-17 2007-08-30 한양대학교 산학협력단 원거리 플라즈마 발생장치
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
CN100577866C (zh) * 2007-02-27 2010-01-06 中微半导体设备(上海)有限公司 应用于等离子体反应室中的气体喷头组件、其制造方法及其翻新再利用的方法
US20080216958A1 (en) * 2007-03-07 2008-09-11 Novellus Systems, Inc. Plasma Reaction Apparatus Having Pre-Seasoned Showerheads and Methods for Manufacturing the Same
JP5008478B2 (ja) * 2007-06-27 2012-08-22 東京エレクトロン株式会社 基板処理装置およびシャワーヘッド
US8367227B2 (en) * 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US8129029B2 (en) * 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
US20090214825A1 (en) * 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
US20090258162A1 (en) * 2008-04-12 2009-10-15 Applied Materials, Inc. Plasma processing apparatus and method
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8168268B2 (en) * 2008-12-12 2012-05-01 Ovishinsky Innovation, LLC Thin film deposition via a spatially-coordinated and time-synchronized process
US20100081285A1 (en) * 2008-09-30 2010-04-01 Tokyo Electron Limited Apparatus and Method for Improving Photoresist Properties
US8206829B2 (en) * 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
KR101598332B1 (ko) * 2009-07-15 2016-03-14 어플라이드 머티어리얼스, 인코포레이티드 Cvd 챔버의 유동 제어 피쳐
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US20110065276A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US8216640B2 (en) * 2009-09-25 2012-07-10 Hermes-Epitek Corporation Method of making showerhead for semiconductor processing apparatus
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
US8771538B2 (en) * 2009-11-18 2014-07-08 Applied Materials, Inc. Plasma source design
US8742665B2 (en) * 2009-11-18 2014-06-03 Applied Materials, Inc. Plasma source design
US8329262B2 (en) * 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
US20110189860A1 (en) * 2010-02-02 2011-08-04 Applied Materials, Inc. Methods for nitridation and oxidation
US20110198034A1 (en) * 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
KR20130055582A (ko) * 2010-03-17 2013-05-28 어플라이드 머티어리얼스, 인코포레이티드 원격 플라즈마 소오스 지원형 실리콘 함유 막 증착을 위한 장치 및 방법
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US20120097330A1 (en) * 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
KR101937115B1 (ko) * 2011-03-04 2019-01-09 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
US8999856B2 (en) * 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US20130115372A1 (en) * 2011-11-08 2013-05-09 Primestar Solar, Inc. High emissivity distribution plate in vapor deposition apparatus and processes
US10256079B2 (en) * 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations

Also Published As

Publication number Publication date
KR102114002B1 (ko) 2020-05-22
KR20150056839A (ko) 2015-05-27
TW201419401A (zh) 2014-05-16
CN104641456A (zh) 2015-05-20
TWI663646B (zh) 2019-06-21
WO2014046864A1 (en) 2014-03-27
CN111463125A (zh) 2020-07-28
JP2015532016A (ja) 2015-11-05
US20140099794A1 (en) 2014-04-10

Similar Documents

Publication Publication Date Title
JP6392760B2 (ja) ラジカルの化学的性質の複数の流れ経路を使用した調節及び制御
US11024486B2 (en) Semiconductor processing systems having multiple plasma configurations
US10593553B2 (en) Germanium etching systems and methods
US10424485B2 (en) Enhanced etching processes using remote plasma sources
US9449845B2 (en) Selective titanium nitride etching
US9378969B2 (en) Low temperature gas-phase carbon removal
US9406523B2 (en) Highly selective doped oxide removal method
US9412608B2 (en) Dry-etch for selective tungsten removal
US20150371865A1 (en) High selectivity gas phase silicon nitride removal
US20150214066A1 (en) Method for material removal in dry etch reactor
KR20220079701A (ko) 플라즈마 식각 프로세스들에서, 코팅된 부분들을 사용한 프로세스 윈도우 확장
WO2014113177A1 (en) Dry-etch for selective tungsten removal

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20160803

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20170424

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170516

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170808

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20171205

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180305

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20180724

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180823

R150 Certificate of patent or registration of utility model

Ref document number: 6392760

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees