TWI663646B - 使用多個流體途徑的自由基化學調製及控制 - Google Patents

使用多個流體途徑的自由基化學調製及控制 Download PDF

Info

Publication number
TWI663646B
TWI663646B TW102131735A TW102131735A TWI663646B TW I663646 B TWI663646 B TW I663646B TW 102131735 A TW102131735 A TW 102131735A TW 102131735 A TW102131735 A TW 102131735A TW I663646 B TWI663646 B TW I663646B
Authority
TW
Taiwan
Prior art keywords
chamber
precursor
remote plasma
processing
gas distribution
Prior art date
Application number
TW102131735A
Other languages
English (en)
Other versions
TW201419401A (zh
Inventor
英格爾尼汀K
王安川
陳興隆
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201419401A publication Critical patent/TW201419401A/zh
Application granted granted Critical
Publication of TWI663646B publication Critical patent/TWI663646B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45514Mixing in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/12Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material
    • C23C18/125Process of deposition of the inorganic material
    • C23C18/1279Process of deposition of the inorganic material performed under reactive atmosphere, e.g. oxidising or reducing atmospheres
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/08Reaction chambers; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Thermal Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

本發明描述了關於半導體處理腔室之系統及方法。示例性腔室可包括與腔室之第一出入口流體耦接之第一遠端電漿系統,及與腔室之第二出入口流體耦接之第二遠端電漿系統。系統亦可包括腔室中之氣體分佈組件,該氣體分佈組件可經設置以輸送第一前驅物及第二前驅物兩者進入腔室之處理區域內,同時保持第一前驅物及第二前驅物彼此流體隔離,直至該等前驅物經輸送進入腔室之處理區域內為止。

Description

使用多個流體途徑的自由基化學調製及控制 【相關申請案之交叉引用】
本申請案主張於2012年9月21日提出申請、標題為「Radical Chemistry Modulation and Control Using Multiple Flow Pathways」之美國臨時申請案第61/704,241號之權益。該申請案之整體揭示內容為了所有目的以引用之方式併入本文中。
本技術係關於半導體製程及設備。更特定言之,本技術係關於具有多個電漿設置之處理系統。
積體電路係藉由在基板表面上產生複雜的圖案化之材料層的製程而變得可能。於基板上產生圖案化材料需要用於移除暴露材料之受控方法。化學蝕刻係用於各種目的,包括將在光阻層內之圖案轉印至下伏層內、使層變薄,或使已經存在於表面上之特徵結構之側向尺寸變薄。通常,需要具有蝕刻一種材料比蝕刻另一材料更快的蝕刻製程以促進例如圖案轉印製程。此類蝕刻製程對於第一材料據說是選擇性 的。由於材料、電路及製程之多樣性,蝕刻製程已經發展具有對於各種材料之選擇性。
濕式HF蝕刻較佳地移除在其他介電質及半導體材料上之氧化矽。然而,濕式製程不能穿透一些受約束溝槽且濕式製程有時使剩餘材料變形。在形成於基板處理區域內之本端電漿中產生之乾式蝕刻可穿透更多受約束溝槽且顯示精密剩餘結構之較少變形。然而,本端電漿可在該等電漿放電時經由電弧之產生而損壞基板。
因此,存在對於用於在半導體基板上選擇性蝕刻材料及結構之改良的方法及系統的需要,該等改良的方法及系統允許在前驅物化學及蝕刻參數上的更多控制。該等及其他需要係藉由本技術來解決。
本發明描述了關於半導體處理腔室的系統及方法。示例性腔室可包括與腔室之第一出入口流體耦接之第一遠端電漿系統及與腔室之第二出入口流體耦接之第二遠端電漿系統,該示例性腔室經設置以在腔室之處理區域內容納半導體基板。系統亦可包括腔室中之氣體分佈組件,該氣體分佈組件可經設置以輸送第一前驅物及第二前驅物兩者進入腔室之處理區域內,同時保持第一前驅物及第二前驅物彼此流體隔離,直至該等前驅物經輸送進入腔室之處理區域內為止。第一出入口可靠近腔室之頂部定位或定位在腔室之頂部處,且第二出入口可靠近腔室之側部定位或定位在腔室之側部處。
氣體分佈組件可包括上板及下板,且上板及下板可 彼此耦接以界定在板之間的容積。板之耦接可提供經由上板及下板之第一流體通道且提供經由下板之第二流體通道。耦接亦可提供經由下板自容積之流體出入口,且第一流體通道可與在板之間的容積及第二流體通道隔離。容積可經由與腔室中之第二出入口流體耦接之氣體分佈組件之側面流體地進入。
腔室可經設置以經由腔室中之第一出入口及經由氣體分佈組件中之第一流體通道自第一遠端電漿系統提供第一前驅物進入腔室之處理區域內。腔室亦可經設置以經由腔室中之第二出入口自第二遠端電漿系統提供第二前驅物進入腔室內、進入界定在上板與下板之間的容積內及經由氣體分佈組件中之第二流體通道進入腔室之處理區域內。氣體分佈組件可經設置以防止第二前驅物經由氣體分佈組件之上板之流動。第一遠端電漿系統可包括第一材料且第二遠端電漿系統可包括第二材料。第一材料可基於第一前驅物之組成而選定,且第二材料可基於第二前驅物之組成而選定。第一材料及第二材料在所揭示實施例中可為不同材料。第一遠端電漿系統及第二遠端電漿系統可選自由以下各者組成之群組:RF電漿單元、電容式耦接電漿單元、電感式耦接電漿單元、微波電漿單元及環形電漿單元。第一遠端電漿系統及第二遠端電漿系統可經設置以在介於約10W至高於10kW或約10kW之間的功率位準下操作。第一遠端電漿系統可經設置以在第一功率位準下操作,該第一功率位準係基於第一前驅物之組成而選定,且第二遠端電漿系統可經設置以在第二功率位準 下操作,該第二功率位準係基於第二前驅物之組成而選定。系統可經設置以在不同於彼此之功率位準下操作第一遠端電漿單元及第二遠端電漿單元。
用於半導體處理腔室之操作方法可包括使第一前驅物經由第一遠端電漿系統流動進入半導體處理腔室內。方法亦可包括使第二前驅物經由第二遠端電漿系統流動進入半導體處理腔室內。第一前驅物及第二前驅物可在處理腔室之處理區域內組合,且該第一前驅物及該第二前驅物可在進入腔室之處理區域之前保持彼此流體隔離。在所揭示實施例中,第一前驅物可包括含氟前驅物,且第二前驅物可包括含氫前驅物。
此類技術可提供優於習知技術之大量益處。舉例而言,改良之電漿輪廓可基於不同前驅物用於不同電漿系統中之每一者。另外,系統劣化可基於具有不同電漿系統而較低,該等不同電漿系統由特定於防止在每一系統中處理之特定前驅物劣化之材料形成。該等及其他實施例,以及許多的該等及其他實施例之優勢及特徵結構係連同下文描述及附圖而更詳細地描述。
100‧‧‧處理工具
102‧‧‧FOUP
104‧‧‧機械手臂
106‧‧‧低壓固持區
108a‧‧‧基板處理區段/處理腔室
108b‧‧‧基板處理區段/處理腔室
108c‧‧‧基板處理區段/處理腔室
108d‧‧‧基板處理區段/處理腔室
108e‧‧‧基板處理區段/處理腔室
108f‧‧‧基板處理區段/處理腔室
109a‧‧‧製程腔室
109b‧‧‧製程腔室
109c‧‧‧製程腔室
110‧‧‧機械手臂
200‧‧‧製程腔室區段
201‧‧‧遠端電漿系統
202‧‧‧遠端電漿系統
203‧‧‧冷卻板
205‧‧‧進氣口組件
210‧‧‧流體供應系統
215‧‧‧第一電漿區域
217‧‧‧面板
220‧‧‧絕緣環
225‧‧‧噴淋頭
233‧‧‧處理區域
240‧‧‧電源
255‧‧‧基板
265‧‧‧基板支撐件
300‧‧‧噴淋頭/氣體分佈組件
301‧‧‧內環狀壁
302‧‧‧凹槽
303‧‧‧凹槽
304‧‧‧凹槽
305‧‧‧外環狀壁
306‧‧‧內部流體通道
308‧‧‧外部流體通道
310‧‧‧下表面
312‧‧‧埠
314‧‧‧埠
315‧‧‧上表面
320‧‧‧歧管/上板
322‧‧‧通道
324‧‧‧隔離通道
325‧‧‧歧管/下板
327‧‧‧內部噴淋頭容積
340‧‧‧環狀主體
350‧‧‧導管
351‧‧‧加熱元件
360‧‧‧孔隙
365‧‧‧孔隙
375‧‧‧孔隙
400‧‧‧腔室
401‧‧‧遠端電漿系統
402‧‧‧遠端電漿系統
405‧‧‧第一出入口
410‧‧‧第二出入口
420‧‧‧上板
423‧‧‧下板
425‧‧‧氣體分佈組件
427‧‧‧容積
433‧‧‧處理區域
440‧‧‧流體通道
445‧‧‧流體通道
455‧‧‧基板
465‧‧‧托架
510‧‧‧操作
520‧‧‧操作
530‧‧‧操作
所揭示技術之本質及優勢之進一步理解可藉由參考本說明書及圖式之剩餘部分而實現。
第1圖圖示示例性處理工具之一個實施例之頂部平面圖。
第2圖圖示示例性處理腔室之示意性橫截面圖。
第3A圖至第3D圖圖示根據所揭示技術之示例性噴淋頭設置之示意圖。
第4圖圖示根據所揭示技術之處理腔室之簡化橫截面圖。
第5圖圖示根據所揭示技術之用於半導體處理腔室的操作方法之流程圖。
在隨附圖式中,類似的元件及/或特徵結構可具有相同元件符號。此外,相同類型之各種元件可藉由在元件符號之後繼之以破折號及在類似元件之間區分的第二符號來辨別。若在本說明書中僅使用第一元件符號,則描述可在不考慮第二元件符號的情況下適用於具有相同第一元件符號之類似元件中之任一者。
本技術包括用於半導體處理之系統,該等系統提供改良之流體輸送機構。某些乾式蝕刻技術包括利用遠端電漿系統以提供自由基流體物種進入處理腔室內。示例性方法係描述在於2012年4月4日提出申請之共同讓渡之專利申請案第13/439079號中,該申請案在與本文所主張態樣及描述一致的範圍內以引用之方式併入本文。當使用可包括若干自由基物種之乾蝕刻劑配方時,由不同流體產生之自由基物種可與遠端電漿腔室不同地相互作用。舉例而言,用於蝕刻之前驅物流體可包括含氟前驅物及含氫前驅物。遠端電漿系統之電漿孔穴以及至處理腔室之分佈元件可經塗佈或加襯裡以提供保護而免受反應性自由基的影響。舉例而言,鋁電漿孔穴可 經塗佈有氧化物或氮化物,該氧化物或氮化物將保護孔穴免受氟自由基的影響。然而,若前驅物亦含有氫自由基,則氫物種可將氧化鋁轉換或還原回至鋁,在此時氟可與鋁直接反應以產生諸如氟化鋁之不期望副產物。
習知技術已經由元件之定期維護及置換來處理該等不期望副作用,然而,本系統藉由通過單獨的流體途徑提供自由基前驅物進入處理腔室內而克服此需要。藉由利用每一遠端電漿系統經設置以輸送單獨的前驅物流體之兩個或兩個以上遠端電漿系統,每一系統可基於正在輸送之流體而單獨保護。發明者亦已出人意料地決定:藉由通過單獨的遠端電漿系統提供前驅物物種,每一流體之特定解離及電漿特性可經定製從而提供改良之蝕刻性能。因此,本文描述之系統依據化學調製提供改良之靈活性。該等及其他益處將在下文詳細地描述。
儘管剩餘揭示內容將通常識別利用所揭示技術之特定蝕刻製程,但將容易理解的是:系統及方法可同等地應用於如可能發生在所描述腔室中之沉積及清洗製程。因此,技術不應被視為僅對於蝕刻製程受限。
第1圖圖示根據所揭示實施例之沉積、蝕刻、烘烤及/或固化腔室之處理工具100之一個實施例的頂部平面圖。在圖式中,一對FOUP(前開式晶圓傳送盒)102供應基板(例如,指定直徑之半導體晶圓),該等基板可藉由機械手臂104接收且該等基板在經置放至串列製程腔室109a-c之基板處理區段108a-f中之一者內之前被置放至低壓固持區106中。第 二機械手臂110可用來自固持區106傳送基板至處理腔室108a-f及自處理腔室108a-f向回傳送。
串列製程腔室109a-c之基板處理區段108a-f可包括用於沉積、退火、固化及/或蝕刻基板或基板上的薄膜之一或多個系統元件。示例性薄膜可為可流動介電質,但許多類型之薄膜可由處理工具形成或處理。在一個設置中,處理腔室之兩對串列處理區段(例如,108c-d及108e-f)可用來在基板上沉積介電質材料,且第三對串列處理區段(例如,108a-b)可用來退火所沉積介電質。在另一設置中,處理腔室之兩對串列處理區段(例如,108c-d及108e-f)可經設置以在基板上既沉積介電質薄膜且退火介電質薄膜,而第三對串列處理區段(例如,108a-b)可用於所沉積薄膜之紫外線固化或電子束固化。在又另一設置中,所有三對串列處理區段(例如,108a-f)可經設置以在基板上沉積且固化介電質薄膜或將特徵結構蝕刻至所沉積薄膜內。
在又一設置中,兩對串列處理區段(例如,108c-d及108e-f)可既用於介電質之沉積且用於介電質之紫外線固化或電子束固化,而第三對串列處理區段(例如108a-b)可用於退火介電質薄膜。另外,串列處理區段108a-f中之一或多者可經設置作為處理腔室,且串列處理區段108a-f中之一或多者可為濕式處理腔室或乾式處理腔室。該等製程腔室可包括在包括水分之大氣中加熱介電質薄膜。因此,系統100之實施例可包括濕處理串列處理區段108a-b及退火串列處理區段108c-d,以在所沉積介電質薄膜上執行乾退火及濕退火 兩者。應瞭解,系統100設想了用於介電質薄膜之沉積、蝕刻、退火及固化腔室的額外設置。
第2圖為在處理腔室內具有分割之電漿產生區域的示例性製程腔室區段200之橫截面圖。在薄膜蝕刻(例如,矽、多晶矽、氧化矽、氮化矽、氧氮化矽、碳氧化矽薄膜蝕刻)期間,製程氣體可經由進氣口組件205流動至第一電漿區域215內。遠端電漿系統(remote plasma system;RPS)201可處理第一氣體,該第一氣體隨後經過進氣口組件205,且第二RPS 202可處理第二氣體,該第二氣體隨後經過製程腔室200中之側面進氣口。進氣口組件205可包括兩個不同的氣體供應通道,其中第二通道(未圖示)可繞過RPS 201。在一個實例中,在所揭示實施例中,經由RPS提供之第一通道可用於製程氣體且繞過RPS之第二通道可用於處理氣體。製程氣體可在進入RPS 201內之第一電漿區域215之前經激發。冷卻板203、面板217、噴淋頭225及具有基板255安置在基板支撐件265上之基板支撐件265係根據所揭示實施例而圖示。面板217可為稜錐形、圓錐形或具有窄頂部部分擴展至寬底部部分的另一類似結構。面板217可另外如所示為平坦的且包括用來分佈製程氣體之複數個直通通道(未圖示)。面板(或導電頂部)217及噴淋頭225經圖示在所述面板(或導電頂部)217與噴淋頭225之間具有絕緣環220,該絕緣環220允許相對於噴淋頭225將交流電位施加至面板217。絕緣環220可經定位在面板217與噴淋頭225之間以使電容式耦接之電漿(capacitively coupled plasma;CCP)能夠形成在第一 電漿區域內。擋板(未圖示)可另外位於第一電漿區域215中以影響流體經由進氣口組件205進入區域之流動。
示例性設置包括使進氣口組件205通向藉由面板217自第一電漿區域215分割之氣體供應區域,以便氣體/物種經由面板217內之孔洞流動進入第一電漿區域215內。結構上及操作上的特徵結構可經選定以防止電漿由第一電漿區域215顯著回流返回至供應區域、進氣口組件205及流體供應系統210內。結構上的特徵結構可包括面板217中之孔隙之尺寸及橫截面幾何形狀之選擇,該選擇鈍化回流電漿。操作上的特徵結構可包括保持在氣體供應區域與第一電漿區域215之間的壓差,該壓差保持電漿經由噴淋頭225之單向流動。
諸如例如含氟前驅物之前驅物的流體可藉由本文描述之噴淋頭之實施例流動進入處理區域233。源自電漿區域215內之製程氣體的激發物種可經過噴淋頭225內之孔隙且與自噴淋頭之分離部分流動進入處理區域233之額外前驅物反應。在處理區域233內可能存在很少的電漿或不存在電漿。在所揭示之申請案中,前驅物之激發衍生物可在基板上方之區域內組合且有時在基板上組合,以在基板上蝕刻結構或移除物種。
直接激發在第一電漿區域215內之流體、激發在RPS單元201、202中之一者或兩者內的流體,或上述激發兩者可提供若干益處。由於第一電漿區域215內之電漿,源自流體之激發物種的濃度可在處理區域233內增大。此增大可起因 於電漿在第一電漿區域215中之定位。相對於遠端電漿系統(RPS)201,處理區域233可更接近於第一電漿區域215定位,留下更少時間用於激發物種經由與其他氣體分子、腔室之壁及噴淋頭之表面的碰撞而離開激發態。
源自製程氣體之激發物種之濃度的均一性亦可在處理區域233內增大。如此可起因於第一電漿區域215之形狀,第一電漿區域215之形狀可能更類似於處理區域233之形狀。相對於通過在噴淋頭225之中心附近的孔隙的物種,在RPS 201、202中產生之激發物種可能行進更遠的距離以通過在噴淋頭225之邊緣附近的孔隙。更遠的距離可導致之激發物種之激發減少且,例如,更遠的距離可導致在基板邊緣附近之較慢生長率。在第一電漿區域215內激發流體可緩和對於經由RPS 201流動之流體的此變化。
處理氣體可在RPS 201、202中激發且處理氣體可以激發態經由噴淋頭225傳遞至處理區域233。或者,可將功率施加至第一處理區域以激發電漿氣體或增強已由RPS激發之製程氣體。儘管電漿可在處理區域233內產生,但電漿可替代地不在處理區域內產生。在一個實例中,僅激發處理氣體或前驅物可來自激發RPS單元201、202內之處理氣體,以在處理區域233內與彼此反應。
處理系統可進一步包括電源240,該電源240以電氣方式與處理腔室耦接以提供電功率至面板217及/或噴淋頭225,以在第一電漿區域215或處理區域233中產生電漿。電源可經設置以取決於所執行製程輸送可調整量的功率至腔 室。
除流體前驅物外,可有在不同時間引入用於不同目的之其他氣體,所述氣體包括幫助輸送之運載氣體。處理氣體可經引入以在沉積期間自腔室壁、基板、所沉積薄膜及/或薄膜移除不期望物種。處理氣體可在電漿中激發且隨後用來減少或移除腔室內部的殘餘物含量。在其他所揭示實施例中,可在無電漿的情況下使用處理氣體。當處理氣體包括水蒸氣時,輸送可使用品質流量計(mass flow meter;MFM)、噴射閥實現或藉由市售水蒸氣產生器實現。處理氣體可經由RPS單元或繞過RPS單元自第一處理區域引入,且處理氣體可進一步在第一電漿區域中激發。
額外的雙通道噴淋頭,以及本處理系統及腔室係更完全地描述在於2011年10月3日提出申請之專利申請案第13/251,714號中,該專利申請案在與所主張特徵結構及描述一致的範圍內對於所有目的以引用之方式併入本文。
供在處理腔室區段200內使用之氣體分佈組件225係稱為雙通道噴淋頭(dual channel showerheads;DCSH)且在本文第3A圖至第3D圖所描述之實施例中詳細說明。雙通道噴淋頭可在操作期間允許介電質材料之可流動沉積、前驅物及處理流體之分離。噴淋頭可替代地用於蝕刻製程,該等蝕刻製程允許蝕刻劑在反應區外的分離,以在經輸送至處理區域內之前提供與腔室元件及彼此之有限相互作用。
通常,參看第3A圖至第3D圖中的噴淋頭,前驅物可藉由首先經引入至內部噴淋頭容積327內而引入至處理區 域中,該內部噴淋頭容積327係藉由第一歧管320或上板,及第二歧管325或下板界定在噴淋頭300內。歧管可為界定複數個孔隙之多孔板。通常稱為第二前驅物的內部噴淋頭容積327中之前驅物可經由在下板中形成之孔隙375流動進入處理區域233內。此流動路徑可與腔室中剩下的製程氣體隔開,且此流動路徑可提供前驅物處於未反應或實質上未反應的狀態直至進入處理區域233為止,該處理區域233係界定在基板255與下板325的底部之間。或者,第二RPS 202可用來激發或產生第二前驅物之自由基物種。該等自由基物種可經保持與第一前驅物之其他自由基物種分離,第一前驅物之其他自由基物種可經由第一孔隙360流動。一旦處於處理區域233內,兩個前驅物可與彼此及基板反應。第二前驅物可經由形成於噴淋頭中之側通道而引入至界定於噴淋頭300中之內部噴淋頭容積327內,所述側通道諸如如本文之噴淋頭實施例中所示之通道322。第一前驅物氣體可處於電漿狀態,包括來自RPS單元之自由基或來自於第一電漿區域中產生之電漿。另外,電漿可在處理區域內產生。
第3A圖圖示氣體分佈組件300之上部透視圖。在使用中,氣體分佈系統300可具有實質上水準定向,以使得經由該氣體分佈系統300形成之氣體孔隙之軸線可與基板支撐件(見第2圖中之基板支撐件265)之平面垂直或實質上垂直。第3B圖圖示氣體分佈組件300之底部透視圖。第3C圖為氣體分佈組件300之底部平面圖。第3D圖為沿第3C圖之直線A-A截取之氣體分佈組件300的示例性實施例之橫斷面 視圖。
參看第3A圖至第3D圖,氣體分佈組件300通常包括環狀主體340、上板320及下板325。環狀主體340可為環,該環具有位於內直徑處之內環狀壁301、位於外直徑處之外環狀壁305、上表面315及下表面310。上表面315及下表面310界定環狀主體340之厚度。導管350可在環狀主體340內形成且冷卻流體可在通道內流動,該通道延伸在環狀主體340之圓周周圍。或者,加熱元件351可經由用來加熱噴淋頭元件之通道延伸。
一或多個凹槽及/或通道可在環狀主體內形成或藉由環狀主體界定,該環狀主體如所揭示實施例中所示,包括在第3D圖中圖示之彼凹槽及/或通道。環狀主體可包括形成於上表面中之上部凹槽303及在內環狀壁301處形成於下表面中之第一下部凹槽302。環狀主體亦可包括形成於下表面310中之第二下部凹槽304,該第二下部凹槽304在第一下部凹槽302下方且自第一下部凹槽302徑向向外。如第3D圖中所示,內部流體通道306可經界定在上表面315中,且內部流體通道306可位於環狀主體徑向向內之上部凹槽303內。內部流體通道306可為環狀形狀且經形成在環狀主體340周圍上的整體距離。在所揭示實施例中,上部凹槽303之底部部分與內部流體通道306之外壁相交(未圖示)。內部流體通道亦可為至少部分徑向向外之第二下部凹槽304。複數個埠312可界定在內部流體通道之內壁中,亦在環狀主體340之內環狀壁301中。埠312可提供在內部流體通道與內部容積327 之間的出入口,該內部容積327係界定在上板320與下板325之間。埠可以特定間隔界定在通道之圓周周圍,且埠可促進跨容積327之整體區域的流體分佈,該容積327係界定在上板與下板之間。在埠312之間的間距的間隔可為恆定,或所述間隔可在不同位置中變化以影響流體進入容積之流動。內部流體通道306之徑向內壁及外壁可具有類似或相異的高度。舉例而言,內壁可經形成高於外壁以影響流體在內部流體通道中之分佈,從而避免或實質上避免流體在第一流體通道之內壁上的流動。
再次參看第3D圖,外部流體通道308可界定在上表面315中,該上表面315定位於環狀主體徑向向外之內部流體通道306中。外部流體通道308可為環狀形狀且外部流體通道308自內部流體通道306徑向向外定位且與內部流體通道306同心。外部流體通道308亦可定位為徑向向外之第一上部凹槽303,以使得上板320不覆蓋外部流體通道308,或外部流體通道308可如所示為徑向向內之第一上部凹槽303,以使得上板320覆蓋外部流體通道308。第二複數個埠314可經界定在部分之環狀主體340中,該部分之環狀主體340界定內部流體通道306之外壁及外部流體通道308之內壁。第二複數個埠314可在通道周圍以預定距離之間隔定位,以在圍繞外部流體通道308之若干位置處提供至內部流體通道306之流體出入口。在操作中,前驅物可自製程腔室外部流動至位於環狀主體340之側面的輸送通道322。此輸送通道322可經由處理腔室中之第二出入口與第二RPS 202流體連 通。流體可經由進入內部流體通道306之第二複數個埠314、經由進入界定在上板與下板之間的內部容積327之第一複數個埠312及經由位於底板325中之第三孔隙375流動進入外部流體通道308。因而,以此方式提供之流體可與經由孔隙360輸送至第一電漿區域內之任何流體隔離或實質上隔離,直至流體分別離開下板325為止。
上板320可為圓盤狀主體,且上板320可在第一上部凹槽303處與環狀主體340耦接。因此,上板320可覆蓋第一流體通道306以防止或實質上防止流體自第一流體通道306之頂部流動。上板可具有經選定與上部凹槽303之直徑配合的直徑,且上板可包含經由上板形成之複數個第一孔隙360。第一孔隙360可延伸超出上板320之底部表面,從而形成數個凸起的圓柱狀主體(未圖示)。在每一凸起圓柱狀主體之間可有縫隙。如第3A圖中所示,第一孔隙360可以多邊形圖案佈置於上板320上,以使得經由最外面第一孔隙360之中心繪製之虛線界定或實質上界定一多邊形圖,該多角形圖可例如為六邊形。
下板325可具有圓盤狀主體,該圓盤狀主體具有經由圓盤狀主體形成之數個第二孔隙365及第三孔隙375,如特別參見於第3C圖中。下板325可具有多個厚度,其中所界定部分之厚度大於上板320之中心厚度,且在所揭示實施例中,所界定部分之厚度約上板320之厚度的至少兩倍。下板325亦可具有直徑,該直徑與環狀主體340在第一下部凹槽302處之內環狀壁301之直徑配合。第二孔隙365可藉由下板325 界定,作為圓柱狀主體延伸直到上板320。以此方式,通道可形成在彼此流體隔離之第一孔隙及第二孔隙之間,且通道可稱為第一流體通道。另外,形成在上板與下板之間的容積327可與形成在第一孔隙與第二孔隙之間的通道流體隔離。因而,經由第一孔隙360流動之流體將經由第二孔隙365流動且在板之間的內部容積327內的流體將經由第三孔隙375流動,且流體將彼此流體地隔離直至該等流體經由或第二孔隙或第三孔隙離開下板325。第三孔隙375可稱為第二流體通道,該等第二流體通道自內部容積327延伸通過底板325。此分離可提供大量益處,包括防止自由基前驅物在到達處理區域之前接觸第二前驅物。藉由防止氣體之相互作用,可將在腔室內之反應在其中期望反應之處理區域之前最小化。
第二孔隙365可以與如上所述第一孔隙360之圖案對準的圖案佈置。在一個實施例中,當上板320及底板325經定位一者位於另一者頂部上時,第一孔隙360之軸線與第二孔隙365之軸線對準。在所揭示實施例中,上板及下板可彼此耦接或直接結合在一起。在任一情況下,板之耦接可發生以使得第一孔隙及第二孔隙經對準以經由上板及下板形成通道。複數個第一孔隙360及複數個第二孔隙365可使該等孔隙的各個軸線平行或實質上平行於彼此,例如,孔隙360、365可為同心。或者,複數個第一孔隙360及複數個第二孔隙365可使各個軸線以彼此成約1°至約30°的角度來安置。在底板325之中心處,可存在或可不存在第二孔隙365。
再次參看第3D圖,一對隔離通道324可在環狀主 體340中形成。該對隔離通道324中之一者可界定在上板320中,且該對隔離通道324中之另一者可界定在環狀主體340之下表面310中。或者,如第3A圖中所示,該對隔離通道324中之一者可界定在環狀主體340之上表面315中。該對隔離通道可彼此垂直地對準,且在所揭示實施例中該對隔離通道可處於直接垂直對準。或者,該對隔離通道可以任一方向上自垂直對準偏移。通道可提供用於隔離障壁之位置,諸如所揭示實施例中之O形環。
轉向第4圖,圖示根據所揭示技術之處理腔室400之簡化示意圖。腔室400可包括如前所論述之任何元件,且腔室400可經設置以在腔室之處理區域433中容納半導體基板455。基板455可定位於如所示之托架465上。處理腔室400可包括兩個遠端電漿系統(RPS)401、402。第一RPS單元401可與腔室400之第一出入口405流體耦接,且第一RPS單元401可經設置以經由第一出入口405輸送第一前驅物至腔室400內。第二RPS單元402可與腔室400之第二出入口410流體耦接,且第二RPS單元402可經設置以經由第二出入口410輸送第二前驅物至腔室400內。第一電漿單元401及第二電漿單元402可為相同或不同的電漿系統。舉例而言,系統中之一者或兩者可為RF電漿系統、CCP電漿腔室、ICP電漿腔室,包括環形電漿系統、微波電漿系統等之磁性產生電漿系統,或能夠形成電漿或以其他方式激發及/或解離系統中之分子的任何其他系統類型。系統可經設置以保持第一前驅物及第二前驅物彼此流體隔離,直至該等前驅物經輸送至 腔室400之處理區域433為止。第一出入口405可靠近處理腔室400之頂部定位或定位於處理腔室400之頂部處,且第二出入口410可靠近腔室400之側部中之一者定位或沿著腔室400之側部中之一者定位。
腔室400可進一步包括腔室內之氣體分佈組件425。氣體分佈組件425可定位於在處理區域433之頂部處的腔室400內或在處理區域433上方,該氣體分佈組件425在態樣上可與如先前描述之雙通道噴淋頭類似。氣體分佈組件425可經設置以輸送第一前驅物及第二前驅物兩者至腔室400之處理區域433內。儘管第4圖之示例性系統包括雙通道噴淋頭,但應理解,可利用替代的分佈組件,該等替代的分佈組件保持第一前驅物及第二前驅物在處理區域433之前的流體隔離。舉例而言,可利用多孔板及在板之下的管道,儘管其他設置可以降低之效率操作或不提供與如所描述之雙通道噴淋頭一樣的均勻處理。
氣體分佈組件425可包含上板420及下板423,如先前所論述。板可彼此耦接以界定在板之間的容積427。板之耦接可為如此以提供經由上板及下板之第一流體通道440及經由下板423之第二流體通道445。所形成之通道可經設置以提供經由下板423自容積427之流體出入口,且第一流體通道440可與在板之間的容積427及第二流體通道445流體隔離。容積427可經由氣體分佈組件425之側面流體地進入,諸如如先前所論述之通道322。此部分之氣體分佈組件可與腔室中之第二出入口410流體耦接,RPS單元402可經由該第 二出入口410輸送第二前驅物。
腔室可經設置以經由腔室中之第一出入口405自第一RPS單元401輸送第一前驅物至腔室之處理區域433內。第一前驅物可隨後經由氣體分佈組件425中之第一流體通道440輸送。另外,腔室可經設置以經由腔室400中之第二出入口410自第二RPS 402提供第二前驅物至腔室內。第二前驅物可經由出入口410流動且進入氣體分佈組件425內。第二前驅物可經由氣體分佈組件流動進入界定在上板與下板之間的容積427內,且第二前驅物可隨後經由在氣體分佈組件425之下板423中之第二流體通道445向下流動進入處理區域433內。由於上板420及下板423之耦接及設置,元件可經設置以防止第二前驅物經由元件425之上板420的流動。如此可起因於如上所論述的孔隙在元件中之對準。
RPS單元401、402之電漿孔穴及通向腔室出入口405、410之任何機械耦接可由基於第一前驅物及第二前驅物之材料製得,該等前驅物經選定以經由RPS單元401、402流動。舉例而言,在某些蝕刻操作中,含氟前驅物(例如,NF3)可經由第一RPS單元及第二RPS單元中之任一者(諸如RPS單元401)流動。當電漿係在RPS單元401中形成時,分子可經解離成為自由基離子。若RPS單元401係由未改變之鋁製得,則氟自由基可與形成諸如氟化鋁之副產物的孔穴壁反應。因此,RPS單元401可以第一材料形成,該第一材料可例如為氧化鋁、氮化鋁或與第一前驅物不相互作用之另一材料。RPS單元401之材料可基於第一前驅物之組成而選定, 且可具體地選定RPS單元401之材料以使得前驅物不與腔室元件相互作用。
類似地,第二RPS單元402可由第二材料製得,該第二材料係基於第二前驅物而選定。在所揭示實施例中,第一材料及第二材料可為不同材料。舉例而言,若含氫前驅物係經由第二RPS402流動且形成電漿,則解離之氫自由基可與RPS 402之電漿孔穴相互作用。若腔室係由氧化鋁類似地製得,則例如氫自由基將與氧化物相互作用,且氫自由基可移除保護性塗層。因此,RPS單元402可由第二材料製得,該第二材料不同於諸如鋁之第一材料或與第二前驅物不相互作用之另一材料。此舉可同樣延伸至氣體分佈組件,其中,上板420之上表面由用於第一RPS中之相同材料製得或以該相同材料塗佈,且上板420之底部表面及下板423之上表面由用於第二RPS之相同材料製得或以該相同材料塗佈。此塗佈或材料選擇可改良隨著時間的設備劣化。因此,氣體分佈組件板每一者可包括由一或多個材料製得之多個板。
在操作中,RPS單元401、402中之一者或兩者可用來在單元內產生電漿以至少部分地離子化第一前驅物及/或第二前驅物。在其中利用含氟前驅物及含氫前驅物之一個實例中,含氫前驅物可經由第一RPS單元401流動且含氟自由基可經由第二RPS單元402流動。此設置可基於對於自由基物種之行進距離。舉例而言,自第一RPS單元401至處理區域433之路徑可能較短。因為氫自由基可由於較短半衰期而比氟自由基更快速地重新結合,故含氫自由基可經由較短路徑流 動。另外,如先前所描述的電漿可在腔室400之區域內形成於氣體分佈組件425上方以延長、繼續或增強自由基物種。然而,所揭示之其他設置可經由第二RPS單元402流動含氫前驅物。
在各種實施例中,RPS單元401、402可在自低於或約10W直到高於或約10kW或15kW之間的功率位準下操作。發明者已有利地決定,所揭示技術之額外益處為:每一RPS單元之功率及電漿輪廓可經調整至所使用的特定前驅物。舉例而言,繼續具有含氟前驅物及含氫前驅物的實例,一些習知系統要求:需要解離之兩個前驅物經由相同的RPS單元流動。除如上所論述之電漿孔穴及RPS單元之潛在劣化外,有益於兩個前驅物之電漿輪廓可能不可用。繼續實例,包括NF3之含氟前驅物可在RPS單元中以相對低的功率位準處理。藉由以等於或低於100W、200W、400W、高達1000W或更高之功率位準操作RPS,前驅物可經解離至不完全離子化顆粒之較小程度,且前驅物包括同樣包括NF及NF2物種之獨立自由基。另外,處理含氫前驅物之RPS單元可以高得多的功率位準操作,如完全解離可能所需要。因此,RPS單元可在高達或高於約1000W與高達或高於約10kW或更高的功率之間操作。在不同實施例中,應用於示例性處理系統中之RF頻率可為小於約500kHz之低RF頻率、在約10MHz與約15MHz之間的高RF頻率或者大於或約1GHz之微波頻率。因而,第一RPS單元401可經設置以在基於第一前驅物之組成而選定之第一功率位準下操作,且第二RPS可經設置 以在基於第二前驅物之組成而選定之第二功率位準下操作。兩個RPS單元401、402可經設置以在不同於彼此之功率位準下操作。此設置可要求單獨或去耦電源以及其他變化。
額外靈活性可藉由操作RPS單元中之一者但不操作另一者來提供。舉例而言,含氟前驅物可經由第一RPS單元401流動,該第一RPS單元401經設置以基於前驅物在可能較低的功率位準下操作。含氫前驅物可經由第二RPS單元402流動,在第二RPS單元402中未形成電漿,以使得分子前驅物流動至處理區域433。當第一前驅物及第二前驅物分別離開氣體分佈組件425時,該等前驅物可相互作用,且在RPS單元401中已至少部分自由基化的第一前驅物可離子化部分的第二前驅物,在此情況下可改良系統之功率效率。基於該等實例,應理解,許多態樣可基於各種操作上的特性在所揭示之技術實施例中顛倒或變化。
為更好理解且瞭解本發明,現在對第5圖進行參看,第5圖為根據所揭示實施例之蝕刻製程之流程圖,該蝕刻製程特定言之為矽選擇性蝕刻。應理解,技術可類似地用於沉積製程。矽可為非晶的、結晶的或多晶的(在此情況下,該矽通常稱為多晶矽)。在第一操作之前,結構可在圖案化基板中形成。結構可具有矽及氧化矽之單獨暴露區域。先前沉積及形成製程可能已在相同腔室中執行或可能未在相同腔室中執行。若在不同腔室中執行,則基板可經轉印至諸如上述彼者的系統。
在操作510處,諸如含氫前驅物之第一前驅物可流 動進入與基板處理區域分離的第一電漿區域內。分離電漿區域在本文可稱為遠端電漿區域且分離電漿區域可處於與處理腔室或處理腔室內之隔室不同的模組內。一般而言,含氫前驅物可流動進入第一電漿區域內,在該第一電漿區域中,該含氫前驅物在電漿中經激發,且含氫前驅物可包含選自H2、NH3、烴類或類似物之至少一個前驅物。在操作520處,諸如三氟化氮或不同含氟前驅物之第二前驅物之流動可經引入至第二遠端電漿系統內,在第二遠端電漿系統中,該第二前驅物在電漿中經激發。第一電漿系統及第二電漿系統可以如先前論述之任何方式操作,且在所揭示實施例中,含氫前驅物及含氟前驅物可經由替代的RPS單元流動。另外,僅一個遠端電漿系統可在所揭示實施例中操作。三氟化氮之流動速率相對於氫之流動速率可為較低,以在將不久量化時實現高原子流量比H:F。其他氟來源可用來增加或置換三氟化氮。通常,含氟前驅物可流動進入第二遠端電漿區域且含氟前驅物包含選自由以下各者組成之群組的至少一個前驅物:原子氟、雙原子氟、三氟化溴、三氟化氯、三氟化氮、氟化氫、氟代烴、六氟化硫及二氟化氙。
在操作530處,形成於第一前驅物及第二前驅物之遠端電漿區域中的電漿流出物可隨後分別流動進入基板處理區域內且隨後在基板處理區域中組合。圖案化基板可經選擇性蝕刻,以使得暴露矽係以大於暴露氧化矽之至少或約七十倍的速度移除。技術可涉及維護氫(H)與氟(F)之高原子流量比以實現矽之高蝕刻選擇性。一些前驅物可含有氟及氫兩者, 在此情況中,當計算本文描述之原子流量比時,包括所有貢獻之原子流動速率。氫優勢可說明氫終止圖案化基板上的暴露表面。在本文描述之條件下,氫終止可僅在矽表面上為介穩態的。自三氟化氮或其他含氟前驅物的氟置換矽表面上的氫且產生揮發性殘留物,該揮發性殘留物離開表面且帶走矽。由於存在於其他暴露材料中之強結合能量,氟可能不能置換其他氫終止表面之氫(及/或不能產生揮發性殘留物以移除其他暴露材料)。
在一個實例中,大於或約15:1之氣體流量比(H2:NF3),或一般而言,大於或約在10:1之間的原子流量比,經找到以實現大於或約70:1之蝕刻選擇性(矽:氧化矽或矽:氮化矽)。在所揭示實施例中,蝕刻選擇性(矽:氧化矽或矽:氮化矽)亦可大於或約100:1、大於或約150:1、大於或約200:1、大於或約250:1或大於或約300:1,或在任何該等範圍之間或在任何該等範圍之中。暴露鎢、氮化鈦或其他金屬之區域亦可存在於圖案化基板上且可稱為暴露金屬區域。在所揭示實施例中,蝕刻選擇性(矽:暴露金屬區域)可為大於或約100:1、大於或約150:1、大於或約200:1、大於或約250:1、大於或約500:1、大於或約1000:1、大於或約2000:1或大於或約3000:1。反應性化學物種係自基板處理區域移除且隨後基板係自處理區域移除。
如本文中所述,高流量之含氫前驅物之存在確保矽、氧化矽及氮化矽在大部分處理期間保持氫終止表面。含氟前驅物及/或含氫前驅物可進一步包括一或多個相對惰性氣 體,諸如He、N2、Ar或類似物。惰性氣體可用來改良電漿穩定性及/或攜帶液體前驅物至遠端電漿區域。不同氣體之流動速率及比值可用來控制蝕刻速度及蝕刻選擇性。在實施例中,含氟氣體包括處於在約1sccm(標準立方公分每分鐘)與30sccm之間的流動速率下的NF3、處於在約500sccm與5000sccm之間的流動速率下的H2、處於在約0sccm與3000sccm之間的流動速率下的He及處於在約0sccm與3000sccm之間的流動速率下的Ar。在所揭示實施例中,原子流量比H:F可保持為高以減少或消除氧化矽上之固態殘留物形成。固態殘留物之形成消耗一些氧化矽,此可減少蝕刻製程之矽選擇性。在本技術之實施例中,原子流量比H:F可大於或約二十五(亦即,25:1)、大於或約30:1或大於或約40:1。
腐蝕及與RPS系統之其他相互作用可藉由保持前驅物流體分離而減少或消除。如上所述,包括氣體分佈組件之RPS單元及分佈元件可由基於正輸送之前驅物選定的材料製得,且因此所述材料經選定以防止在離子化前驅物與設備之間的反應。
在本發明之實施例中,離子抑制器可用來在自遠端電漿區域至基板處理區域之運輸期間自電漿流出物過濾離子。離子抑制器起作用以減少或消除自電漿產生區域行進至基板的離子帶電物種。不帶電中性及自由基物種可通過離子抑制器中之開口以在基板處反應。應注意,完全消除圍繞基板之反應區內的離子帶電物種並不總是期望目標。在許多例子中,要求離子物種到達基板以執行蝕刻及/或沉積製程。在 該等例子中,離子抑制器幫助在協助製程之位準下控制離子物種在反應區內的濃度。在所揭示實施例中,氣體分佈組件之上板可包括離子抑制器。
基板之溫度在蝕刻製程期間可大於0℃。或者,基板溫度可為大於或約20℃且小於或約300℃。在此基板溫度範圍之高端處,矽蝕刻速度可下降。在此基板溫度範圍之低端處,氧化矽及氮化矽可開始蝕刻且因此可選擇性下降。在所揭示實施例中,在本文描述之蝕刻期間的基板溫度可為大於或約30℃同時小於或約200℃,或大於或約40℃同時小於或約150℃。在所揭示實施例中,基板溫度可為低於100℃、低於或約80℃、低於或約65℃或低於或約50℃。
資料進一步圖示作為製程壓力之函數的矽蝕刻速度之增大(對於給定氫:氟原子比)。然而,對於約50:1 H:F之原子流動速率比值,增大壓力超過1托可開始減少選擇性。如此係疑似由組合兩個或兩個以上含氟流出物之較高概率造成。蝕刻製程可隨後開始移除氧化矽、氮化矽及其他材料。在所揭示實施例中,在基板處理區域內之壓力可為低於或約10托、低於或約5托、低於或約3托、低於或約2托、低於或約1托或低於或約750毫托。在本發明之實施例中,為確保足夠的蝕刻速度,壓力可為高於或約0.05托、高於或約0.1托、高於或約0.2托或高於或約0.4托。額外實例、製程參數及操作步驟係在與本文描述之輸送機構一致的範圍內,包括在先前併入之申請案第13/439079號中。
在先前描述中,出於說明之目的,已闡述大量細節 以提供對本發明之各種實施例的理解。然而,對於熟習此項技術者將顯而易見的是,某些實施例可在無某些該等細節的情況下實踐或在有額外細節的情況下實踐。
在已揭示若干實施例的情況下,熟習此項技術者將認識到,可在不脫離所揭示實施例之精神的情況下使用各種修飾、替代構造及等效物。另外,未描述大量熟知製程及元件以避免不必要模糊本發明。因此,上述內容應不會被當作限制本發明之範疇。
在提供值之範圍的情況中,應理解,除非上下文另外明確指定,否則亦具體揭示在彼範圍之上限與下限之間的對下限之單元之最小分數的每一中間值。涵蓋在所說明範圍內之任何說明值或未說明中間值與在彼說明範圍內之任何其他說明值或中間值之間的任何較小範圍。彼等更小範圍之上限及下限可獨立地包括在範圍內或排除在該範圍外,且其中極限中之任一者包括在更小範圍內、兩個極限皆包括在更小範圍內或皆不包括在更小範圍內的每一範圍亦經涵蓋在技術內,受所說明範圍內任何具體排除在外之極限的影響。在所說明範圍包括極限中之一者或兩者的情況中,亦包括排除彼等所包括極限中之一者或兩者在外的範圍。
如本文所使用且在隨附申請專利範圍中,單數形式「一」及「該」包括複數個參考,除非上下文另外明確指定。因此,例如,對「孔隙」之參考包括複數個此等孔隙,且對「板」之參考包括對一或多個板及熟習此項技術者所熟知的該一或多個板之等效物的參考,等等。
同樣,當用在本說明書及下列申請專利範圍中時,單詞「包含」、「含有」、「包括」意欲指定所說明特徵結構、整數、元件或步驟的存在,但該等單詞不排除一或多個其他特徵結構、整數、元件、步驟、動作或群組的存在或添加。

Claims (19)

  1. 一種用於半導體處理之系統,該系統包含:一腔室,該腔室經設置以在該腔室之一處理區域內容納一半導體基板;一第一遠端電漿系統,該第一遠端電漿系統與該腔室之一第一出入口流體耦接且經設置以經由該第一出入口輸送一第一前驅物至該腔室內,其中該第一遠端電漿系統包含:與該腔室耦接之一第一遠端電漿單元,且其中該第一遠端電漿單元包含:以一第一材料作為襯裡的一內部,該第一材料對於該第一前驅物而言為惰性;一第二遠端電漿系統,該第二遠端電漿系統與該腔室之一第二出入口流體耦接且經設置以經由該第二出入口輸送一第二前驅物至該腔室內,其中該第二遠端電漿系統包含:與該腔室耦接之一第二遠端電漿單元,且其中該第二遠端電漿單元包含:以一第二材料作為襯裡的一內部,該第二材料對於該第二前驅物而言為惰性。
  2. 如請求項1所述之系統,其中該系統經設置以保持該第一前驅物及該第二前驅物彼此流體隔離,直至該等前驅物經輸送至該腔室之該處理區域為止。
  3. 如請求項1所述之系統,其中該第一出入口係靠近該腔室之一頂部定位或定位在該腔室之一頂部處,且該第二出入口係靠近該腔室之一側部定位或定位在該腔室之一側部處。
  4. 如請求項1所述之系統,該系統進一步包含:一氣體分佈組件,該氣體分佈組件在該腔室內定位在該腔室之該處理區域之一頂部處或在該腔室之該處理區域上方,且該氣體分佈組件經設置以輸送該第一前驅物及該第二前驅物兩者至該腔室之該處理區域內。
  5. 如請求項4所述之系統,其中該氣體分佈組件包含:一上板及一下板,其中該上板及該下板係彼此耦接以界定在該等板之間的一容積,其中該等板之該耦接提供經由該上板及該下板之第一流體通道及經由該下板之第二流體通道,且該等板之該耦接經設置以提供經由該下板自該容積之流體出入口,且其中該等第一流體通道係與在該等板之間的該容積及該等第二流體通道流體隔離。
  6. 如請求項5所述之系統,其中該容積係經由與該腔室中之該第二出入口流體耦接之該氣體分佈組件之一側面流體地進入。
  7. 如請求項6所述之系統,其中該腔室經設置以經由該腔室中之該第一出入口及經由該氣體分佈組件中之該等第一流體通道,自該第一遠端電漿系統提供該第一前驅物進入該腔室之該處理區域內。
  8. 如請求項6所述之系統,其中該腔室經設置以經由該腔室中之該第二出入口自該第二遠端電漿系統提供該第二前驅物進入該腔室內、進入界定在該上板與該下板之間的該容積內及經由該氣體分佈組件中之該等第二流體通道進入該腔室之該處理區域內。
  9. 如請求項7所述之系統,其中該氣體分佈組件經設置以防止該第二前驅物經由該氣體分佈組件之該上板之該流動。
  10. 如請求項1所述之系統,其中該第一材料係基於該第一前驅物之該組成而選定。
  11. 如請求項10所述之系統,其中該第二材料係基於該第二前驅物之該組成而選定。
  12. 如請求項11所述之系統,其中該第一材料及該第二材料為不同的材料。
  13. 如請求項1所述之系統,其中該第一遠端電漿系統及該第二遠端電漿系統係選自由以下各者組成之群組:射頻電漿單元、電容式耦接電漿單元、電感式耦接電漿單元、微波電漿單元及環形電漿單元。
  14. 如請求項1所述之系統,其中該第一遠端電漿系統及該第二遠端電漿系統經設置以在介於約10W至高於10kW或約10kW之間的功率位準下操作。
  15. 如請求項14所述之系統,其中該第一遠端電漿系統經設置以在一第一功率位準下操作,該第一功率位準係基於該第一前驅物之該組成而選定。
  16. 如請求項15所述之系統,其中該第二遠端電漿系統經設置以在一第二功率位準下操作,該第二功率位準係基於該第二前驅物之該組成而選定。
  17. 如請求項16所述之系統,其中該系統經設置以在不同於彼此之功率位準下操作該第一遠端電漿單元及該第二遠端電漿單元。
  18. 一種用於一半導體處理腔室之操作方法,該方法包含以下步驟:使一第一前驅物經由一第一遠端電漿系統流動進入一半導體處理腔室內,其中該第一遠端電漿系統包含:與該半導體處理腔室耦接之一第一遠端電漿單元,且其中該第一遠端電漿單元包含:以一第一材料作為襯裡的一內部,該第一材料對於該第一前驅物而言為惰性;以及使一第二前驅物經由一第二遠端電漿系統流動進入該半導體處理腔室內,其中該第一前驅物及該第二前驅物係在該處理腔室之一處理區域內組合,其中該第二遠端電漿系統包含:與該半導體處理腔室耦接之一第二遠端電漿單元,且其中該第二遠端電漿單元包含:以一第二材料作為襯裡的一內部,該第二材料對於該第二前驅物而言為惰性。
  19. 如請求項18所述之方法,其中該第一前驅物包含:一含氟前驅物,且該第二前驅物包含:一含氫前驅物。
TW102131735A 2012-09-21 2013-09-03 使用多個流體途徑的自由基化學調製及控制 TWI663646B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261704241P 2012-09-21 2012-09-21
US61/704,241 2012-09-21
US13/799,490 US20140099794A1 (en) 2012-09-21 2013-03-13 Radical chemistry modulation and control using multiple flow pathways
US13/799,490 2013-03-13

Publications (2)

Publication Number Publication Date
TW201419401A TW201419401A (zh) 2014-05-16
TWI663646B true TWI663646B (zh) 2019-06-21

Family

ID=50341849

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102131735A TWI663646B (zh) 2012-09-21 2013-09-03 使用多個流體途徑的自由基化學調製及控制

Country Status (6)

Country Link
US (1) US20140099794A1 (zh)
JP (1) JP6392760B2 (zh)
KR (1) KR102114002B1 (zh)
CN (2) CN111463125A (zh)
TW (1) TWI663646B (zh)
WO (1) WO2014046864A1 (zh)

Families Citing this family (393)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9121097B2 (en) * 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US20150348755A1 (en) * 2014-05-29 2015-12-03 Charm Engineering Co., Ltd. Gas distribution apparatus and substrate processing apparatus including same
US20150361582A1 (en) * 2014-06-17 2015-12-17 Veeco Instruments, Inc. Gas Flow Flange For A Rotating Disk Reactor For Chemical Vapor Deposition
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9840777B2 (en) * 2014-06-27 2017-12-12 Applied Materials, Inc. Apparatus for radical-based deposition of dielectric films
KR102247560B1 (ko) * 2014-07-14 2021-05-03 삼성전자 주식회사 Rps에서의 플라즈마 생성방법, 및 그 플라즈마 생성방법을 포함한 반도체 소자 제조방법
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US20160032451A1 (en) * 2014-07-29 2016-02-04 Applied Materials, Inc. Remote plasma clean source feed between backing plate and diffuser
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
JP2019515493A (ja) * 2016-04-25 2019-06-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 自己組織化単分子層処理のための化学物質供給チャンバ
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10418246B2 (en) 2016-11-03 2019-09-17 Applied Materials, Inc. Remote hydrogen plasma titanium deposition to enhance selectivity and film uniformity
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9865433B1 (en) * 2016-12-19 2018-01-09 Varian Semiconductor Equipment Associats, Inc. Gas injection system for ion beam device
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US20180230597A1 (en) * 2017-02-14 2018-08-16 Applied Materials, Inc. Method and apparatus of remote plasmas flowable cvd chamber
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
CN110494950A (zh) * 2017-04-10 2019-11-22 应用材料公司 由远程氮自由基源实现的高沉积速率高质量氮化硅
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
KR102440367B1 (ko) 2017-06-22 2022-09-05 삼성전자주식회사 Rps를 이용한 식각 방법 및 그 식각 방법을 포함한 반도체 소자 제조방법
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
TWI794238B (zh) * 2017-07-13 2023-03-01 荷蘭商Asm智慧財產控股公司 於單一加工腔室中自半導體膜移除氧化物及碳之裝置及方法
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
US11124878B2 (en) 2017-07-31 2021-09-21 Applied Materials, Inc. Gas supply member with baffle
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10354883B2 (en) * 2017-10-03 2019-07-16 Mattson Technology, Inc. Surface treatment of silicon or silicon germanium surfaces using organic radicals
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
CN108034946B (zh) * 2017-11-20 2019-04-02 西安交通大学 喷淋蚀刻系统
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US10636626B2 (en) * 2018-01-25 2020-04-28 Applied Materials, Inc. Dogbone inlet cone profile for remote plasma oxidation chamber
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) * 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102592922B1 (ko) 2018-06-21 2023-10-23 삼성전자주식회사 기판 처리 장치, 신호 소스 장치, 물질막의 처리 방법, 및 반도체 소자의 제조 방법
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
WO2020046547A1 (en) * 2018-08-31 2020-03-05 Mattson Technology, Inc. Oxide removal from titanium nitride surfaces
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
KR102135504B1 (ko) * 2018-09-28 2020-07-17 한양대학교 산학협력단 플라즈마 발생 장치
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11355620B2 (en) * 2018-10-31 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) * 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP7278123B2 (ja) * 2019-03-22 2023-05-19 東京エレクトロン株式会社 処理方法
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
CN111799143B (zh) * 2019-04-09 2023-09-22 应用材料公司 半导体处理腔室多阶段混合设备
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
KR102281826B1 (ko) * 2019-07-08 2021-07-23 세메스 주식회사 기판 처리 장치 및 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US20220415618A1 (en) * 2019-07-18 2022-12-29 Hitachi High-Tech Corporation Plasma processing apparatus
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR20220107521A (ko) * 2021-01-25 2022-08-02 (주) 엔피홀딩스 반응기, 이를 포함하는 공정 처리 장치 및 반응기 제조 방법
JP7393376B2 (ja) * 2021-03-19 2023-12-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、プログラム及び基板処理装置
JP2024517165A (ja) * 2021-05-06 2024-04-19 アプライド マテリアルズ インコーポレイテッド ボイドフリーおよびシームフリーのタングステン特徴部を形成するための処理システムおよび方法
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020129769A1 (en) * 2001-03-19 2002-09-19 Apex Co. Ltd. Chemical vapor deposition apparatus
KR20030023964A (ko) * 2001-09-14 2003-03-26 주성엔지니어링(주) 리모트 플라즈마를 이용하는 ccp형 pecvd장치
US20040237897A1 (en) * 2003-05-27 2004-12-02 Hiroji Hanawa High-Frequency electrostatically shielded toroidal plasma and radical source
KR20050049903A (ko) * 2003-11-24 2005-05-27 세메스 주식회사 웨이퍼 에지 식각 장치
TW200735196A (en) * 2006-01-17 2007-09-16 Applied Materials Inc Remote plasma pre-clean with low hydrogen pressure
US20110230008A1 (en) * 2010-03-17 2011-09-22 Applied Materials, Inc. Method and Apparatus for Silicon Film Deposition

Family Cites Families (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4715937A (en) * 1986-05-05 1987-12-29 The Board Of Trustees Of The Leland Stanford Junior University Low-temperature direct nitridation of silicon in nitrogen plasma generated by microwave discharge
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US4820377A (en) * 1987-07-16 1989-04-11 Texas Instruments Incorporated Method for cleanup processing chamber and vacuum process module
US5180435A (en) * 1987-09-24 1993-01-19 Research Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semiconductor layer
EP0478233B1 (en) * 1990-09-27 1996-01-03 AT&T Corp. Process for fabricating integrated circuits
US5628829A (en) * 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
JP3599204B2 (ja) * 1995-06-08 2004-12-08 アネルバ株式会社 Cvd装置
US5951896A (en) * 1996-12-04 1999-09-14 Micro C Technologies, Inc. Rapid thermal processing heater technology and method of use
US6007635A (en) * 1997-11-26 1999-12-28 Micro C Technologies, Inc. Platform for supporting a semiconductor substrate and method of supporting a substrate during rapid high temperature processing
US5814365A (en) * 1997-08-15 1998-09-29 Micro C Technologies, Inc. Reactor and method of processing a semiconductor substate
US6090212A (en) * 1997-08-15 2000-07-18 Micro C Technologies, Inc. Substrate platform for a semiconductor substrate during rapid high temperature processing and method of supporting a substrate
US6635578B1 (en) * 1998-02-09 2003-10-21 Applied Materials, Inc Method of operating a dual chamber reactor with neutral density decoupled from ion density
US6892669B2 (en) * 1998-02-26 2005-05-17 Anelva Corporation CVD apparatus
JP4151862B2 (ja) * 1998-02-26 2008-09-17 キヤノンアネルバ株式会社 Cvd装置
US6499425B1 (en) * 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
JP4220075B2 (ja) * 1999-08-20 2009-02-04 東京エレクトロン株式会社 成膜方法および成膜装置
JP3366301B2 (ja) * 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
KR100385133B1 (ko) * 1999-12-16 2003-05-22 엘지전자 주식회사 교환기의 셀 다중화/역다중화 시스템
US6783627B1 (en) * 2000-01-20 2004-08-31 Kokusai Semiconductor Equipment Corporation Reactor with remote plasma system and method of processing a semiconductor substrate
KR100378871B1 (ko) * 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
US6427623B2 (en) * 2000-06-23 2002-08-06 Anelva Corporation Chemical vapor deposition system
US6800830B2 (en) * 2000-08-18 2004-10-05 Hitachi Kokusai Electric, Inc. Chemistry for boron diffusion barrier layer and method of application in semiconductor device fabrication
US6537429B2 (en) * 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US6533910B2 (en) * 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6761796B2 (en) * 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
US20060191637A1 (en) * 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
US20030124842A1 (en) * 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
US6828241B2 (en) * 2002-01-07 2004-12-07 Applied Materials, Inc. Efficient cleaning by secondary in-situ activation of etch precursor from remote plasma source
US6942929B2 (en) * 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US6825051B2 (en) * 2002-05-17 2004-11-30 Asm America, Inc. Plasma etch resistant coating and process
US20050208215A1 (en) * 2002-06-14 2005-09-22 Yuji Eguchi Oxide film forming method and oxide film forming apparatus
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040058293A1 (en) * 2002-08-06 2004-03-25 Tue Nguyen Assembly line processing system
US6921555B2 (en) * 2002-08-06 2005-07-26 Tegal Corporation Method and system for sequential processing in a two-compartment chamber
US20060040055A1 (en) * 2002-08-06 2006-02-23 Tegal Corporation Method and system for sequential processing in a two-compartment chamber
US6858085B1 (en) * 2002-08-06 2005-02-22 Tegal Corporation Two-compartment chamber for sequential processing
US20060046412A1 (en) * 2002-08-06 2006-03-02 Tegal Corporation Method and system for sequential processing in a two-compartment chamber
JP3991315B2 (ja) * 2002-09-17 2007-10-17 キヤノンアネルバ株式会社 薄膜形成装置及び方法
JP4260450B2 (ja) * 2002-09-20 2009-04-30 東京エレクトロン株式会社 真空処理装置における静電チャックの製造方法
KR100797498B1 (ko) * 2003-06-27 2008-01-24 동경 엘렉트론 주식회사 플라즈마 발생 방법, 클리닝 방법 및 기판 처리 방법
KR20030083663A (ko) * 2003-10-04 2003-10-30 삼영플랜트주식회사 건설폐기물로부터 시멘트 페이스트 및 모르타르가 제거된재생골재 및 모래를 생산하는 방법 및 장치
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US20050238807A1 (en) * 2004-04-27 2005-10-27 Applied Materials, Inc. Refurbishment of a coated chamber component
US7572337B2 (en) * 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
US7767561B2 (en) * 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US20060042752A1 (en) * 2004-08-30 2006-03-02 Rueger Neal R Plasma processing apparatuses and methods
US20060093756A1 (en) * 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
JP2006261217A (ja) * 2005-03-15 2006-09-28 Canon Anelva Corp 薄膜形成方法
US20060210723A1 (en) * 2005-03-21 2006-09-21 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
JP4853857B2 (ja) * 2005-06-15 2012-01-11 東京エレクトロン株式会社 基板の処理方法,コンピュータ読み取り可能な記録媒体及び基板処理装置
WO2007016013A2 (en) * 2005-07-27 2007-02-08 Applied Materials, Inc. Unique passivation technique for a cvd blocker plate to prevent particle formation
DE102006038885B4 (de) * 2005-08-24 2013-10-10 Wonik Ips Co., Ltd. Verfahren zum Abscheiden einer Ge-Sb-Te-Dünnschicht
JP2007191792A (ja) * 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
KR100712727B1 (ko) * 2006-01-26 2007-05-04 주식회사 아토 절연체를 이용한 샤워헤드
KR100752622B1 (ko) * 2006-02-17 2007-08-30 한양대학교 산학협력단 원거리 플라즈마 발생장치
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
CN100577866C (zh) * 2007-02-27 2010-01-06 中微半导体设备(上海)有限公司 应用于等离子体反应室中的气体喷头组件、其制造方法及其翻新再利用的方法
US20080216958A1 (en) * 2007-03-07 2008-09-11 Novellus Systems, Inc. Plasma Reaction Apparatus Having Pre-Seasoned Showerheads and Methods for Manufacturing the Same
JP5008478B2 (ja) * 2007-06-27 2012-08-22 東京エレクトロン株式会社 基板処理装置およびシャワーヘッド
US8367227B2 (en) * 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US8129029B2 (en) * 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
US20090214825A1 (en) * 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
US20090258162A1 (en) * 2008-04-12 2009-10-15 Applied Materials, Inc. Plasma processing apparatus and method
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8168268B2 (en) * 2008-12-12 2012-05-01 Ovishinsky Innovation, LLC Thin film deposition via a spatially-coordinated and time-synchronized process
US20100081285A1 (en) * 2008-09-30 2010-04-01 Tokyo Electron Limited Apparatus and Method for Improving Photoresist Properties
US8206829B2 (en) * 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
CN102754190B (zh) * 2009-07-15 2015-09-02 应用材料公司 Cvd腔室的流体控制特征结构
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110065276A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US8216640B2 (en) * 2009-09-25 2012-07-10 Hermes-Epitek Corporation Method of making showerhead for semiconductor processing apparatus
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
US8771538B2 (en) * 2009-11-18 2014-07-08 Applied Materials, Inc. Plasma source design
US8742665B2 (en) * 2009-11-18 2014-06-03 Applied Materials, Inc. Plasma source design
US8329262B2 (en) * 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
US20110189860A1 (en) * 2010-02-02 2011-08-04 Applied Materials, Inc. Methods for nitridation and oxidation
US20110198034A1 (en) * 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US20120097330A1 (en) * 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
WO2012122054A2 (en) * 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
US8999856B2 (en) * 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US20130115372A1 (en) * 2011-11-08 2013-05-09 Primestar Solar, Inc. High emissivity distribution plate in vapor deposition apparatus and processes
US10256079B2 (en) * 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020129769A1 (en) * 2001-03-19 2002-09-19 Apex Co. Ltd. Chemical vapor deposition apparatus
KR20030023964A (ko) * 2001-09-14 2003-03-26 주성엔지니어링(주) 리모트 플라즈마를 이용하는 ccp형 pecvd장치
US20040237897A1 (en) * 2003-05-27 2004-12-02 Hiroji Hanawa High-Frequency electrostatically shielded toroidal plasma and radical source
KR20050049903A (ko) * 2003-11-24 2005-05-27 세메스 주식회사 웨이퍼 에지 식각 장치
TW200735196A (en) * 2006-01-17 2007-09-16 Applied Materials Inc Remote plasma pre-clean with low hydrogen pressure
US20110230008A1 (en) * 2010-03-17 2011-09-22 Applied Materials, Inc. Method and Apparatus for Silicon Film Deposition

Also Published As

Publication number Publication date
US20140099794A1 (en) 2014-04-10
TW201419401A (zh) 2014-05-16
JP6392760B2 (ja) 2018-09-19
KR20150056839A (ko) 2015-05-27
CN111463125A (zh) 2020-07-28
KR102114002B1 (ko) 2020-05-22
WO2014046864A1 (en) 2014-03-27
JP2015532016A (ja) 2015-11-05
CN104641456A (zh) 2015-05-20

Similar Documents

Publication Publication Date Title
TWI663646B (zh) 使用多個流體途徑的自由基化學調製及控制
CN110998818B (zh) 等离子体蚀刻工艺中使用涂布部件的工艺裕度扩充
US11024486B2 (en) Semiconductor processing systems having multiple plasma configurations
US10424485B2 (en) Enhanced etching processes using remote plasma sources
US9837284B2 (en) Oxide etch selectivity enhancement
US9406523B2 (en) Highly selective doped oxide removal method
TWI520212B (zh) 選擇性氮化鈦蝕刻
US9378969B2 (en) Low temperature gas-phase carbon removal
TWI618144B (zh) 氮化鈦之選擇性移除
TWI530995B (zh) 用於蝕刻sin膜的方法
US20150371865A1 (en) High selectivity gas phase silicon nitride removal
US10418246B2 (en) Remote hydrogen plasma titanium deposition to enhance selectivity and film uniformity

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees