KR20130055582A - 원격 플라즈마 소오스 지원형 실리콘 함유 막 증착을 위한 장치 및 방법 - Google Patents

원격 플라즈마 소오스 지원형 실리콘 함유 막 증착을 위한 장치 및 방법 Download PDF

Info

Publication number
KR20130055582A
KR20130055582A KR1020127026980A KR20127026980A KR20130055582A KR 20130055582 A KR20130055582 A KR 20130055582A KR 1020127026980 A KR1020127026980 A KR 1020127026980A KR 20127026980 A KR20127026980 A KR 20127026980A KR 20130055582 A KR20130055582 A KR 20130055582A
Authority
KR
South Korea
Prior art keywords
silicon containing
gas
processing region
hydrogen radicals
showerhead
Prior art date
Application number
KR1020127026980A
Other languages
English (en)
Inventor
안나말라이 락쉬마난
준 팡
지안쉬 탕
더스틴 더블유. 호
프란시마 슈미트
알란 초
톰 조
브라이언 시-유앤 시에
하리 케이. 폰네칸티
크리스 이버스파처
정 유앤
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20130055582A publication Critical patent/KR20130055582A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic Table
    • H01L31/182Special manufacturing methods for polycrystalline Si, e.g. Si ribbon, poly Si ingots, thin films of polycrystalline Si
    • H01L31/1824Special manufacturing methods for microcrystalline Si, uc-Si
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45514Mixing in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/20Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof such devices or parts thereof comprising amorphous semiconductor materials
    • H01L31/202Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof such devices or parts thereof comprising amorphous semiconductor materials including only elements of Group IV of the Periodic Table
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/545Microcrystalline silicon PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

태양 전지들의 형성시 비정질 및 미정질 실리콘 필름들을 증착하기 위한 개선된 장치 및 방법들이 제공된다. 일 실시예에서, 기판 상의 필름 증착을 위해 실리콘 함유 전구체와 반응하도록 프로세싱 챔버의 프로세싱 영역으로 직접 수소 라디칼들을 발생시켜 유도하기 위한 장치 및 방법이 제공된다. 일 실시예에서, 상기 수소 라디칼들은 원격 플라즈마 소오스에 의해 발생되며, 프로세싱 영역에 도달하기 전에 수소 라디칼들에 의한 에너지 소실을 최소화하기 위해 가시선 경로를 통해 프로세싱 영역으로 직접 유도된다.

Description

원격 플라즈마 소오스 보조 실리콘 함유 필름 증착을 위한 장치 및 방법{METHOD AND APPARATUS FOR REMOTE PLASMA SOURCE ASSISTED SILICON-CONTAINING FILM DEPOSITION}
본 발명의 실시예들은 태양 전지들을 형성하기 위한 장치 및 방법에 관한 것이다. 구체적으로, 본 발명의 실시예들은 태양 전지 어플리케이션들에 사용되는 비정질 및 미정질 실리콘 층들을 형성하기 위한 장치 및 방법에 관한 것이다.
광발전(PV) 디바이스들 또는 태양 전지들은 태양광을 직류(DC) 전력으로 변환하는 디바이스들이다. 통상의 박막 PV 디바이스들 또는 박막 태양 전지들은 하나 또는 그 초과의 p-i-n 접합들을 갖는다. 각각의 p-i-n 접합은 p-형 층, 진성층 및 n-형 층을 포함한다. 태양 전지의 p-i-n 접합이 (광자 에너지로 이루어진) 태양광에 노출되면, 태양광은 PV 효과에 의해 전기로 변환된다. 태양 전지들은 대형 솔라 어레이들에 타일로 부착된다.
통상적으로, 박막 태양 전지는 활성 영역들 또는 광전 변환 유닛들, 및 전면 전극 및/또는 배면 전극으로서 배치되는 투명 전도성 산화물(TCO) 필름을 포함한다. 광전 변환 유닛은 p-형 실리콘 층, n-형 실리콘 층, 및 p-형 실리콘 층과 n-형 실리콘 층 사이에 샌드위치된 진성(i-형) 실리콘 층을 포함한다. 상기 광전 변환 유닛의 p-형, n-형 및/또는 i-형 층들을 형성하기 위해, 미정질 실리콘 필름(μc-Si), 비정질 실리콘 필름(a-Si), 다결정 실리콘 필름(poly-Si) 등을 포함하는 여러 유형의 실리콘 필름들이 사용될 수 있다. 상기 배면 전극은 하나 또는 그 초과의 전도성 층들을 포함할 수 있다.
비정질 및 미정질 실리콘 필름들 모두가 태양 전지들을 형성하기 위해 현재 사용되고 있다. 그러나, 이 필름들을 증착하는데 사용되는 현재의 제조 설비와 방법들에 문제가 있다. 예컨대, 종래의 열화학 기상 증착과 플라즈마 강화 화학 기상 증착(PECVD) 프로세스들에서, 수소와 실리콘의 저에너지 가스상 조합은 중합된 실리콘 및 수소 구조들의 형성을 초래하고, 이는 입자 발생, 비효율적인 필름 증착 및 물리적 및 전기적으로 열등하고 불안정한 증착 필름들로 이어진다.
따라서, 비정질 및 미정질 실리콘 필름들을 증착하기 위한 개선된 장치 및 방법이 요구된다.
본 발명의 일 실시예에서, 실리콘 함유 필름의 증착 방법은, 프로세싱 챔버로부터 원격으로 수소 라디칼들을 발생시키는 단계, 상기 프로세싱 챔버의 프로세싱 영역으로 상기 수소 라디칼들의 흐름을 유도하는 단계이며, 상기 프로세싱 영역에 기판이 위치되어 있는, 유도 단계, 상기 프로세싱 챔버의 프로세싱 영역으로 실리콘 함유 가스의 흐름을 유도하는 단계, 및 상기 기판 상에 실리콘 필름을 증착하는 단계를 포함한다. 원격으로 발생된 수소 라디칼들은 프로세싱 영역에 도달하기 전에 실리콘 함유 가스와 혼합되지 않는다.
다른 실시예에서, 실리콘 함유 필름의 증착 방법은, 원격 플라즈마 소오스 속으로 아르곤 가스의 흐름을 설정(establishing)하는 단계, 상기 원격 플라즈마 소오스 내부에서 플라즈마를 점화하는 단계, 수소 라디칼들의 흐름이 설정되도록 상기 원격 플라즈마 소오스 속으로 수소 가스의 흐름을 설정하는 단계, 상기 수소 라디칼들의 흐름을 프로세싱 챔버의 프로세싱 영역으로 전달하는 단계이며, 상기 프로세싱 영역에 기판이 위치되어 있는, 전달 단계, 상기 프로세싱 챔버의 프로세싱 영역 속으로 실리콘 함유 가스의 흐름을 발생시키는 단계, 및 상기 기판 상에 실리콘 필름을 증착하는 단계를 포함한다. 상기 수소 라디칼들은 프로세싱 챔버의 프로세싱 영역에 도달하기 전에 실리콘 함유 가스와 혼합되지 않는다.
본 발명의 또 다른 실시예에서, 실리콘 함유 필름의 증착 장치는 당해 프로세스 챔버 내부에 프로세싱 영역을 규정하는 복수의 벽체들, 샤워헤드 및 기판 지지체를 가진 프로세싱 챔버, 상기 샤워헤드를 관통하여 배치된 제 1 복수의 가스 통로들을 통해 상기 프로세싱 영역에 커플링된 실리콘 함유 가스 소오스, 수소 가스 소오스에 커플링되고 그 내부에 복수의 수소 라디칼들을 발생시키도록 구성된 원격 플라즈마 소오스, 상기 프로세싱 챔버에 상기 원격 플라즈마 소오스를 커플링하는 가시선 배관(line of sight tubing)이며, 불활성 물질을 포함하는 가시선 배관, 및 당해 공급관에 의해 전달되는 수소 라디칼들이 상기 프로세싱 영역에 진입하기 전에 실리콘 함유 가스와 혼합되지 않도록 상기 가시선 배관을 상기 프로세싱 영역에 커플링하는 공급관을 포함한다.
첨부도면에 그 일부가 도시된 본 발명의 예시적 실시예들을 참조하면, 위에서 약술하고 아래에 매우 구체적으로 설명한 본 발명의 전술한 특징들을 이해할 수 있을 것이다. 그러나, 첨부도면들은 단지 본 발명의 전형적인 실시예들을 도시하고 있을 뿐이며, 본 발명은 다른 동등한 효과를 가진 실시예들을 포함할 수 있으므로, 그 범위를 제한하는 것으로 이해되어서는 아니됨을 유의하여야 한다.
도 1은 본 발명의 실시예들에 따른 장치 및 방법들을 사용하여 부분적으로 형성될 수 있는 단일 접합 비정질 실리콘 태양 전지의 단순화된 개략도이다.
도 2는 본 발명의 실시예들에 따른 장치 및 방법들을 사용하여 부분적으로 형성될 수 있는 다중 접합 태양 전지의 다른 실시예의 개략도이다.
도 3은 본 발명의 일 실시예에 따른 비정질 및 미정질 필름들을 증착하기 위한 프로세싱 챔버의 개략적인 단면도이다.
도 4는 다른 실시예에 따른 프로세싱 챔버의 프로세싱 영역으로 프로세싱 가스 소오스로부터의 프로세스 가스와 원격 플라즈마 소오스로부터의 수소 라디칼들을 분리하여 전달하기 위한 샤워헤드의 개략적인 단면도이다.
도 5는 본 발명의 일 실시예에 따라 수소 라디칼을 발생시키기 위한 프로세스 흐름의 개략도이다.
이해를 용이하게 하기 위하여, 가능한 한 도면에서 공통된 동일 요소들은 동일한 참조번호를 사용하여 표시하였다. 일 실시예에 개시된 요소들이 다른 언급없이 다른 실시예들에 유리하게 사용될 수 있음을 고려하였다.
본 발명의 실시예들은 일반적으로 태양 전지들의 형성시 비정질 및 미정질 실리콘 필름들을 증착하기 위한 개선된 장치 및 방법들을 제공한다. 일 실시예에서, 기판 상의 필름 증착을 위해 실리콘 함유 전구체와 반응하도록 프로세싱 챔버의 프로세싱 영역으로 직접 수소 라디칼들을 발생시켜 유도하기 위한 장치 및 방법이 제공된다. 일 실시예에서, 상기 수소 라디칼들은 원격 플라즈마 소오스에 의해 발생되며, 프로세싱 영역에 도달하기 전에 수소 라디칼들에 의한 에너지 소실을 최소화하기 위해 가시선 경로를 통해 프로세싱 영역으로 직접 유도된다. 상기 가시선 경로는 유전체 또는 세라믹 물질과 같은 비반응성 물질로 형성된 배관을 포함할 수 있다. 몇몇 구성들에서, 상기 프로세싱 영역으로 유도하기 전에 상기 배관의 표면으로의 수소 라디칼들의 흡수를 방지하고, 상기 배관에 대한 가능한 에너지 전달을 줄이기 위해, 상기 배관을 가열하는 것이 바람직하다.
도 1은 본 발명의 실시예들에 따른 장치 및 방법들을 사용하여 부분적으로 형성될 수 있는 단일 접합 비정질 실리콘 태양 전지(100)의 단순화된 개략도이다. 단일 접합 태양 전지(100)는 광원 또는 태양 복사(101)를 향하여 배향된다. 일반적으로, 태양 전지(100)는, 얇은 필름들이 위에 형성된, 유리 기판, 폴리머 기판, 금속 기판 또는 다른 적당한 기판과 같은 기판(102)을 포함한다. 일 실시예에서, 상기 기판(102)은 크기가 약 2200㎜×2600㎜×3㎜dls 유리 기판이다. 태양 전지(100)는 상기 기판(102) 상에 형성된 제 1 투명 전도성 산화물(TCO) 층(110)(예컨대, 아연 산화물(ZnO), 주석 산화물(SnO)), 상기 제 1 TCO층(110) 상에 형성된 제 1 p-i-n 접합(120), 상기 제 1 p-i-n 접합(120) 상에 형성된 제 2 TCO층(140), 및 상기 제 2 TCO층(140) 상에 형성된 후면 전극(back contact)층(150)을 더 포함한다.
하나의 구성에서, 상기 제 1 p-i-n 접합(120)은 p-형 비정질 실리콘 층(122), 상기 p-형 비정질 실리콘 층(122) 상에 형성된 진성 비정질 실리콘 층(124), 및 상기 진성 비정질 실리콘 층(124) 상에 형성된 n-형 비정질 실리콘 층(126)을 포함할 수 있다. 일 예에서, 상기 p-형 비정질 실리콘 층(122)은 약 60Å 내지 약 300Å 사이의 두께로 형성될 수 있으며, 상기 진성 비정질 실리콘 층(124)은 약 1,500Å 내지 약 3,500Å 사이의 두께로 형성될 수 있고, 상기 n-형 비정질 반도체 층(126)은 약 100Å 내지 약 500Å 사이의 두께로 형성될 수 있다. 상기 후면 전극층(150)은, 이에 한정되지는 않지만, 알루미늄(Al), 은(Ag), 티타늄(Ti), 크롬(Cr), 금(Au), 구리(Cu), 플래티늄(Pt), 그 합금들 또는 그 조합들을 포함할 수 있다.
도 2는 광 또는 태양 복사(101)를 향하여 배향된 다중-접합 태양 전지인 태양 전지(200)의 실시예의 개략도이다. 상기 태양 전지(200)는, 얇은 필름들이 위에 형성된, 유리 기판, 폴리머 기판, 금속 기판 또는 다른 적당한 기판과 같은 기판(102)을 포함한다. 상기 태양 전지(200)는 상기 기판(102) 상에 형성된 제 1 투명 전도성 산화물(TCO) 층(210), 상기 제 1 TCO층(210) 상에 형성된 제 1 p-i-n 접합(220), 상기 제 1 p-i-n 접합(220) 상에 형성된 제 2 p-i-n 접합(230), 상기 제 2 p-i-n 접합(230) 상에 형성된 제 2 TCO층(240), 및 상기 제 2 TCO층(240) 상에 형성된 후면 전극층(250)을 더 포함할 수 있다.
상기 제 1 p-i-n 접합(220)은 p-형 비정질 실리콘 층(222), 상기 p-형 비정질 실리콘 층(222) 상에 형성된 진성 비정질 실리콘 층(224), 및 상기 진성 비정질 실리콘 층(224) 상에 형성된 n-형 미정질 실리콘 층(226)을 포함할 수 있다. 일 예에서, 상기 p-형 비정질 실리콘 층(222)은 약 60Å 내지 약 300Å 사이의 두께로 형성될 수 있으며, 상기 진성 비정질 실리콘 층(224)은 약 1,500Å 내지 약 3,500Å 사이의 두께로 형성될 수 있고, 상기 n-형 미정질 반도체 층(226)은 약 100Å 내지 약 400Å 사이의 두께로 형성될 수 있다.
상기 제 2 p-i-n 접합(230)은 p-형 미정질 실리콘 층(232), 상기 p-형 미정질 실리콘 층(232) 상에 형성된 진성 미정질 실리콘 층(234), 및 상기 진성 미정질 실리콘 층(234) 상에 형성된 n-형 비정질 실리콘 층(236)을 포함할 수 있다. 일 실시예에서, 상기 진성 미정질 실리콘 층(234)을 증착하기 전에, 상기 p-형 미정질 실리콘 층(232) 상에 진성 미정질 실리콘 시드층(233)이 형성될 수 있다. 일 예에서, 상기 p-형 미정질 실리콘 층(232)은 약 100Å 내지 약 400Å 사이의 두께로 형성될 수 있으며, 상기 진성 미정질 실리콘 층(234)은 약 10,000Å 내지 약 30,000Å 사이의 두께로 형성될 수 있고, 상기 n-형 비정질 실리콘 층(236)은 약 100Å 내지 약 500Å 사이의 두께로 형성될 수 있다. 일 실시예에서, 상기 진성 미정질 실리콘 시드층(233)은 약 50Å 내지 약 500Å 사이의 두께로 형성될 수 있다. 상기 후면 전극층(250)은, 이에 한정되지는 않지만, 알루미늄(Al), 은(Ag), 티타늄(Ti), 크롬(Cr), 금(Au), 구리(Cu), 플래티늄(Pt), 그 합금들 또는 그 조합들을 포함할 수 있다.
태양 전지(100,200)를 형성하기 위해 여러 비정질 및 미정질 실리콘 필름들을 증착하는 현재의 방법들은 수소 가스(H2)와 같은 수소계 가스와 실란(SiH4)과 같은 실리콘계 가스의 혼합물을 플라즈마 강화 화학 기상 증착(PECVD) 프로세싱 챔버의 프로세싱 영역으로 유도하는 단계, 상기 가스 혼합물을 플라즈마로 여기시키는 단계, 및 기판(102) 상에 원하는 필름을 증착하는 단계를 포함한다. 이 프로세스에서, 두 가지 유형의 결합들, 즉, Si-H 결합들과 Si-H2 결합들이 형성되어 기판(102) 상에 증착된다. H2 결합들은 증착된 필름에 입자들 또는 결함들을 형성하기 때문에 바람직하지 않으며, 비효율적이고 낮은 품질의 결합들과 필름 증착을 초래한다는 것이 밝혀졌다. 따라서, 증착 프로세스에서, Si-H 결합의 형성을 증대시키고, Si-H2 결합의 형성을 줄이는 것이 바람직하다. 아울러, 증착된 필름들의 불안정성과 결함의 형성을 또한 초래하는 장쇄(long chain) 폴리머로의 실리콘 중합을 줄이는 것이 바람직하다. 본 발명의 실시예들은 상기 실리콘계 가스로부터 분리하여 수소 라디칼들을 프로세싱 챔버의 프로세싱 영역으로 직접 유도함으로써 이러한 결과들을 성취하며, 이에 따라, 수소 라디칼들이 실리콘계 가스와 결합하여 현재의 장치 및 방법들보다 증착 프로세스에서 현저하게 더 많은 Si-H 결합을 생성하도록 한다. 프로세싱 챔버의 프로세싱 영역에 배치된 프로세싱 가스들(예컨대, 실란과 수소 가스)의 조합물에 에너지를 전달하기 위해 단일의 용량적으로 또는 유도적으로 커플링된 플라즈마 소오스를 사용하는 종래의 플라즈마 프로세싱 기술들의 사용은, 증착된 실리콘 층에 Si-H2 결합들보다 바람직한 Si-H 결합들을 더 많이 형성하기 위하여 바람직한 비율의 반응성 수소 라디칼들을 생성하기 위해 프로세스 가스 혼합물 내의 수소 원자들에 대해 RF 파워를 커플링하는데 있어서 비효율적이며 비효과적인 것으로 믿어진다. 일 예에서, 기판 상에 배치된 RF 구동식 샤워헤드와 같이 단일의 용량적으로 커플링된 플라즈마 소오스는 실란과 수소 가스 혼합물 내의 수소 원자들의 약 10 내지 20%를 수소 라디칼들로 변환할 수만 있다고 믿어진다. 따라서, 별도의 가스 소오스로부터 전달되는 실리콘 함유 가스와 원격 플라즈마 소오스로부터 전달되는 수소 라디칼들을 포함한 프로세스 가스 혼합물에 에너지를 전달하는 용량적으로 또는 유도적으로 커플링된 플라즈마 소오스의 조합을 이용함으로써, 증착된 필름의 품질과 증착된 필름의 전기적 특성들이 크게 향상될 수 있다. 예컨대, 본 발명의 실시예들은 10 내지 20%인 종래 기술과는 대조적으로 약 30 내지 70%로 프로세스 챔버에 대한 수소 라디칼의 전달을 구현한다. 본 명세서에 사용된 바와 같은 용어 "수소 라디칼"은 단일의 고도로 반응성인 중성 수소 원자를 의미한다.
도 3은 본 발명의 일 실시예에 따른 비정질 및 미정질 필름들을 증착하기 위한 프로세싱 챔버(300)의 개략적인 단면도이다. 일 실시예에서, 상기 챔버(300)는 벽체(302)들, 바닥(304), 샤워헤드(310) 및 기판 지지체(330)를 포함하고, 이들은 점증적으로 프로세싱 영역(306)을 규정한다. 상기 프로세싱 영역(306)은, 기판(102)이 챔버(300) 내외로 전달될 수 있도록, 밸브(308)를 통해 악세스된다. 상기 기판 지지체(330)는 기판(102)을 지지하기 위한 기판 수용면(332)과, 기판 지지체(330)를 승강시키도록 구성된 리프트 시스템(336)에 커플링된 스템(334)을 포함한다. 기판(102)의 주연부 위에 새도우 프레임(333)이 선택적으로 위치될 수 있다. 기판 수용면(332)에 대해 기판(102)을 이동시키기 위해 리프트 핀(338)들이 기판 지지체(330)를 관통하여 이동가능하게 배치된다. 기판 지지체(330)는 바람직한 온도로 기판 지지체(330)를 유지하기 위해 가열 및/또는 냉각 요소(330)들을 또한 포함할 수 있다. 기판 지지체(330)는 당해 기판 지지체(330)의 주연부에 RF 접지를 제공하기 위해 접지 스트랩(331)들을 또한 포함할 수 있다.
샤워헤드(310)는 서스펜션(314)에 의해 배면판(312)의 주연부에 커플링된다. 샤워헤드(310)는 당해 샤워헤드(310)의 직진도/곡률을 제어하고 및/또는 처짐을 방지하기 위해 하나 또는 그 초과의 중앙 지지체(316)들에 의해 배면판에 커플링될 수도 있다. 가스 소오스(320)는 가스 공급관(345)을 통해 실리콘 함유 가스와 같은 프로세싱 가스를 공급하도록 구성된다. 일 실시예에서, 가스 공급관(345)은 샤워헤드(310)의 복수의 가스 통로(311)들을 통해 프로세싱 영역(306)으로 프로세싱 가스를 공급하도록 구성된 환형 관이다.
수소 가스 소오스(390)는 유도적으로 커플링된 원격 플라즈마 소오스와 같은 원격 플라즈마 소오스(324)에 유체적으로 커플링된다. 원격 플라즈마 소오스(324)는 가시선 배관(347)과 중앙 공급관(349)을 통해 프로세싱 영역(306)에 유체적으로 또한 커플링된다. 가시선 배관(347)은 원격 플라즈마 소오스(324)를 중앙 공급관(349)에 유체적으로 커플링한다. 본 명세서에서 용어 "가시선"은, 수소 라디칼 재결합이나 배관의 표면으로의 흡수 가능성을 최소화하기 위하여, 원격 플라즈마 소오스(324)와 프로세싱 챔버(300) 사이의 짧은 거리를 운반한다는 의미이다. 일 실시예에서, 가시선 배관(347)은 그 안에서 급격한 굴곡없이 수소 라디칼들에 대해 직접적인 경로를 제공한다. 일 실시예에서, 가시선 배관(347)은 그 안에서 어떠한 굴곡도 없이 수소 라디칼들에 대해 직접적인 경로를 제공한다. 가시선 배관(347)은 원격 플라즈마 소오스(324)에 의해 제공되는 수소 라디칼들의 흡수 및/또는 재결합을 방지하기 위해 사파이어, 석영 또는 다른 세라믹 물질과 같은 불활성 물질로 제조된 배관을 포함한다. 또한, 프로세싱 영역(306)으로 전달되기 전에 원격 플라즈마 소오스(324)에 의해 제공되는 수소 라디칼들의 흡수 및/또는 재결합을 더 방지하기 위해 히터 자켓(351)이 제공될 수 있다. 가시선 배관(347)과 중앙 공급관(349)은 프로세싱 영역(306)으로 원격 플라즈마 소오스(324)에서 발생된 수소 라디칼들에 대한 직접적이고 짧은 경로를 제공하도록 구성된다. 일 실시예에서, 중앙 공급관(349)은 도 3에 도시된 바와 같이 샤워헤드(310)의 중앙 개구(353)를 통해 프로세싱 영역(306)으로 원격 플라즈마 소오스(324)에서 발생된 수소 라디칼들을 직접 공급하도록 구성된다.
일 실시예에서, 프로세싱 챔버(300)는 세정 가스 원격 플라즈마 소오스(395)를 또한 포함하며, 이는 가스 플리넘(397)에 유체적으로 커플링되고, 샤워헤드(310)의 배후에 위치되며, 샤워헤드(310)에 형성된 가스 통로(311)를 통해 프로세싱 영역(306)에 더 커플링된다. 세정 가스 원격 플라즈마 소오스(395)는, 증착 프로세스들 사이에 샤워헤드(310)의 표면들과 다른 챔버 부품들을 세정하기 위해 강력한 세정 가스들이 형성될 수 있도록, 당해 세정 가스 원격 플라즈마 소오스(395)에 세정 가스를 전달할 수 있는 세정 가스 소오스(396)에 커플링된다. 전형적인 세정 가스는 NF3, F2, Cl2, 또는 선행 증착 프로세스들에서 챔버 부품들 상에 형성된 증착 물질 부분들을 제거하기 위해 사용되는 다른 가스들과 같은 수소 함유 가스를 포함한다. 챔버 세정 프로세스에서 샤워헤드(310)의 표면들과 챔버 부품들이 효율적으로 세정될 수 있도록 보장하기 위해, 도 3에 도시된 바와 같은 세정 가스 원격 플라즈마 소오스(395)의 출구(398)의 배치가 일반적으로 필요하며, 본 발명의 실시예들에 따른 증착 프로세스들에서 사용하기 위한 수소 라디칼들을 전달하는데 있어서 일반적으로 바람직하지 않다는 것을 이해할 것이다. 도 3에 도시된 바와 같은 출구(398)의 위치는, 프로세싱 가스 소오스(320)로부터 전달되는 전구체 가스(들)과 형성된 수소 라디칼들의 상호작용에 의해 생성되는 가스 플리넘(397)에서의 가스상 입자들의 형성이 샤워헤드(310) 내부와 배후에 바람직하지 않은 증착을 제공할 것이기 때문에, 프로세싱 영역(306)으로 수소 라디칼들을 유도하는데 있어서 일반적으로 바람직하지 않다.
도 4는 다른 실시예에 따른 프로세싱 챔버(300)의 프로세싱 영역(306)으로 프로세싱 가스 소오스(320)로부터의 프로세스 가스와 원격 플라즈마 소오스(324)로부터의 수소 라디칼들을 분리하여 전달하기 위한 샤워헤드(410)의 개략적인 단면도이다. 이 실시예에서, 중앙 공급관(349)은 샤워헤드(410) 내부의 내부 영역(406)에 유체적으로 커플링된다. 그 다음, 이 내부 영역(405)은 프로세싱 챔버(300)의 프로세싱 영역(306)에 샤워헤드(410)의 내부 영역(405)을 유체적으로 커플링하는 복수의 통로(412)들에 유체적으로 커플링된다. 이러한 구성에서, 수소 라디칼들은 원격 플라즈마 소오스(324)로부터 가시선 배관(347)과 중앙 공급관(349)을 통해 샤워헤드(410)의 내부 영역(405)으로 전달된다. 여기서부터, 수소 라디칼들은 복수의 통로(412)들을 통해 프로세싱 영역(306)으로 균일하게 분배된다. 이와 동시에, 실란과 같은 프로세싱 가스가 가스 소오스(320)로부터 가스 공급관(345) 및 샤워헤드(410)의 복수의 가스 통로(311)들을 통해 프로세싱 영역(306)으로 전달된다.
샤워헤드(310,410)와 기판 지지체(330) 또는 챔버 벽체(302)들 사이에 전기장이 생성되도록, 샤워헤드(310,410)에 RF 파워를 제공하기 위해 RF 파워 소오스(322)가 배면판(312) 및/또는 샤워헤드(310,410)에 커플링된다. 따라서, 기판(102) 상에 필름을 증착하기 위해 용량적으로 커플링된 플라즈마가 프로세싱 영역(306)에 발생된다. 프로세싱 영역(306)을 원하는 압력으로 제어하기 위해 쓰로틀 밸브(380)를 통해 프로세싱 챔버(300)에 진공 펌프(309)가 또한 커플링된다.
특정 실시예와 관계없이, 프로세싱 챔버(300)의 다른 영역들에서 바람직하지 않은 혼합과 바람직하지 않은 증착을 방지하기 위하여, 원격 플라즈마 소오스(324)에서 발생되는 수소 라디칼들이 오직 프로세싱 영역(306) 내부의 프로세싱 가스에만 유도되도록, 가스 소오스(320), 원격 플라즈마 소오스(324) 및 샤워헤드(310,410)가 구성된다. 또한, 수소 라디칼들은 프로세싱 영역(306)에 배치된 프로세싱 가스(들)과 혼합되기 전에 수소 원자들에 의한 에너지 소실 또는 재결합을 최소화하기 위해 프로세싱 영역(306)에 프로세싱 영역(306)으로 직접 전달된다. 따라서, 바람직하지 않은 Si-H2 결합들이 최소화되고, 바람직한 Si-H 결합들이 최대화됨으로써, 더 우수하고 더 효율적인 실리콘 필름 증착을 제공한다.
일 실시예에서, 수소 라디칼들은 도 3 및 도 4에 도시된 원격 플라즈마 소오스(324)와 같은 하나 또는 그 초과의 원격 플라즈마 소오스들 내부에서 발생된다. 일 실시예에서, 수소 라디칼들은 프로세싱 영역(306)에 직접 커플링된 단일의 원격 플라즈마 소오스로부터 발생된다. 다른 실시예에서, 수소 라디칼들은 각각 프로세싱 영역(306)에 직접 커플링된 복수의 원격 플라즈마 소오스들로부터 발생된다. 일 실시예에서, 복수의 원격 플라즈마 소오스(324)들이 샤워헤드(310,410)를 가로질러 균일하게 이격되며, 이에 따라, 균일하게 이격된 원격 플라즈마 소오스(324)들 각각으로부터의 가스 유량과 원격 플라즈마 소오스 파워를 제어함으로써, 수소 라디칼들의 균일한 흐름이 프로세싱 영역(306)으로 전달될 수 있다. 다른 실시예에서, 복수의 원격 플라즈마 소오스(324)가 샤워헤드(310)를 가로질러 바람직한 패턴으로 이격되고, 증착 프로세스 결과들의 일부 양태를 개선하기 위해, 프로세싱 영역(306)으로 수소 라디칼들의 불균일한 흐름을 제공하기 위해 바람직한 방식으로 제어된다. 일 실시예에서, 프로세싱 챔버(300)에서 프로세싱되고 있는 기판(102)의 크기에 따라, 상기 하나 또는 그 이상의 원격 플라즈마 소오스들이 약 10㎾ 내지 약 40㎾ 또는 그 이상의 파워 출력으로 조절될 수 있다. 일 실시예에서, 약 14 W/㎠ 내지 18 W/㎠의 RF 파워가 사용된다.
도 5는, 예컨대, 증착 프로세스 초기에, 원격 플라즈마 소오스(324)에서 수소 라디칼들의 형성을 시작하기 위해 사용되는 프로세스 시퀀스(500)의 예를 도시하고 있다. 일 실시예에서, 박스(510)에서, 원격 플라즈마 소오스(324)에 대한 아르곤 가스 유량이 처음 설정된다. 일 실시예에서, 아르곤 가스 유량은 약 40 sccm/L 내지 약 750 sccm/L로 제공된다. 박스(520)에서, 아르곤은 원격 플라즈마 소오스 내부에서 플라즈마로 점화되고, 프로세싱 챔버(300)의 쓰로틀 밸브(380)가 개방된다. 그 다음, 박스(530)에서, 약 0.4 sccm/L/s 내지 약 40 sccm/L/s의 유량으로 수소 가스가 원격 플라즈마 소오스(324)에 공급된다. 수소 가스의 유량은 약 40 sccm/L 내지 약 205 sccm/L의 정상 상태 유동으로 연속적으로 증가될 수 있다. 박스(540)에서, 수소 라디칼들의 정상류가 원격 플라즈마 소오스(324)의 출구에 나타나도록, 아르곤의 흐름이 원하는 지점에 도달할 때까지, 아르곤의 흐름은 약 0.4 sccm/L/s에서 약 17 sccm/L/s로 유량 감소하게 된다. 일 실시예에서, 약 0.1 Torr 내지 약 1 Torr의 프로세싱 챔버 압력들에서 사용될 때와 같이, 아르곤의 흐름은 제로로 감소된다. 다른 실시예에서, 약 1 Torr 초과의 프로세싱 챔버 압력들에서 사용될 때와 같이, 아르곤의 흐름은 수소 라디칼들의 발생을 유지할 정도로만 낮은 유량으로 유지된다.
일 실시예에서, 기판(102) 상에서 증착 프로세스들이 실시되는 동안, 프로세싱 챔버(300)의 프로세싱 영역(306) 내의 조성 및/또는 압력이 변할 때, 그 내부에서 발생되는 플라즈마가 고갈되지 않도록 하기 위해, 원격 플라즈마 소오스(324)의 플라즈마 발생 영역으로 전달되는 캐리어 가스들(예컨대, 아르곤) 대 수소의 비율과 같이, 가스들의 압력, 가스 유량들, 및/또는 비율을 조절하는 것이 바람직하다.
본 발명에 따른 도 3 및 도 4의 프로세싱 챔버(300)를 사용하여 도 1 및 도 2의 태양 전지(100,200)들에 포함된 비정질 및 미정질 실리콘 층들을 형성하기 위해 사용되는 증착 방법들의 예가 이하에 제공된다. 10,000㎠ 또는 그 초과, 바람직하게는 40,000㎠ 또는 그 초과, 및 더 바람직하게는 55,000㎠ 또는 그 초과의 표면적을 가진 기판이 프로세싱 챔버(300)에 제공된다.
일 실시예에서, 가열 및/또는 냉각 요소(339)들은 증착시 약 400℃ 또는 그 미만, 바람직하게는 약 150℃ 내지 약 400℃의 온도를 기판 지지체에 제공하도록 설정된다. 증착시 샤워헤드(310,410)와 기판 수용면(332) 상에 배치된 기판(102)의 상면 사이의 간격은 약 200mil 내지 약 1,000mil 사이일 수 있다.
실리콘 필름들의 증착을 위하여, 일반적으로 가스 소오스(320)에 의해 실리콘계 가스가 제공된다. 적당한 실리콘계 가스는, 이에 한정되지는 않지만, 실란(SiH4), 디실란(Si2H6), 실리콘 테트라플루오라이드(SiF4), 실리콘 테트라클로라이드(SiCl4), 디클로로실란(SiH2Cl2) 및 이들의 조합들을 포함한다. p-형 층들의 p-형 도펀트들은 붕소 또는 알루미늄과 같은 3족 원소를 각각 포함할 수 있다. 붕소 함유 소오스들의 예들은 트리메틸보론(TMB), 디보란(B2H6) 및 그와 유사한 화합물들을 포함한다. n-형 실리콘 층들의 n-형 도펀트들은 인, 비소 또는 안티몬과 같은 5족 원소를 각각 포함할 수 있다. 인 함유 소오스들의 예들은 포스핀과 그 유사한 화합물들을 포함한다. 전형적으로, 상기 도펀트들은 수소, 아르곤, 헬륨 및 다른 적당한 화합물들과 같은 캐리어 가스와 함께 제공된다.
이하, 본 발명의 실시예들에 따라, 도 3 및 도 4에 도시된 하나 또는 그 초과의 프로세싱 챔버(300)들에서 도 2에 도시된 태양 전지(200)와 같은 텐덤 셀(tandem cell)을 형성하기 위해 사용될 수 있는 프로세싱 시퀀스의 예를 설명한다. 일 실시예에서, 전면 TCO 층(110)이 위에 증착된 기판(102)이 하나의 프로세싱 챔버(300)에 수용된다. 가스 소오스(320)로부터 가스 공급관(345) 및 샤워헤드(310,410)의 복수의 가스 통로(311)들을 통해 프로세싱 영역(306)으로 실란 가스를 약 1 sccm/L 내지 약 10 sccm/L의 유량으로 제공함으로써, 기판(102) 상에 p-형 비정질 실리콘 층(122)이 형성될 수 있다. 이와 동시에, 도 5와 관련하여 전술한 설명에서와 같이 원격 플라즈마 소오스(324)에서 발생된 수소 라디칼들이 가시선 배관(347), 중앙 공급관(349) 및 샤워헤드(310,410)를 통해 프로세싱 영역(306)으로 제공된다. 트리메틸보론이 약 0.005 sccm/L 내지 약 0.05 sccm/L의 유량으로 실란과 함께 제공될 수 있다. 또한, 메탄이 약 1 sccm/L 내지 약 15 sccm/L의 유량으로 제공될 수 있다. 기판(102) 표면 위의 프로세싱 영역(306)(도 3 참조)에 플라즈마를 형성하기 위해 약 15 mW/㎠ 내지 약 200 mW/㎠의 RF 파워가 샤워헤드(310,410)에 제공될 수 있다. 기판(102) 위에 형성된 플라즈마는 샤워헤드(310,410)를 통해 전달된 실란 가스와 원격 플라즈마 소오스(324)로부터 전달된 수소 라디칼들을 포함한다. 프로세싱 챔버(300)의 압력은 약 0.1 Torr 내지 약 20 Torr, 바람직하게는 약 1 Torr 내지 약 4 Torr로 유지될 수 있다.
그 다음, 기판(102)은 상기 p-형 비정질 실리콘 층(122) 상에 진성 비정질 실리콘 층(124)의 증착을 위해 상기 프로세싱 챔버(300)와 유사하게 구성된 다른 프로세싱 챔버로 운반될 수 있다. 일 실시예에서, 가스 소오스(320)로부터 가스 공급관(345) 및 샤워헤드(310,410)의 복수의 가스 통로(311)들을 통해 프로세싱 영역(306)으로 실란 가스가 약 0.5 sccm/L 내지 약 7 sccm/L의 유량으로 제공된다. 이와 동시에, 도 5와 관련하여 전술한 설명에서와 같이 원격 플라즈마 소오스(324)에서 발생된 수소 라디칼들이 가시선 배관(347), 중앙 공급관(349) 및 샤워헤드(310,410)를 통해 프로세싱 영역(306)으로 제공된다. 프로세싱 영역(306) 내의 실란과 수소 라디칼 혼합물에 대해 에너지를 전달하기 위해 약 15 mW/㎠ 내지 약 250 mW/㎠의 RF 파워가 샤워헤드(310,410)에 제공될 수 있다. 프로세싱 챔버(300)의 압력은 약 0.5 Torr 내지 약 5 Torr일 수 있다.
그 다음, 기판(102)이 아직 프로세싱 챔버(300) 내에 있을 때, 상기 진성 비정질 실리콘 층(124) 상에 n-형 미정질 실리콘 층(126)이 증착된다. 일 실시예에서, 가스 소오스(320)로부터 가스 공급관(345) 및 샤워헤드(310,410)의 복수의 가스 통로(311)들을 통해 프로세싱 영역(306)으로 실란 가스가 약 0.35 sccm/L과 같이 약 0.1 sccm/L 내지 약 0.8 sccm/L의 유량으로 제공된다. 이와 동시에, 도 5와 관련하여 전술한 설명에서와 같이 원격 플라즈마 소오스(324)에서 발생된 수소 라디칼들이 가시선 배관(347), 중앙 공급관(349) 및 샤워헤드(310,410)를 통해 프로세싱 영역(306)으로 제공된다. 포스핀이 약 0.0005 sccm/L 내지 약 0.06 sccm/L의 유량으로 실란과 함께 제공될 수 있다. 프로세싱 영역(306) 내의 실란과 수소 라디칼 혼합물에 대해 에너지를 전달하기 위해 약 100 mW/㎠ 내지 약 900 mW/㎠의 RF 파워가 샤워헤드(310,410)에 제공될 수 있다. 프로세싱 챔버(300)의 압력은 약 1 Torr 내지 약 100 Torr, 바람직하게는 약 3 Torr 내지 약 20 Torr일 수 있다.
그 다음, 기판(102)은 상기 n-형 미정질 실리콘 층(126) 상에 p-형 미정질 실리콘 층(132)의 증착을 위해 다른 프로세싱 챔버(300)로 이동하게 된다. 일 실시예에서, 가스 소오스(320)로부터 가스 공급관(345) 및 샤워헤드(310,410)의 복수의 가스 통로(311)들을 통해 프로세싱 영역(306)으로 실란 가스가 약 0.1 sccm/L 내지 약 0.8 sccm/L의 유량으로 제공된다. 이와 동시에, 도 5와 관련하여 전술한 설명에서와 같이 원격 플라즈마 소오스(324)에서 발생된 수소 라디칼들이 가시선 배관(347), 중앙 공급관(349) 및 샤워헤드(310,410)를 통해 프로세싱 영역(306)으로 제공된다. 트리메틸보론이 약 0.0002 sccm/L 내지 약 0.0016 sccm/L의 유량으로 실란과 함께 제공될 수 있다. 프로세싱 영역(306) 내의 실란과 수소 라디칼 혼합물에 대해 에너지를 전달하기 위해 약 50 mW/㎠ 내지 약 700 mW/㎠의 RF 파워가 샤워헤드(310,410)에 제공될 수 있다. 프로세싱 챔버(300)의 압력은 약 1 Torr 내지 약 100 Torr, 바람직하게는 약 3 Torr 내지 약 20 Torr일 수 있다.
그 다음, 기판(102)은 상기 p-형 미정질 실리콘 층(132) 상에 진성 미정질 실리콘 시드층(133)의 증착을 위해 다른 프로세싱 챔버(300)로 운반된다. 일 실시예에서, 실란 가스는 약 40초 내지 약 240초와 같이 약 20초 내지 약 300초의 시간 주기 동안 약 2.8 sccm/L 내지 약 5.6 sccm/L과 같이 영점으로부터 제 2 설정점까지 점진적으로 증가하게 된다. 증가된 실란 흐름이 가스 소오스(320)로부터 가스 공급관(345) 및 샤워헤드(310,410)의 복수의 가스 통로(311)들을 통해 프로세싱 영역(306)으로 제공된다. 이와 동시에, 도 5와 관련하여 전술한 설명에서와 같이 원격 플라즈마 소오스(324)에서 발생된 수소 라디칼들이 가시선 배관(347), 중앙 공급관(349) 및 샤워헤드(310,410)를 통해 프로세싱 영역(306)으로 제공된다. 또한, 프로세싱 영역(306) 내의 실란과 수소 라디칼 혼합물에 대해 에너지를 전달하기 위한 RF 파워는 상기 실란의 흐름과 유사하게 약 0 Watts에서 약 2 Watts/㎠로 증가할 수 있다. 프로세싱 챔버(300)의 압력은 약 1 Torr 내지 약 12 Torr일 수 있다.
진성 미정질 실리콘 시드층(133)의 형성에서 실란 가스 흐름의 점진적인 증가는 기판(102)의 표면에서 실리콘 원자들의 균일한 점착과 분포를 돕고, 이에 따라, 바람직한 필름 특성들을 가진 진성 미정질 실리콘 시드층(133)을 형성하는 것으로 믿어진다. 기판(102)의 표면에 대한 실리콘 원자들의 균일한 점착은 후속 원자들이 그 위에 응집하는 우수한 핵생성 부위들을 제공한다. 기판(102) 상에 형성된 균일한 핵생성 부위들은 그 위에 후속하여 형성된 필름들의 결정도를 증대시킨다. 따라서, 프로세싱 영역(306)으로의 실란 흐름의 점진적인 증가는 분리된 실리콘 원자들이 기판(102)의 표면에 점진적으로 흡수될 충분한 시간을 가질 수 있도록 함으로써, 핵생성 부위들을 제공하는 실리콘 원자들이 균일하게 분포된 표면을 제공하며, 이는 후속하여 증착되는 층들의 개선된 결정도를 증대시킨다.
그 다음, 상기 프로세싱 챔버(300)에서 상기 진성 미정질 실리콘 시드층(133) 상에 진성 미정질 실리콘 층(134)이 증착된다. 가스 소오스(320)로부터 가스 공급관(345) 및 샤워헤드(310,410)의 복수의 가스 통로(311)들을 통해 프로세싱 영역(306)으로 실란 가스가 약 0.5 sccm/L 내지 약 5 sccm/L의 유량으로 제공될 수 있다. 이와 동시에, 도 5와 관련하여 전술한 설명에서와 같이 원격 플라즈마 소오스(324)에서 발생된 수소 라디칼들이 가시선 배관(347), 중앙 공급관(349) 및 샤워헤드(310,410)를 통해 프로세싱 영역(306)으로 제공된다. 프로세싱 영역(306) 내의 실란과 수소 라디칼 혼합물에 대해 에너지를 전달하기 위해 약 300 mW/㎠ 또는 그 초과, 바람직하게는 약 600 mW/㎠ 또는 그 초과 사이의 RF 파워가 샤워헤드(310,410)에 제공될 수 있다. 프로세싱 챔버(300)의 압력은 약 1 Torr 내지 약 100 Torr, 바람직하게는 약 3 Torr 내지 약 20 Torr일 수 있다.
마지막으로, 기판이 아직 프로세싱 챔버(300) 내에 위치되어 있을 때, 상기 기판(201) 상의 진성 미정질 실리콘 층(124) 상에 n-형 비정질 실리콘 층(126)이 증착된다. 일 실시예에서, 상기 n-형 비정질 실리콘 층(136)은, 먼저 제 1 실란 유량으로 제 1 선택적 n-형 비정질 실리콘 층을 증착하고, 그 다음, 상기 제 1 실란 유량보다 더 낮은 제 2 실란 유량으로 상기 제 1 선택적 n-형 비정질 실리콘 층 위에 제 2 n-형 비정질 실리콘 층을 증착함으로써, 증착될 수 있다. 상기 제 1 선택적 n-형 비정질 실리콘 층은 가스 소오스(320)로부터 가스 공급관(345) 및 샤워헤드(310,410)의 복수의 가스 통로(311)들을 통해 프로세싱 영역(306)으로 실란 가스를 약 5.5 sccm/L과 같이 약 1 sccm/L 내지 약 10 sccm/L의 유량으로 제공함으로써 증착될 수 있다. 이와 동시에, 도 5와 관련하여 전술한 설명에서와 같이 원격 플라즈마 소오스(324)에서 발생된 수소 라디칼들이 가시선 배관(347), 중앙 공급관(349) 및 샤워헤드(310,410)를 통해 프로세싱 영역(306)으로 제공된다. 포스핀이 약 0.0095 sccm/L와 같이 약 0.0005 sccm/L 내지 약 0.0015 sccm/L의 유량으로 실란과 함께 제공될 수 있다. 프로세싱 영역(306) 내의 실란과 수소 라디칼 혼합물에 대해 에너지를 전달하기 위해 약 25 mW/㎠ 내지 약 250 mW/㎠의 RF 파워가 샤워헤드(310,410)에 제공될 수 있다. 프로세싱 챔버(300)의 압력은 약 0.1 Torr 내지 약 20 Torr, 바람직하게는 약 0.5 Torr 내지 약 4 Torr일 수 있다.
상기 제 2 n-형 비정질 실리콘 층의 층착은 가스 소오스(320)로부터 가스 공급관(345) 및 샤워헤드(310,410)의 복수의 가스 통로(311)들을 통해 프로세싱 영역(306)으로 실란 가스를 약 0.5 sccm/L 내지 약 3 sccm/L와 같이 약 0.1 sccm/L 내지 약 5 sccm/L의 유량으로, 예컨대, 약 1.42 sccm/L로 제공하는 단계를 포함할 수 있다. 이와 동시에, 도 5와 관련하여 전술한 설명에서와 같이 원격 플라즈마 소오스(324)에서 발생된 수소 라디칼들이 가시선 배관(347), 중앙 공급관(349) 및 샤워헤드(310,410)를 통해 프로세싱 영역(306)으로 제공된다. 포스핀이 약 0.015 sccm/L 내지 약 0.03 sccm/L와 같이 약 0.01 sccm/L 내지 약 0.075 sccm/L의 유량으로, 예컨대, 0.023 sccm/L으로 제공될 수 있다. 프로세싱 영역(306) 내의 실란과 수소 라디칼 혼합물에 대해 에너지를 전달하기 위해 약 60 mW/㎠와 같이 약 25 mW/㎠ 내지 약 250 mW/㎠의 RF 파워가 샤워헤드(310,410)에 제공될 수 있다. 프로세싱 챔버(300)의 압력은 약 0.5 Torr 내지 약 4 Torr와 같이 약 0.1 Torr 내지 약 20 Torr, 예컨대, 약 1.5 Torr일 수 있다.
따라서, 원격 플라즈마 소오스에서 수소 라디칼들을 발생시키고, 상기 수소 라디칼들을 본 발명의 실시예들에 따라 실리콘 함유 가스와 조합하기 위해 프로세스 챔버의 프로세싱 영역으로 직접 전달함으로써, 태양 전지의 실리콘 함유 층들이 각각 제공될 수 있다. 상기 실리콘 함유 가스와의 반응을 위해 프로세싱 영역으로 수소 라디칼들을 직접 제공함으로써, 종래 기술의 증착 방법들보다 개선된 결합 구조, 증착 효율 및 증착된 필름의 안정성을 얻을 수 있다.
전술한 설명은 본 발명의 실시예들에 관한 것이나, 본 발명의 또 다른 실시예들이 그 기본 범위를 벗어나지 않고 안출될 수 있다.

Claims (20)

  1. 실리콘 함유 필름의 증착 방법으로서,
    프로세싱 챔버로부터 원격으로 수소 라디칼들을 발생시키는 단계;
    상기 프로세싱 챔버의 프로세싱 영역으로 상기 수소 라디칼들의 흐름을 유도하는 단계 ― 상기 프로세싱 영역에 기판이 위치됨 ―; 및
    상기 프로세싱 챔버의 프로세싱 영역으로 실리콘 함유 가스의 흐름을 유도하는 단계 ― 상기 수소 라디칼들은 상기 프로세싱 챔버의 프로세싱 영역에 도달하기 전에 상기 실리콘 함유 가스와 혼합되지 않음 ―
    를 포함하는,
    실리콘 함유 필름의 증착 방법.
  2. 제 1 항에 있어서,
    상기 프로세싱 영역에 상기 수소 라디칼들과 함께 아르곤 플라즈마의 흐름을 전달하는 단계를 더 포함하는,
    실리콘 함유 필름의 증착 방법.
  3. 제 1 항에 있어서,
    상기 수소 라디칼들이 원격 플라즈마 소오스에서 발생되는,
    실리콘 함유 필름의 증착 방법.
  4. 제 3 항에 있어서,
    상기 원격 플라즈마 소오스로부터 상기 프로세싱 챔버로 불활성 물질을 포함하는 가시선 배관(line of sight tubing)을 통해 상기 수소 라디칼들을 전달하는 단계를 더 포함하는,
    실리콘 함유 필름의 증착 방법.
  5. 제 4 항에 있어서,
    상기 원격 플라즈마 소오스로부터 상기 프로세싱 챔버로 상기 수소 라디칼들을 전달하는 동안, 상기 가시선 배관을 가열하는 단계를 더 포함하는,
    실리콘 함유 필름의 증착 방법.
  6. 제 4 항에 있어서,
    상기 프로세싱 영역은 상기 프로세싱 챔버의 기판 지지체, 샤워헤드 및 벽체들에 의해 규정되는,
    실리콘 함유 필름의 증착 방법.
  7. 제 6 항에 있어서,
    상기 샤워헤드를 통하여 배치된 제 1 복수의 가스 통로들을 통해 가스 소오스로부터 상기 프로세싱 영역으로 상기 실리콘 함유 가스를 전달하는 단계를 더 포함하는,
    실리콘 함유 필름의 증착 방법.
  8. 제 7 항에 있어서,
    상기 샤워헤드에서의 중앙 개구를 통해 상기 가시선 배관으로부터 상기 프로세싱 영역으로 상기 수소 라디칼들을 전달하는 단계를 더 포함하는,
    실리콘 함유 필름의 증착 방법.
  9. 제 7 항에 있어서,
    상기 샤워헤드의 내부 영역과, 상기 샤워헤드의 내부 영역과 상기 프로세싱 챔버의 프로세싱 영역을 커플링하는 상기 샤워헤드에서의 제 2 복수의 가스 통로들을 통해, 상기 가시선 배관으로부터 상기 프로세싱 영역으로 상기 수소 라디칼들을 전달하는 단계를 더 포함하는,
    실리콘 함유 필름의 증착 방법.
  10. 실리콘 함유 필름의 증착 방법으로서,
    원격 플라즈마 소오스 내로의 아르곤 가스의 흐름을 설정하는 단계;
    상기 원격 플라즈마 소오스 내에서 플라즈마를 점화하는 단계;
    수소 라디칼들의 흐름이 설정되도록 상기 원격 플라즈마 소오스 내로의 수소 가스의 흐름을 설정하는 단계;
    상기 수소 라디칼들의 흐름을 프로세싱 챔버의 프로세싱 영역으로 전달하는 단계 ― 상기 프로세싱 영역에 기판이 위치 ―; 및
    상기 프로세싱 챔버의 프로세싱 영역 내로의 실리콘 함유 가스의 흐름을 발생시키는 단계 ― 상기 수소 라디칼들은 상기 프로세싱 챔버의 프로세싱 영역에 도달하기 전에 상기 실리콘 함유 가스와 혼합되지 않음 ―;
    를 포함하는,
    실리콘 함유 필름의 증착 방법.
  11. 제 10 항에 있어서,
    수소 가스의 흐름을 설정하는 동안 상기 수소 가스 흐름이 증대(ramp up)되는,
    실리콘 함유 필름의 증착 방법.
  12. 제 11 항에 있어서,
    상기 수소 가스의 흐름을 설정한 후 상기 아르곤 가스의 흐름을 감소(ramp down)시키는 단계를 더 포함하는,
    실리콘 함유 필름의 증착 방법.
  13. 제 12 항에 있어서,
    불활성 물질을 포함하는 가시선 배관을 통해 상기 원격 플라즈마 소오스로부터 상기 프로세싱 챔버의 프로세싱 영역으로 상기 수소 라디칼들을 전달하는 단계를 더 포함하는,
    실리콘 함유 필름의 증착 방법.
  14. 제 13 항에 있어서,
    상기 프로세싱 영역은 상기 프로세싱 챔버의 기판 지지체, 샤워헤드 및 벽체들에 의해 규정되는,
    실리콘 함유 필름의 증착 방법.
  15. 제 14 항에 있어서,
    상기 샤워헤드를 관통하여 배치된 제 1 복수의 가스 통로들을 통해 가스 소오스로부터 상기 프로세싱 영역으로 상기 실리콘 함유 가스를 전달하는 단계를 더 포함하는,
    실리콘 함유 필름의 증착 방법.
  16. 제 15 항에 있어서,
    상기 샤워헤드에서의 중앙 개구를 통해 상기 가시선 배관으로부터 상기 프로세싱 영역으로 상기 수소 라디칼들을 전달하는 단계를 더 포함하는,
    실리콘 함유 필름의 증착 방법.
  17. 제 15 항에 있어서,
    상기 샤워헤드의 내부 영역과, 상기 샤워헤드의 내부 영역과 상기 프로세싱 챔버의 프로세싱 영역을 커플링하는 상기 샤워헤드에서의 제 2 복수의 가스 통로들을 통해, 상기 가시선 배관으로부터 상기 프로세싱 영역으로 상기 수소 라디칼들을 전달하는 단계를 더 포함하는,
    실리콘 함유 필름의 증착 방법.
  18. 실리콘 함유 필름의 증착 장치로서,
    프로세싱 챔버 내의 프로세싱 영역을 규정하는 복수의 벽체들, 샤워헤드 및 기판 지지체를 가진 프로세싱 챔버;
    상기 샤워헤드를 관통하여 배치된 제 1 복수의 가스 통로들을 통해 상기 프로세싱 영역에 커플링된 실리콘 함유 가스 소오스;
    수소 가스 소오스에 커플링되고 복수의 수소 라디칼들을 내부에서 발생시키도록 구성된 원격 플라즈마 소오스;
    상기 프로세싱 챔버에 상기 원격 플라즈마 소오스를 커플링하는 배관 ― 상기 배관은 불활성 물질을 포함함 ―; 및
    공급관에 의해 전달되는 수소 라디칼들이 상기 프로세싱 영역에 진입하기 전에 실리콘 함유 가스와 혼합되지 않도록 상기 배관을 상기 프로세싱 영역에 커플링하는 공급관
    을 포함하는,
    실리콘 함유 필름의 증착 장치.
  19. 제 18 항에 있어서,
    상기 샤워헤드는 상기 프로세싱 영역으로 상기 수소 라디칼들을 직접 유도하도록 구성된, 상기 공급관에 유체적으로 연결된 중앙 개구를 가진,
    실리콘 함유 필름의 증착 장치.
  20. 제 18 항에 있어서,
    상기 샤워헤드는, 상기 수소 라디칼들을 수용하도록 구성된 상기 공급관에 유체적으로 커플링된 내부 영역, 및 상기 프로세싱 챔버의 프로세싱 영역과 상기 샤워헤드의 내부 영역을 유체적으로 커플링하며 상기 샤워헤드 내에 배치된 제 2 복수의 가스 통로들을 갖는,
    실리콘 함유 필름의 증착 장치.
KR1020127026980A 2010-03-17 2010-03-17 원격 플라즈마 소오스 지원형 실리콘 함유 막 증착을 위한 장치 및 방법 KR20130055582A (ko)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/CN2010/000325 WO2011113177A1 (en) 2010-03-17 2010-03-17 Method and apparatus for remote plasma source assisted silicon-containing film deposition

Publications (1)

Publication Number Publication Date
KR20130055582A true KR20130055582A (ko) 2013-05-28

Family

ID=44647572

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127026980A KR20130055582A (ko) 2010-03-17 2010-03-17 원격 플라즈마 소오스 지원형 실리콘 함유 막 증착을 위한 장치 및 방법

Country Status (4)

Country Link
US (2) US20130012030A1 (ko)
KR (1) KR20130055582A (ko)
CN (1) CN102892922A (ko)
WO (1) WO2011113177A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220024330A (ko) * 2015-03-26 2022-03-03 램 리써치 코포레이션 단속적 재생 플라즈마를 사용하는 ald 실리콘 옥사이드 표면 코팅을 사용하여 라디칼 재결합 최소화
US11761079B2 (en) 2017-12-07 2023-09-19 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning

Families Citing this family (121)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8828859B2 (en) * 2011-02-11 2014-09-09 Semiconductor Energy Laboratory Co., Ltd. Method for forming semiconductor film and method for manufacturing semiconductor device
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9303318B2 (en) * 2011-10-20 2016-04-05 Applied Materials, Inc. Multiple complementary gas distribution assemblies
KR101473403B1 (ko) 2011-12-28 2014-12-17 엘아이지에이디피 주식회사 샤워헤드 어셈블리 및 이를 갖는 화학기상 증착장치
WO2013148880A1 (en) 2012-03-27 2013-10-03 Novellus Systems, Inc. Tungsten feature fill
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9371579B2 (en) * 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
JP5764228B1 (ja) * 2014-03-18 2015-08-12 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US20150348755A1 (en) * 2014-05-29 2015-12-03 Charm Engineering Co., Ltd. Gas distribution apparatus and substrate processing apparatus including same
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
CN104233227A (zh) * 2014-09-23 2014-12-24 上海华力微电子有限公司 一种原子层沉积设备及方法
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10444892B2 (en) * 2015-10-07 2019-10-15 Microchip Technology Incorporated Capacitance measurement device with reduced noise
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
CN109964303B (zh) 2016-11-18 2023-08-29 应用材料公司 经由物理气相沉积沉积非晶硅层或碳氧化硅层的方法
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10211099B2 (en) * 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10636626B2 (en) 2018-01-25 2020-04-28 Applied Materials, Inc. Dogbone inlet cone profile for remote plasma oxidation chamber
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970287B (zh) * 2018-09-28 2022-12-02 长鑫存储技术有限公司 制备非晶硅薄膜的方法
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR20230085954A (ko) 2018-10-19 2023-06-14 램 리써치 코포레이션 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
SG11202106002VA (en) 2018-12-05 2021-07-29 Lam Res Corp Void free low stress fill
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US20220316063A1 (en) * 2019-09-04 2022-10-06 Gallium Enterprises Pty Ltd RPCVD Apparatus and Methods for Forming a Film
CN114196945A (zh) * 2021-12-16 2022-03-18 江苏鲁汶仪器有限公司 减少pecvd沉积薄膜过程中产生颗粒的方法
CN115595561A (zh) * 2022-10-31 2023-01-13 胡倩(Cn) 一种等离子体增强原子层沉积设备及沉积方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7172792B2 (en) * 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
KR100725037B1 (ko) * 2005-01-21 2007-06-07 세메스 주식회사 반도체 플라즈마 처리 장치 및 방법
US20070051388A1 (en) * 2005-09-06 2007-03-08 Applied Materials, Inc. Apparatus and methods for using high frequency chokes in a substrate deposition apparatus
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220024330A (ko) * 2015-03-26 2022-03-03 램 리써치 코포레이션 단속적 재생 플라즈마를 사용하는 ald 실리콘 옥사이드 표면 코팅을 사용하여 라디칼 재결합 최소화
US11920239B2 (en) 2015-03-26 2024-03-05 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US11761079B2 (en) 2017-12-07 2023-09-19 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning

Also Published As

Publication number Publication date
CN102892922A (zh) 2013-01-23
US20110230008A1 (en) 2011-09-22
WO2011113177A1 (en) 2011-09-22
US20130012030A1 (en) 2013-01-10

Similar Documents

Publication Publication Date Title
KR20130055582A (ko) 원격 플라즈마 소오스 지원형 실리콘 함유 막 증착을 위한 장치 및 방법
US7923354B2 (en) Methods for depositing a microcrystalline silicon film for a photovoltaic device
US9441295B2 (en) Multi-channel gas-delivery system
US7582515B2 (en) Multi-junction solar cells and methods and apparatuses for forming the same
US7919398B2 (en) Microcrystalline silicon deposition for thin film solar applications
US7741144B2 (en) Plasma treatment between deposition processes
JP5570528B2 (ja) 堆積膜形成装置
US20080245414A1 (en) Methods for forming a photovoltaic device with low contact resistance
US20100258169A1 (en) Pulsed plasma deposition for forming microcrystalline silicon layer for solar applications
JP2009545165A (ja) 多結晶のシリコン及びシリコン−ゲルマニウムの太陽電池を製造するための方法及びシステム
US20080223440A1 (en) Multi-junction solar cells and methods and apparatuses for forming the same
US20130112264A1 (en) Methods for forming a doped amorphous silicon oxide layer for solar cell devices
US8026157B2 (en) Gas mixing method realized by back diffusion in a PECVD system with showerhead
JPH0458173B2 (ko)
US20110275200A1 (en) Methods of dynamically controlling film microstructure formed in a microcrystalline layer
US20110263074A1 (en) Apparatus and methods for reducing light induced damage in thin film solar cells
JPH0522376B2 (ko)

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid