WO2004082007A1 - 半導体処理用の基板保持構造及びプラズマ処理装置 - Google Patents

半導体処理用の基板保持構造及びプラズマ処理装置 Download PDF

Info

Publication number
WO2004082007A1
WO2004082007A1 PCT/JP2003/016960 JP0316960W WO2004082007A1 WO 2004082007 A1 WO2004082007 A1 WO 2004082007A1 JP 0316960 W JP0316960 W JP 0316960W WO 2004082007 A1 WO2004082007 A1 WO 2004082007A1
Authority
WO
WIPO (PCT)
Prior art keywords
mounting table
substrate
transmission path
insulating layer
conductive layer
Prior art date
Application number
PCT/JP2003/016960
Other languages
English (en)
French (fr)
Inventor
Taro Ikeda
Sumi Tanaka
Kaoru Yamamoto
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2003066165A external-priority patent/JP4381699B2/ja
Priority claimed from JP2003140389A external-priority patent/JP4219734B2/ja
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Priority to CNB2003801101508A priority Critical patent/CN100388434C/zh
Priority to KR1020057016665A priority patent/KR100752800B1/ko
Publication of WO2004082007A1 publication Critical patent/WO2004082007A1/ja
Priority to US11/221,704 priority patent/US7837828B2/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/23Chucks or sockets with magnetic or electrostatic means

Definitions

  • the present invention relates to a substrate holding structure for semiconductor processing and a plasma processing apparatus.
  • semiconductor processing refers to a process in which a semiconductor layer, an insulating layer, a conductive layer, and the like are formed on a substrate to be processed such as a semiconductor wafer or a glass substrate for a liquid crystal display (LDD) or an FPD (Hat Panel Display).
  • LDD liquid crystal display
  • FPD Heat Panel Display
  • An object of the present invention is to provide a substrate holding structure and a plasma processing apparatus for semiconductor processing that can be reduced in size and cost.
  • the present invention also provides a plasma processing apparatus capable of increasing at least the uniformity between surfaces of a film formed on a substrate to be processed.
  • the purpose is to do.
  • a first aspect of the present invention relates to a substrate holding structure for semiconductor processing
  • a mounting table provided in the processing chamber, on which the substrate to be processed is mounted; and a temperature control space formed in the mounting table and containing a fluid used as a heat exchange medium,
  • a plasma processing apparatus comprising: an airtight processing chamber accommodating a substrate to be processed;
  • a gas supply unit for supplying a processing gas into the processing chamber
  • An exhaust unit that exhausts the processing chamber
  • It has a mounting table provided in the processing chamber, on which the substrate is mounted, and a temperature-regulating space formed in the mounting table and containing a fluid used as a heat exchange medium.
  • a third aspect of the present invention is a plasma processing apparatus, comprising: an airtight processing chamber for accommodating a substrate to be processed;
  • a gas supply unit for supplying a processing gas into the processing chamber
  • An exhaust unit that exhausts the processing chamber;
  • a mounting table disposed in the processing chamber, for mounting the substrate;
  • a conductive extension member having a surface surrounding the substrate mounted on the mounting table and having a surface aligned with the surface of the substrate;
  • the mounting table includes: an electrode portion to which high-frequency power is applied; a table insulating layer covering a bottom surface and a side surface of the electrode portion; a bottom surface and a side surface of the table insulating layer that at least partially cover the support portion and the column.
  • a base conductive layer electrically connected to the conductive layer, wherein the electrode portion, the base insulating layer and the base conductive layer form a coaxial structure;
  • the extension member is disposed on the table insulating layer in a state of being electrically insulated from the electrode portion and the table conductive layer, and an impedance between the extension member and the table conductive layer is the electrode.
  • the impedance is larger than the impedance between the section and the conductive layer.
  • FIG. 1 is a configuration diagram showing a plasma processing apparatus including a substrate holding structure for semiconductor processing according to a first embodiment of the present invention.
  • FIG. 2 is an enlarged cross-sectional view of the substrate holding structure shown in FIG.
  • FIG. 3 is a cross-sectional view showing a part of the substrate holding structure shown in FIG.
  • FIG. 4 is an enlarged cross-sectional view of a portion X in FIG.
  • FIG. 5 is an enlarged cross-sectional view of a portion Z in FIG.
  • Fig. 6 is a cross-sectional view taken along the line Y-Y in Fig. 2.
  • FIG. 7A and 7B are partial cross-sectional views illustrating a substrate holding structure according to a modification of the first embodiment.
  • Figure 8 shows the self-bye when high frequency power is applied to the mounting table. Graph showing the measurement results of the negative potential.
  • Figure 9 shows the process conditions.
  • FIG. 10 is a schematic configuration cross-sectional view schematically illustrating a schematic configuration of the plasma processing apparatus.
  • FIG. 11 is a schematic configuration diagram schematically showing a configuration of a main part of the plasma processing apparatus shown in FIG. 10.
  • FIG. 12 is an enlarged partial cross-sectional view schematically showing the structure of the outer periphery of the mounting table.
  • FIGS. 13A and 13B are circuit diagrams showing equivalent circuits between the plasma and the lower electrode of the plasma processing apparatus.
  • FIG. 14 is an enlarged partial cross-sectional view of a plasma processing apparatus according to a modification of the second embodiment.
  • FIG. 1 is a configuration diagram showing a plasma processing apparatus including a substrate holding structure for semiconductor processing according to a first embodiment of the present invention.
  • the plasma processing apparatus 10 is configured to perform a sputter etching or a reactive etching on a silicon oxide film, a metal oxide film, or a film of another material on a semiconductor wafer to be processed. Ruru.
  • the plasma processing apparatus 10 includes a substrate W to be processed. And a processing chamber 20 for storing and processing.
  • the processing chamber 20 is connected to a gas supply unit 30 for supplying a processing gas into the processing chamber 20.
  • An excitation mechanism 40 for converting the processing gas into plasma is disposed on the upper outside of the processing chamber 20.
  • a mounting table 51 of a substrate holding structure 50 for holding the substrate to be processed W is disposed below the inside of the processing chamber 20.
  • the processing chamber 20 is formed by a combination of a conductive and cylindrical lower container 201 and an insulating and cylindrical upper container or bell jar 401.
  • An opening is formed in the center of the bottom of the lower container 2 ⁇ 1, and a cylindrical exhaust chamber 202 projecting downward is airtightly connected to the opening.
  • the exhaust chamber 202 has a planar outline sufficiently smaller than that of the processing chamber 20 and is arranged concentrically with the processing chamber 20.
  • the support 52 of the substrate holding structure 50 is attached to the bottom of the exhaust chamber 202.
  • the support 52 of the board holding structure 50 is attached to the bottom of the exhaust chamber 202 using mounting rings 221, screw receiving rings 220, 222, and tightening screws 219. Fixed. This will be described later in detail with reference to FIG.
  • the column 52 rises vertically at the center of the exhaust chamber 202, and is connected to the mounting table 51 through the opening at the bottom of the lower container 201.
  • An opening 218 is formed in the side wall of the exhaust chamber 202, and is connected to an exhaust unit 204 such as a turbo-molecular pump via an exhaust pipe 203.
  • an exhaust unit 204 such as a turbo-molecular pump
  • Low pressure is required for etching, especially for snow and butter etching.
  • the processing space is set to 0.013 to 1.3. It is necessary to maintain a low pressure of 33 Pa, preferably 0.013 to 133.3 Pa.
  • the airtight processing space 402 in the processing chamber 20 is evacuated and evacuated by the exhaust part 204 through the exhaust space 202 A in the exhaust chamber 202 surrounding the column 52.
  • the processing space 402 is exhausted through the exhaust space 202 A concentrically arranged below the processing space 402, so that, for example, the exhaust space is exhausted from the side surface of the processing chamber 20.
  • the processing space 402 can be exhausted evenly. That is, the processing gas can be uniformly exhausted around the substrate W to be processed. Therefore, the pressure inside the processing space 402 becomes uniform, and the generated plasma also becomes uniform. As a result, it is possible to improve the uniformity of the etching rate when etching the substrate to be processed.
  • a shield member or a shield cover 205 made of a metal such as aluminum or an alloy thereof and grounded is provided at the bottom of the exhaust chamber 202.
  • an RF introduction component 206 for introducing RF power to the mounting table 51 of the substrate holding structure 50 is provided in the shield cover 205.
  • the RF introducing part 206 is connected to a high frequency (RF) power supply 210 for bias via a matching box 209.
  • the mounting table 51 of the substrate holding structure 50 has a disk-shaped electrode portion 501, and the column 52 has a columnar and conductive RF transmission path 502.
  • the electrode part 501 and the transmission line 502 are integrally formed from a conductive material such as an alloy of Al and A1, and are therefore electrically connected to each other.
  • Lower end of transmission line 502 Are electrically connected to the RF introduction component 206. Therefore, RF power is supplied from the RF power supply 210 to the electrode section 501 of the mounting table 51 via the transmission line 502, whereby the bias voltage is applied to the substrate W to be processed. It is stamped. Shield Docano 205 blocks RF and prevents RF from leaking out.
  • a heat exchange medium for adjusting the temperature of the mounting table 51 for example, a heat exchange medium chamber containing an insulating cooling fluid (here, A temperature control space formed as a flow path) 507 is formed.
  • the transmission path 502 of the support column 52 is provided with an introduction flow path 2 15 and a discharge flow path 2 16 for supplying and discharging the heat exchange medium to and from the temperature control space 507, respectively. Is formed.
  • an insulating material for example, an insulating part 2 0 7 made of Sera Mi click or resin such as A 1 2 O 3 is arranged.
  • the heat-exchange medium introduction flow path 2 15 and the discharge flow path 2 16 penetrate the insulating part 207 and are connected to the insulating part 207 by the metallic connector pipes 2 1 3 and 2 1 Connected to 4. Therefore, the connector tubes 2 13 and 2 14 are electrically insulated from the RF transmission line 502 by the insulating component 207.
  • the periphery of the insulating component 207 and the lower end of the transmission line 502 are covered with a heat insulating material 217.
  • the connectors 2 13 and 2 14 are connected to a circulating device CU having a temperature control function such as a flier.
  • the heat exchange medium is circulated from the circulating device CU to the temperature control space 507 of the mounting table 51 via the introduction flow path 215 and the discharge flow path 216, whereby the mounting is performed.
  • the temperature of the table 51 is maintained at a predetermined temperature.
  • a transfer port for the substrate W to be processed is formed on a side surface of the lower container 201, and a gate valve 208 is provided here. By opening the gate valve 208, the substrate W to be processed can be loaded and unloaded into the processing chamber 20. At this time, the lift pins (for example, three) of the elevating mechanism 2 1 1 operate to assist the transfer of the substrate W to the mounting table 51.
  • Gas supply portion 3 0, and A r source 3 0 5 which is connected through the A r line 3 0 1 to the gas supply line 3 1 1, and through with H 2 line 3 0 6 connected H 2 source 310.
  • the Ar line 301 is provided with valves 302, 304 and a mass flow controller 303. Ar gas is supplied to the gas supply line 311 by opening the knobs 302 and 304. At that time, the supplied flow is controlled by the mass flow controller 303.
  • the H 2 line 310 is provided with valves 307 and 309 and a mass flow controller 308. Supplied with H 2 gas to the gas supply line 3 1 1 between this opening valve 3 0 7 3 0 9. At that time, the supplied flow rate is controlled by the mass flow controller 308.
  • the gas supply line 311 to which Ar and H2 are supplied is connected to a gas supply ring 212 arranged annularly along the upper edge of the lower container 201.
  • a gas groove 2 12 B is formed in the inside of the gas supply ring 2 12 in an annular shape, and Ar gas or H 2 gas is distributed around substantially the entire circumference of the gas supply ring 2 12.
  • a r gas or H The two gases are supplied toward the center of the processing space 402 from the gas holes 211A communicating with the gas grooves 211B.
  • the Ar gas and H 2 gas supplied to the processing space 402 are turned into plasma by the excitation mechanism 40 described below.
  • the upper container or bell jar 4 0 1, dome-shaped dielectric material, such as quartz, consisting Se la Mi click scan (A 1 2 O 3, A 1 N).
  • An antenna coil 403 of an excitation mechanism 40 is wound around the bell jar 401.
  • the coil 403 is connected to the RF power source 405 via the matching box 404.
  • the RF power supply 405 generates RF power having a frequency of, for example, 450 kHz to 60 MHz (preferably 450 kHz to 13.5.6 MHz).
  • an induction magnetic field is formed in the processing space 402.
  • gases such as Ar and H 2 supplied into the processing space 402 are turned into plasma.
  • ICP inductively coupled plasma
  • the diameter D a of the cylindrical support 52 of the substrate holding structure 50 can be reduced.
  • the diameter Db of the exhaust chamber 202 can be reduced, and therefore, the entire plasma processing apparatus 10 can be reduced in size and the footprint (occupied area) can be reduced.
  • an exhaust port 210 formed on the side wall of the exhaust chamber 202 is connected to an exhaust port 204 such as a turbo molecular pump and a pressure regulating valve (not shown) through an exhaust pipe 203. Element Is connected (using the space effectively). Therefore, considering the footprint, the exhaust pipe 203 and the exhaust part 204 are smaller than the plane contour of the lower vessel 201 or the excitation mechanism 40 (Fig. 1). Smaller than the range indicated by the diameter D c).
  • FIG. 2 is an enlarged cross-sectional view showing the substrate holding structure 50 shown in FIG.
  • the substrate holding structure 50 includes the disk-shaped mounting table 51 and the columnar support 52 concentrically disposed below the mounting table 51.
  • the mounting table 51 includes the above-described electrode section 501 to which RF power is applied.
  • the side surface of the electrode section 501 is covered with a ring block 508 made of a dielectric material such as quartz.
  • the bottom surface of the electrode portion 501 is covered with a plate block 509 made of a dielectric material such as quartz and having a hole formed in the center thereof through the transmission line 502. .
  • the ring block 508 and the plate block 509 form a base insulating layer.
  • the bottom and side surfaces of the base insulating layers 508 and 509 are further covered with a base cover (base conductive layer) 514 made of a conductive material such as A 1 or T i.
  • the electrode section 501, the base insulating layer 508, 509 and the base conductive layer 514 have a coaxial structure.
  • the support 52 includes the above-described conductive transmission path 502 for introducing RF power.
  • the transmission path 502 is covered with an insulator (post insulation layer) 513 made of a dielectric material such as PTFE (polytetrafluoroethylene). Insi
  • the irrator 513 is further covered by a conductive material such as A 1, T i, and a support cover (support conductive layer) 515 which is grounded and grounded.
  • the transmission line 502, the pillar insulating layer 513, and the pillar conductive layer 514 form a coaxial structure.
  • the electrode portion 501 and the transmission line 502 are integrally formed from a conductive material such as an alloy of Al and A1, and are therefore electrically connected to each other. Ring block and play 1, block (table insulation layer) 508, 509 and insulator (post insulation layer) 513 are formed separately.
  • the base cover (base conductive layer) 5 14 and the column cover (column conductive layer) 5 15 are molded separately, but are integrated and electrically connected by welding.
  • the temperature control cavity 507 for storing a heat exchange medium (fluid) for uniformly maintaining the substrate to be processed at a predetermined temperature is formed.
  • the temperature control space 507 connects the introduction flow passage 505 and the discharge flow passage 506 formed in the transmission line 502 to each other, and introduces the introduction flow passage 505 and the discharge flow passage 506. A flow path for flowing the heat exchange medium is formed therebetween.
  • FIG. 3 is a cross-sectional view showing a part of the substrate holding structure shown in FIG. 1, and shows a cross section substantially orthogonal to the cross section shown in FIG.
  • a dielectric layer 503 made of a dielectric material such as, for example, alumina (A12O3) is provided on the upper surface (and side surface) of the electrode portion 501 in contact with the substrate W.
  • An electrode 504 is inserted inside the dielectric layer 503 on the upper surface so as to form an electrostatic chuck in cooperation with the dielectric layer 503.
  • the electrode 504 is connected directly to the outside of the processing chamber 20 via a wiring 516 extending insulated in the transmission line 502. Connected to a power supply (not shown).
  • a voltage is applied to the electrode 504
  • electrostatic polarization occurs in the dielectric layer 503 below the substrate W, and the substrate W is electrostatically attracted.
  • the dielectric layer 503 is formed by, for example, ceramic spraying. Alternatively, the dielectric layer 503 can also be formed by a method in which a thin film of ceramic of a sintered body is laminated. Further, the dielectric layer 503 can be formed of a dielectric film such as aluminum nitride (A1N), SiC, or BN instead of alumina.
  • A1N aluminum nitride
  • SiC SiC
  • BN instead of alumina.
  • the substrate holding structure 50 includes the mushroom-shaped conductive cores 501 and 502 connected to the bias RF power supply 210 and the insulating layer (dielectric layer). ) Coaxial structure covered with 508, 509, 513 and further covered with grounded conductive layers 514, 515. With this configuration, the loss of RF power is small, and the bias can be efficiently and stably applied to the substrate to be processed.
  • PPTFE is used as the pillar insulating layer (insulator) 5 13. This is because the dielectric constant of PTFE is as low as about 2, and the loss of RF power is small. In other words, it is advantageous in terms of RF power efficiency to use a low dielectric constant material for the pillar insulating layer 5 13.
  • the base insulating layers (ring block and plate block) 508 and 509 are also preferably made of a material having a low dielectric constant so as to reduce the loss of RF power. The following points must be considered.
  • the plate block 509 is provided with sealing members 5 1 1 and 5 1 2 in order to hermetically separate the mounting table 5 1 side from the support column 5 2 side.
  • the base insulating layers 508 and 509 are placed in a space that communicates with the processing space 402 where the plasma is generated under reduced pressure. For this reason, it is not preferable to use a medium that emits a large amount of gas as the material of the base insulating layers 508 and 509.
  • the base insulating layers 508 and 509 are affected by a severe temperature change such as a temperature rise or fall due to generation of plasma.
  • PTFE is porous when considered in a microscopic region, especially in dense materials such as quartz, and emits a large amount of gas under reduced pressure. Therefore, it is not preferable to use it in a vacuum vessel.
  • PTFE has a problem that it is easily deformed or has no plasma resistance and thus is easily etched.
  • the base insulating layers 508 and 509 should emit a small amount of gas in the decompression vessel, have high temperature hysteresis, and be made of a material having a low dielectric constant as much as possible. I like it. Quartz can be cited as a material that satisfies them, and for example, a resin material or the like can be used instead. That is, it is preferable to use quartz for the base insulating layers 508 and 509, and to use PTFE for the pillar insulating layers 513.
  • a focus ring 510 made of quartz or the like is provided on the upper surface (on the side on which the substrate W is mounted) of the periphery of the ring block 508 and the electrode portion 501.
  • the focus ring 510 focuses the plasma in the processing chamber to the wafer side, So that it is uniform.
  • the focus ring 510 also prevents the ring block 508 and the dielectric layer 503 from being damaged by plasma.
  • the introduction flow path 505 and the discharge flow path 506 for supplying or discharging the heat exchange medium to / from the electrode section 501 are formed inside the transmission path 502. Therefore, as described below, the structure of the substrate holding structure 50 can be simplified, the number of components can be reduced, and the size can be reduced.
  • an R F introduction path for applying a bias to the mounting table and a flow path for introducing or discharging the heat exchange medium to or from the mounting table are formed separately.
  • a space for disposing each component is required below the mounting table.
  • parts for the R F introduction path and the flow path for the heat exchange medium are required respectively, and the number of parts is large and the structure is complicated.
  • the size of the entire mounting table must be increased, so that the cooling volume is increased and the cooling efficiency is poor.
  • the introduction path 505 and the discharge path 506 are formed inside the transmission path 502, so that the RF introduction path and the RF introduction path are formed.
  • the arrangement space of the heat exchange medium flow path is shared. This makes it possible to reduce the number of parts and simplify the structure, and also to reduce the layout space and the size of the board holding structure.
  • the diameter Da of the column 52 including the transmission line 502, the introduction channel 505, and the discharge channel 506 can be reduced.
  • the diameter D b including the strut force par 5 15 is reduced.
  • the substrate holding structure 50 can be reduced in size.
  • an insulative fluid is used as the heat exchange medium, for example, a fluorine-based fluid (such as galden). For this reason, it is possible to cool the substrate to be processed via the mounting table 51 and maintain the temperature of the substrate to be processed W while ensuring insulation.
  • a fluorine-based fluid such as galden
  • the board holding structure 50 is fixed to the exhaust chamber 202 by a mounting ring 222, a ring-shaped screw receiver 222, 222 and a tightening screw 219.
  • the mounting ring 2 21 has a substantially disc shape with a hole in the center through which the transmission path 502 passes.
  • the mounting ring 222 is fixed to the transmission line 502 by screws (not shown).
  • An insulating screw holder 220 and a metal screw holder 222 are provided between the mounting ring 222 and the support cover 515. These push the support cover 5 15 upward with a tightening screw 2 19 screwed into a screw hole formed in the mounting ring 2 21.
  • the transmission path 502 of the substrate holding structure 50 is pulled downward, g
  • the airtightness of the processing space 402 is maintained by the sealing ring 512 inserted between the processing space 402 and the processing space 402. In this manner, the load for sealing necessary for airtightness can be reduced to the sealing rings 511 and 512 without using metal screws. For this reason, it is possible to reliably maintain the airtightness of the processing space 402 in a state where there is no metal contamination source in the processing space 402 where the plasma is excited.
  • this shows a cross section substantially orthogonal to the cross section shown in FIG.
  • a gas flow path 5 for introducing a gas that conducts heat at a high rate between the surface of the dielectric layer 504 and the substrate W to be processed is provided inside the transmission path 502.
  • 1 7 is formed inside the transmission path 502 .
  • the transmission line 502 is also provided with the wiring 516 extending in an insulated state, which is a DC power supply (not shown) provided outside the processing chamber 20. )).
  • the substrate W is electrostatically attracted by applying a voltage to the electrode 504 of the electrostatic chuck on the mounting table 51 through the wiring 516.
  • FIG. 4 is an enlarged sectional view showing a portion X in FIG.
  • the gas flow path 5 17 communicates with a plurality of grooves 5 17 A formed on the surface of the mounting table 51.
  • a heat transfer gas such as Ar or He is introduced into the groove 517A through the gas flow path 517.
  • the electrode 504 of the electrostatic chuck is made of a metal such as W, for example.
  • Electrode 5 0 4 for example sandwiched me by the A 1 2 O and below the Do that since the sprayed film, etc. of the third dielectric layer 5 0 3, 5 1 8.
  • FIG. 5 is a cross-sectional view showing an enlarged portion Z in FIG. Figure
  • the wiring 516 is made of a metal such as Ti.
  • the wiring 5 16 is introduced into an insertion hole 501 a having a diameter L a formed in the substrate holder 501.
  • a ring 501b made of A1 is provided by, for example, beam welding, and the wiring 516 is taken in a hole formed in the ring 501b. Affixed.
  • the wiring 516 includes a bar-shaped wiring portion 516a.
  • a cylindrical step portion 5 16 b having a diameter larger than that of the wiring portion 5 16 a is formed.
  • a cylindrical step portion 5 16 c having a smaller diameter than that of the step portion 5 16 b is formed.
  • a cylindrical step portion 5 d having a smaller diameter than the step portion c is formed on the step portion c.
  • Steps 5 16 b, 5 16 c and 5 16 d have side walls and portions of steps 5 16 b and 5 16 c facing electrode 504 include, for example, A 1 2 O 3
  • the thermal spraying forms an insulating film 516 i of 50 ⁇ .
  • the DC voltage introduced to the wiring 516 is applied through the stepped portion 516 d in contact with the electrode 504.
  • the space of the insertion hole 501a between the wiring 516 and the electrode section 501 is filled with insulating layers 516f and 516e made of insulating resin, for example, so that the wiring 516 is formed.
  • the electrode section 501 is insulated.
  • the insulating layers 516f and 516e and the wiring 516 are fixed to the electrode section 501 with, for example, an epoxy-based adhesive.
  • FIG. 6 is a cross-sectional view taken along line Y-Y in FIG.
  • an introduction channel 505 and a discharge channel 506 are formed inside the transmission channel 502. Heat exchange medium and disconnection of transmission line 502
  • the inlet channel 505 and the outlet channel 506 are surrounded by insulating materials 505A and 506A.
  • insulating material 505 A and 506 A a low heat transfer material, for example, a fluorine-based resin is desirable, for the following reason.
  • the low-temperature heat exchange medium supplied to the temperature control space 507 via the introduction flow path 505 becomes high temperature and is discharged from the discharge flow path 506.
  • the cooling efficiency of the electrode section 501 decreases.
  • the introduction flow path 505 and the discharge flow path 506 are surrounded by heat insulating materials 505 A and 506 A, heat from the discharge flow path 506 is transferred to the introduction flow path 505. The transmission of the substrate W is prevented, and the substrate W to be processed can be efficiently cooled.
  • the introduction flow path 505, the discharge flow path 506, the gas flow path 517, and the DC voltage introduction wiring 516 are arranged inside the transmission path 502. This makes it possible to reduce the size of the substrate holding structure, reduce the number of parts and simplify the structure, and reduce the manufacturing cost.
  • the outline of the method for processing the substrate to be processed W is as follows. First, the substrate W is held by the substrate holding structure 50. Next, a processing gas is supplied from a gas supply section 30 to a processing space 402 formed in the processing chamber 20. Then, the processing gas is turned into plasma by the excitation mechanism 40, and the substrate W is subjected to plasma processing.
  • the transfer gate formed in the processing chamber 20 is used. Then, the target valve W is carried in, and the substrate W is loaded on the electrode unit 501. Next, the gate valve 208 is closed, and the processing space 402 is exhausted from the exhaust port 218 to reduce the pressure to a predetermined pressure.
  • valves 304 and 302 are opened, and the flow rate is adjusted by the mass flow controller 303, while the Ar supply source 300 increases the Ar to the processing space 402. Supply.
  • the mass flow rate Control This setup roller 3 0 8
  • RF power is supplied to the coil 404 from the RF power supply 403 to excite the inductively coupled plasma inside the bell jar 401.
  • the plasma processing apparatus 10 is formed on an oxide film or silicon formed on a metal film formed on a substrate to be processed, for example, in a manufacturing process of a semiconductor device. It can be used to remove impurity layers containing oxide films such as natural oxide films. By removing such an impurity layer, the adhesion between the subsequently formed film and the underlying layer is improved, or the electrical resistance of the subsequently formed film is reduced. Is obtained.
  • the specific conditions for removing the impurity layer are as follows.
  • the pressure is between 0.1 and 13.3 Pa, preferably between 0.1 and 2.7 Pa.
  • the wafer temperature is 100-500 ° C.
  • Gas flow rate, A r is 0. 0 0 1 ⁇ 0. 0 3 L / min, I- I 2 force S 0 ⁇ 0. 0 6 L Zm in, is favored properly 0 ⁇ 0. 0 3 L Zm in.
  • the frequency of the RF power supply 405 is 450 kHz to 60 MHz, preferably 450 kHz to 13.56 MHz.
  • the bias RF power supply is 0 to 5 MHz. At 200 W, it is 120 000 V as a bias potential.
  • a metal oxide film such as Cu 2 O
  • specific conditions are as follows.
  • the pressure is 3.99 X 102 to 1.
  • the wafer temperature is 0 to 200 ° C.
  • 0 0 2 ⁇ 0. 0 3 L / min, H 2 force s 0 ⁇ 0. OSLZ min It is preferably between 0 and 0.02 L / min.
  • the frequency of the RF power supply 405 is 450 kHz to 60 MHz, preferably 45 kHz to 13.5.6 MHz.
  • the power of the noise RF power supply is 50 to 300 W, and the noise potential is 115 to 125 V.
  • FIG. 9 shows the plasma RF used in the above process, the frequency of the bias RF, and the respective power ranges.
  • the bias RF the range of the value of the bias potential is also shown.
  • the substrate holding structure 50 is not limited to the contents shown in FIGS. 2 to 6, but can be variously modified and changed.
  • 7A and 7B show a substrate holding structure according to a modification of the first embodiment.
  • the dielectric layer 503 is formed only on the upper surface of the electrode section 501 (the side in contact with the substrate W) in a range not covered by the focusing ring 501. Is done.
  • the number of steps of ceramic spraying can be reduced and the manufacturing cost 1 can be reduced.
  • the area and shape of the electrode section 501 covered with the dielectric layer can be variously changed as necessary.
  • the focusing ring 51 O A is thinner than the focusing ring 5 10 in the case of the substrate holding structure 50.
  • the top surface of the focus ring 51 OA (the side exposed to plasma) and the top surface of the dielectric layer 503 are aligned in height.
  • the non-uniformity of the bias potential near the edge of the substrate W is improved.
  • the effect of improving the uniformity of the sputter etching rate in the plane of the substrate W can be obtained.
  • the dielectric constant can be changed by changing the material of the focusing ring.
  • the bias potential near the wafer edge changes, so that the in-plane uniformity of the spotter etching rate can be improved.
  • Figure 8 is a graph showing the measurement results of the self-bias potential when high-frequency power is applied to the mounting table.
  • RF power is applied to the substrate holding structure 50 and the self-bias voltage ( V dc) was measured. Also .
  • V dc was also measured for the conventional substrate holding structure.
  • the RF transmission path is thinner than the substrate holding structure 50, and does not have the coaxial structure as described above.
  • the Ar gas flow rate was 2.9 sccm.
  • the pressure inside the processing chamber was 0.5 mTorr.
  • the temperature of the mounting table was room temperature (about 20 to 30 ° C) when the substrate holding structure 50 was used, and 200 ° C for the conventional type.
  • Plasma density was in the jar by the 2. 5 X 1 0 10 atotns / cm 3. For this reason, the RF power for plasma excitation was set to 100 W when the substrate holding structure 50 was used, and 800 W for the conventional type.
  • V dc the voltage of V dc was higher than that of the conventional type.
  • V dc the voltage of V dc is 126 V in the conventional type
  • V dc the voltage of V dc is , About 1.3 times the potential.
  • the RF power can be efficiently transmitted by the coaxial structure using the transmission line 502 as the central conductor. Conceivable. Another reason is that the RF transmission line 502 has a low impedance due to the introduction of the introduction channel, exhaust channel, DC wiring, and heat transfer gas channel. Can be considered. That is, from the latter viewpoint, the entire substrate holding structure can be reduced in size, but the surface area of the transmission line 502 increases, and the impedance to RF decreases. ⁇ Second embodiment>
  • the metal removed from the substrate W to be processed is scattered.
  • the scattered metal is deposited on the upper surface of the insulating focusing ring 510 around the target substrate W to form a metal film.
  • a discharge path is formed between the substrate to be processed (semiconductor wafer) W and the grounded conductive base cover (base conductive layer) 514 via the metal film.
  • the electric charge charged on the metal film flows as a current to the base cover 5 14, so that a loss occurs in the RF power supplied to the electrode section 501.
  • problems such as a reduction in processing efficiency and an impairment of processing uniformity occur due to a decrease in self-bias and abnormal discharge in a discharge path.
  • the formation of the metal film may cause a significant change in the electromagnetic configuration of the surface of the mounting table 51.
  • the state of the plasma on the mounting table 51 also changes with time, thereby deteriorating the reproducibility of the processing process.
  • a conductive metal film is formed on the focusing ring 5 10
  • the situation is substantially the same as when the lower electrode has an area larger than the substrate W to be processed.
  • the self-bias is reduced, the etching rate is reduced, and the processing uniformity (plane-to-plane uniformity) between a plurality of substrates to be processed is also deteriorated.
  • the second embodiment relates to a plasma processing device for addressing the above problems. Therefore, the device according to the second embodiment Has an effective structure when processing a substrate to be processed having a conductive film.
  • Such processes include, for example, surfaces such as Cu, Si, Ti, TiN, TiSi, W, Ta, TaN, WSi, po1y-Si, etc. Treatment for removing the oxide film formed on the substrate.
  • FIG. 10 is a configuration diagram showing a plasma processing apparatus including a substrate holding structure for semiconductor processing according to a second embodiment of the present invention.
  • the plasma processing apparatus 70 has a cylindrical processing chamber 7 10, and a mounting table 7 20 is disposed inside the processing chamber 7 10.
  • the processing chamber 7110 is connected to a gas supply unit 7400 for supplying a processing gas into the processing chamber 7110.
  • a substantially cylindrical exhaust chamber 711B projecting downward is hermetically connected to an exhaust port 711c formed at the center of the bottom of the processing chamber 710.
  • a column 730 for a mounting table 720 is arranged concentrically in the exhaust chamber 71B.
  • An exhaust unit (not shown) having a vacuum pump or the like via an exhaust pipe 716 is connected to a side wall of the exhaust chamber 711B. With this exhaust unit, the inside of the processing chamber 7 10 is exhausted, and a predetermined vacuum pressure, for example, 0.1 mTorr to l.OTrr can be set.
  • the processing chamber 7 10 is formed by a combination of a conductive and cylindrical lower container 7 11 and an insulating and cylindrical upper container or bell jar 7 12.
  • the lower container 711 is made of, for example, a metal (conductor) such as aluminum or an alloy thereof.
  • Bell jar 7 1 for example, glass, Serra Mi click (A 1 2 O 3, A 1 N).
  • An induction coil 7 13 is wound around the bell jar 7 12.
  • Induction coil 7 13 is connected to RF power supply 7 51 through matching box 7 52.
  • RF power 750 kHz is supplied from the RF power supply 751 to the RF coil S 1313 to form an induction electromagnetic field in the bell jar 712. Note that the lower container 7 11 and the coil 7 13 are grounded.
  • a gas supply ring 714 is hermetically formed between the lower container 71 1 and the bell jar 72 with a sealing material such as a ring.
  • the gas supply ring 714 is connected to the gas source 740 (eg, Ar gas) and the gas source 742 (eg, H 2 gas) of the gas supply section 740 via a valve and a flow meter. Is done.
  • Gas supply ring 7 1 e.g. Ar gas
  • the gas source 742 eg, H 2 gas
  • No. 4 has a plurality of gas inlets at equal intervals around the processing chamber 7 10.
  • the gas inlet uniformly discharges the processing gas (plasma generating gas) supplied from the gas supply unit 740 toward the center of the bell jar 712.
  • An opening 711 a is formed in the side wall of the lower container 711, and a gate 715 is provided here. By opening the gate valve 7 15, the substrate W to be processed can be loaded and unloaded into the processing chamber 7 10.
  • a grounded upper electrode 7 17 is provided so as to face the mounting table 7 20.
  • the upper electrode 717 is made of a conductive material such as anodized aluminum.
  • the upper electrode 7 17 acts as a counter electrode of the lower electrode provided on the mounting table 7 20, causing a problem when plasma is ignited. It has the role of avoiding the problem and facilitating the ignition of the plasma.
  • the upper electrode 7 17 fixes and reinforces the bell jar 7 12 via a buffer member (a plurality of pads arranged at intervals) 7 17 a made of, for example, resin.
  • the electrode portion in the mounting table 7 2 0 t lower electrode 7 2 1 (lower electrode) 7 2 1 is provided in, RF transmission line 7 3 1 posts 7 3 within 0 matcher 7 5 4, etc. Connected to RF power supply 753.
  • An RF power source 753 power for example, 13.56 MHz RF power is supplied to the lower electrode 721, and a bias potential is applied to the substrate W to be processed.
  • the lower electrode 72 1 and the transmission line 73 1 are integrally formed in the same manner as in the first embodiment.
  • a heat exchange medium for adjusting the temperature of the mounting table 720 for example, a heat exchange medium chamber (temperature control space) as a flow path for flowing an insulating cooling fluid. 2 1 a is formed.
  • a heat exchange medium chamber temperature control space
  • the introduction channel 735 and the discharge channel 736 are connected to a circulating device CU having a temperature control function such as a flier.
  • a temperature control function such as a flier.
  • the temperature of the mounting table 720 is maintained at a predetermined temperature.
  • the substrate to be processed W is controlled at a temperature of 120 to 100 ° C.
  • An arbitrary temperature control means can be provided in the mounting table 720 in place of the temperature control space 72 1 a.
  • the mounting table A built-in heating heater can be built-in.
  • the lower electrode 7 2 1 is made of a dielectric layer (insulating layer) such as aluminum.
  • the dielectric layer 722 forms a mounting surface of the mounting table 720 on which the substrate to be processed W is mounted. On the mounting surface, there is a dielectric layer inside the dielectric layer
  • the electrode 723 is connected to a DC power supply 155 provided outside the processing chamber 720 through a wiring 337 extending in an insulated state within the transmission line 733.
  • the substrate W to be processed is electrostatically attracted onto the mounting table 720 by applying a voltage to the electrode 723.
  • the side and bottom surfaces of the lower electrode 721 are covered with an insulating layer 725 made of a dielectric material such as quartz. A part of the bottom and side surfaces of the insulating layer 725 is further covered with a cover 726 made of a conductive material such as A 1.
  • the lower electrode 7 21, the insulating layer 7 25 and the conductive cover 7 26 have a coaxial structure.
  • the transmission line 731 of the column 730 is also covered with the insulating layer 732.
  • the insulating layer 732 is further covered by a cover 733 made of a conductive material such as A1 and electrically connected to the conductive cover 726 and grounded.
  • the transmission line 731, the insulating layer 7332, and the conductive cover 7333 form a coaxial structure.
  • the mushroom-shaped conductive core 72 1 73 1 connected to the bias RF power supply 75 3 is formed by an insulating layer (dielectric layer) 7 25 , 732, and this is further covered with a grounded conductive cover 726, 733.
  • a coaxial structure Since the conductive covers 726 and 733 are grounded, even if an induced electromagnetic field is formed in the covers 726 and 733 and the charges are charged, the charges flow to the ground. For this reason, when RF power is applied to the lower electrode 721, no plasma is formed in the lower exhaust space of the mounting table 720. With this configuration, it is possible to apply the bias to the substrate to be processed efficiently and stably with little loss of RF power.
  • a conductive and ring-shaped extension member 727 surrounding the substrate W to be processed is arranged on the upper outer edge of the mounting table 720.
  • the extension members 727 are aligned with the upper surface of the substrate W (preferably the height is uniform). Having.
  • the extension member 727 is insulated from the electrode 721 by the dielectric layer 722. Further, the extension member 727 is insulated from the conductive cover 726 by the insulating layer 725 or by a sufficient gap.
  • the extension member 727 is insulated from all surrounding members to which a potential is supplied. In other words, the extension member 727 is in a floating state in which a specific potential is not supplied.
  • the conductive extension member 727 is configured to completely surround the substrate W to be processed.
  • the extension member 727 is made of various conductive materials such as metal such as titanium, aluminum and stainless steel, and low-resistance silicon.
  • the extension member 727 is made of titanium or an alloy thereof, in which the conductor is not easily separated to generate particles or the like. instead of, The extension member 727 may have a surface coated with titanium or an alloy thereof.
  • a drive source 761 composed of an electric motor, a fluid pressure cylinder, and the like is provided outside the processing chamber 720.
  • the drive source 761 moves up and down the plurality of lift bins 763 via the drive member 762.
  • the substrate W to be processed is raised and lowered with respect to the mounting surface of the mounting table 720 by raising and lowering the lift pins 763.
  • the lift bin 765 assists the transfer of the substrate to be processed W to the mounting table 720.
  • FIG. 11 is a schematic configuration diagram schematically showing a configuration of a main part of the plasma processing apparatus shown in FIG.
  • the plasma processing apparatus 70 includes a conductive sheath box 719 connected so as to cover the upper side of the lower vessel 711.
  • a vineyard 712 and a guide coil 713 are accommodated in the Sino-Revox box 719.
  • the seal pot 719 is grounded and has the function of blocking plasma emission (ultraviolet rays, etc.) and electromagnetic fields.
  • the upper electrode 717 is supported by a member 718 on the upper part of the seal box 719.
  • a processing gas for example, a mixed gas obtained by mixing Ar gas and H 2 gas
  • a gas supply unit 74 is supplied via a gas supply ring 714. It is introduced into the processing room 7110.
  • the inside of the processing chamber 710 is evacuated through the exhaust chamber 711 B and the exhaust pipe 716 to a predetermined pressure (vacuum), for example, 0.1 mTorr to 1.0 Torr.
  • RF power for example, 100 to 100 W, is applied to the induction coil 713 by the S mark.
  • the processing gas is turned into plasma, and a plasma region P is formed on the substrate W to be processed (see FIG. 10).
  • a metal or metal oxide for example, Cu, Si, Ti, TiNTiSi, W, Ta, TaN, WS on the surface of the substrate W to be processed. Etch the oxide film on the surface such as i, poly_Si.
  • the above-described metal film is mainly formed on the exposed surface of the extension member 727.
  • FIG. 12 is an enlarged partial cross-sectional view showing a state where the metal film M is formed on the extension member 727 in the plasma processing apparatus shown in FIG. As shown in FIG. 12, a gap 728 is formed between the extension member 727 and the conductive cover 726 to sufficiently insulate the discharge path. Therefore, even when the metal film M is formed on the extension member 727, almost no change occurs in the electromagnetic environment of the outer periphery of the mounting table 720. Also, there is no problem of formation of a discharge path or abnormal discharge at the outer peripheral portion of the mounting table 720.
  • the conductive extension member 727 is sufficiently insulated from the surrounding members, the current flow due to the RF power supplied to the electrode 721 via the extension member 727 is also possible. Does not occur. others As a result, there is little chance that the processing power of the device will be wasted by self-biasing force S drift.
  • the metal film M will be formed, and the conductive extension members 727 are provided from the beginning, and even if the metal film M is formed, The electromagnetic situation of the vehicle remains almost unchanged. As a result, it is possible to improve processing uniformity (uniformity between surfaces) for a plurality of substrates.
  • One of the above electromagnetic considerations relates to the insulation between the extension member 727 and the conductive force bar 726.
  • the leakage of the electric power applied to the electrode 7 21 is large, and the processing is performed efficiently and stably. I can't do it.
  • the distance S between the cover 726 and the extension member 727 via the gap 728 is sufficiently ensured.
  • the impedance Z 1 between the lower electrode 72 1 and the cover 72 6 is smaller than the impedance Z 1 between the extending member 7.27 and the force node 72 6.
  • Z 2 is configured to be large.
  • These impedance values are based on the frequency of R F applied to the lower electrode 72 1.
  • the insulation resistance between the conductive cover 7 2 6 and the extension member 7 2 7 As a method of ensuring sufficient (impedance), there is a method of arranging an insulator (dielectric) in the gear 728 and designing the dielectric constant and shape. For example, by arranging a dielectric material on the gap 728 shown by a dotted line in FIG. 12, the insulating material provided between the cover 726 and the extension member 727 can be provided. The substance's effective dielectric constant changes. That is, by arranging an insulator in the gap 7 228, Z 2 becomes larger than Z 1 because of the force that can change the impedance between the two. It is also possible to design in such a way. In this way, a discharge path is not formed, and stable processing can be performed.
  • the exposed surface of the conductive extension member 727 is configured so as to be aligned (preferably the height is uniform) with the surface of the substrate W to be processed.
  • the surface area of the 20 electrodes 72 1 is substantially increased. That is, the surface area of the electrode 7 2 1 is ⁇ -
  • the extension member 7 27 provides the same electromagnetic environment as when the surface area of the electrode 7 21 is reduced to (D 2) 2 . You. Where D 1 is the radius of electrode 7 2 1
  • D 2 is a radius corresponding to the outer edge shape of the extension member 727.
  • FIGS. 13A and 13B show the case where the electrode area of the mounting table 720 is set to Al and ⁇ ⁇ ⁇ ⁇ 2, and their own bias voltages are set to VI and ⁇ 2 in the plasma processing apparatus.
  • extension member 727 can be easily exchanged by forming the extension member 727 detachably with respect to the mounting table 720. In this case, it becomes possible to easily maintain the device.
  • FIG. 14 is an enlarged partial cross-sectional view of a plasma processing apparatus according to a modification of the second embodiment.
  • the power leakage to the lower electrode 72 1 is reduced as compared with the structure shown in FIG. 12, and the conductive cover 7 26 and the extension member are formed by the by-product metal film. 7 2 7 and have a configuration that is unlikely to cause a short circuit.
  • the relationship between the thickness of the insulating layer 725 and the position of the upper end of the conductive cover 726 is such that the relationship of L ⁇ T is satisfied. Is done.
  • L is the insulating layer 7
  • T is the thickness of the insulating layer 725 between the bottom of the lower electrode 721 and the bottom of the cover 726.
  • the conductivity of the side of the insulating layer 725 The upper end of the cover 726 is located below the bottom of the lower electrode 721.
  • the present invention is not limited to the above-described specific embodiments, and various modifications and changes may be made within the gist described in the claims. It is possible.
  • the plasma etching apparatus has been described.
  • the present invention can be similarly applied to a plasma film forming apparatus, a plasma etching apparatus, and the like.
  • the substrate to be processed is not limited to a semiconductor wafer, but may be a glass substrate, an LCD substrate, or the like.
  • a plasma processing apparatus capable of improving at least uniformity between surfaces of a film formed on a substrate to be processed.

Abstract

半導体処理用の基板保持構造(50)は、処理室(20)内に配設される、被処理基板(W)を載置する載置台(51)を含む。載置台(51)内に、熱交換媒体として使用される流体を収容する温調空所(507)が形成される。高周波電力を載置台(51)に導入するため、導電性の伝送路(502)が配設される。伝送路(502)内に、温調空所(507)に対して熱交換媒体流体を供給または排出する流路(505、506)が形成される。

Description

明 細 書
半導体処理用の基板保持構造及びプラ ズマ処理装置
技術分野
本発明は、 半導体処理用の基板保持構造及びプラズマ処理 装置に関する。 こ こで、 半導体処理と は、 半導体ウェハや L し D (Liquid crystal display)や F P D (Hat Panel Display) 用 のガラス基板な どの被処理基板上に半導体層、 絶縁層、 導電 層な どを所定のパターンで形成する こ と によ り 、 該被処理基 板上に半導体デバイ スや、 半導体デバイ スに接続される配線、 電極な どを含む構造物を製造するために実施される種々 の処 理を意味する。
背景技術
近年、 半導体デバイ スに対しては、 高集積化及び高性能化 と共に、 コ ス ト ダウ ンの要求がある。 このため、 半導体デバ イ スの生産性の向上が必要と なっている。 例えば、 生産性を 向上させるための方法と して、 半導体基板の大口径化が挙げ られる。 従来は、 半導体基板 (ウェハ) と して 2 0 0 m m基 板が用い られたが、 現在はその主流が 3 0 0 m m基板にシフ ト している。 大口径の 3 0 O m m基板を用いて半導体デパイ スを製造する こ と によ り 、 一枚の基板から生産でき る半導体 デバイ スの個数が増え、 生産性が向上する。
3 0 0 m m基板を用いる場合、 従来の 2 0 0 m m基板を処 理するための半導体処理装置を、 3 0 O m m基板を処理可能 な装置に変更する必要がある。 この場合、 基板を保持するた めの基板保持構造が大型と なるため、 プラズマ処理装置など の半導体処理装置も大型と なる。 このため、 半導体処理装置 の占有面積が増大 し、 半導体製造工場に配設可能な台数が減 少 し、 これは、 半導体デバイスの生産性が低下する原因にな る。 また、 従来の基板保持構造の構造をそのまま適用 して、 2 0 0 m m基板用の部品を 3 0 0 m m基板用 と大型化 した場 合、 大幅な コ ス ト ア ップにつながる。
基板保持構造に関 し、 下記の 6 つの文献を従来技術と して 挙げる こ と ができ る。
特開平 9 一 2 7 5 1 3 2号公報。
特開平 1 0 — 1 1 6 8 2 6 号公報。
特開平 1 0 — 2 5 8 2 2 7号公報。
特開平 1 1 — 6 7 7 4 6 号公報。
特開 2 0 0 0 — 1 8 3 0 2 8 号公報。
特開 2 0 0 1 - 3 3 2 4 6 5 号公報。
プラズマエッチング装置に関 し、 下記の 3 つの文献を従来 技術と して挙げる こ と ができ る。
特開 2 0 0 2 — 2 3 7 4 8 6 号公報
特開 2 0 0 2 - 2 4 6 3 7 0 号公報
特開平 5 — 3 3 5 2 8 3 号公報
発明の開示
本発明は、 小型化及びコス ト ダウンが可能な半導体処理用 の基板保持構造及びブラズマ処理装置を提供する こ と を 目的 とする。
本発明はまた、 被処理基板上に形成される膜の少な く と も 面間均一性を高く する こ とが可能なブラズマ処理装置を提供 する こ と を 目的とする。
本発明の第 1 の視点は、 半導体処理用の基板保持構造であ つて、
処理室内に配設される、 被処理基板を載置する载置台 と、 前記載置台内に形成され且つ熱交換媒体と して使用 される 流体を収容する温調空所と 、
高周波電力を前記載置台に導入する導電性の伝送路と、 前記伝送路内に形成され且つ前記温調空所に対して前記流 体を供給または排出する流路と 、
を具備する。
本発明の第 2 の視点は、 プラズマ処理装置であって、 被処理基板を収容する気密な処理室と、
前記処理室内に処理ガス を供給するガス供給部と、
前記処理室内を排気する排気部と、
前記処理室内に配設された、 前記基板を載置する载置台と 、 前記載置台内に形成され且つ熱交換媒体と して使用される流 体を収容する温調空所を有する こ と と、
高周波電力を前記載置台に導入する導電性の伝送路と 、 前記伝送路内に形成され且つ前記温調空所に対 して前記流 体を供給または排出する流路と、
を具備する。
本発明の第 3 の視点は、 プラズマ処理装置であって、 被処理基板を収容する気密な処理室と、
前記処理室内に処理ガスを供給するガス供給部と、
前記処理室内を排気する排気部と、 前記処理室内に配設された、 前記基板を載置する載置台と . 前記载置台上に载置された前記基板を包囲 し且つ前記基板 の表面と並ぶ表面を有する導電性の延長部材と、
を具備し、
前記載置台は、 高周波電力を印加される電極部と、 前記電 極部の底面及び側面を覆う 台絶縁層 と、 前記台絶縁層の底面 及び側面の少な く と も一部を覆い且つ前記支柱導電層 と電気 的に接続された台導電層と を具備 し、 前記電極部と前記台絶 縁層 と前記台導電層 と は同軸構造をなすこ と と、
前記延長部材は、 前記電極部及び前記台導電層 と電気的に 絶縁された状態で前記台絶縁層上に配置され、 前記延長部材 と前記台導電層 との間のイ ン ピーダンスは、 前記電極部と前 記台導電層 と の間のイ ンピーダンス よ り も大きレヽこ と と 、 を具備する。
図面の簡単な説明
図 1 は、 本発明の第 1 実施形態に係る半導体処理用の基板 保持構造を含むプラズマ処理装置を示す構成図。
図 2 は、 図 1 に示す基板保持構造を拡大 して示す断面図。 図 3 は、 図 1 に示す基板保持構造の一部を示す断面図。
図 4 は、 図 3 中の部分 Xを拡大 して示す断面図。
図 5 は、 図 4 中の部分 Z を拡大 して示す断面図。
図 6 は、 図 2 中の Y— Y線に沿った横断面図。
図 7 A及び図 7 B は、 第 1 実施形態の変更例に係る基板保 持構造を示す断面部分図。
図 8 は、 載置台に高周波電力を印加 した場合のセルフバイ ァス電位の測定結果を示すグラ フ。
図 9 は、 プロ セス条件を示す図。
図 1 0 は、 プラズマ処理装置の概略構成を模式的に示す概 略構成断面図。
図 1 1 は、 図 1 0 に示すプラズマ処理装置の主要部の構成 を模式的に示す概略構成図。
図 1 2 は、 載置台外周部の構造を模式的に示す拡大部分断 面図。
図 1 3 A及び図 1 3 Bは、 プラズマ処理装置のプラズマと 下部電極と の間の等価回路を示す回路図。
図 1 4 は、 第 2実施形態の変更例に係るプラズマ処理装置 の拡大部分断面図。
発明を実施するため の最良の形態
以下に、 本発明の実施の形態について図面を参照 して説明 する。 なお、 以下の説明において、 略同一の機能及び構成を 有する構成要素については、 同一符号を付し、 重複説明は必 要な場合にのみ行う 。
ぐ第 1 実施形態 >
図 1 は、 本発明の第 1 実施形態に係る半導体処理用の基板 保持構造を含むプラズマ処理装置を示す構成図である。 こ の プラズマ処理装置 1 0 は、 被処理基板である半導体ウェハ上 のシ リ コ ン酸化膜、 金属酸化膜、 その他の材料の膜を、 スパ ッタエッチングまたは リ アクティ ブエッチングする よ う に構 成さ ルる。
図 1 に示すよ う に、 プラズマ処理装置 1 0 は被処理基板 W を収納して処理する処理室 2 0 を含む。 処理室 2 0 には、 処 理室 2 0 内に処理ガスを供給するガス供給部 3 0 が接続され る。 処理室 2 0 の外部上側には、 処理ガス をプラズマ化する ための励起機構 4 0 が配設される。 処理室 2 0 の内部下側に は、 被処理基板 Wを保持する基板保持構造 5 0 の载置台 5 1 が配設される。
処理室 2 0 は、 導電性且つ円筒状の下側容器 2 0 1 と 、 絶 縁性且つ円筒状の上側容器またはベルジャー 4 0 1 と の組み 合わせによ り 形成される。 下側容器 2 ◦ 1 の底部の中央に開 口が形成され、 こ こ に、 下側に突出する円筒状の排気室 2 0 2が気密に接続される。 排気室 2 0 2 は、 処理室 2 0 よ り も 平面輪郭が十分に小さ く 、 且つ処理室 2 0 と 同心状に配設さ れる。
排気室 2 0 2 の底部には、 基板保持構造 5 0 の支柱 5 2 が 取り 付け られる。 基板保持構造 5 0 の支柱 5 2 は、 取り 付け リ ング 2 2 1 、 ネジ受け リ ング 2 2 0 、 2 2 2、 締付ネジ 2 1 9 な どを用いて排気室 2 0 2 の底部に固定される。 この詳 細については、 図 .2 以下を参照 して後述する。 支柱 5 2 は排 気室 2 0 2 の中心を垂直に立ち上が り 、 下側容器 2 0 1 の底 部の開口 を通って載置台 5 1 に接続される。
排気室 2 0 2 の側壁には開口 2 1 8 が形成され、 排気配管 2 0 3 を介 して、 例えばターボ分子ポンプな どの排気部 2 0 4 に接続される。 エ ッチング、 特にス ノ、ッ タエッチングを行 う場合は低圧力が必要である。 例えば、 ターボ分子ポンプな どの排気部 2 0 4 を用いて、 処理空間を 0 . 0 1 3 3 〜 1 . 3 3 P a 、 好ま しく は 0 . 0 1 3 3 〜 ◦ . 1 3 3 P a の低圧 力に保持する こ と が必要と なる。
処理室 2 0 内の気密な処理空間 4 0 2 は、 支柱 5 2 を包囲 する排気室 2 0 2 内の排気空間 2 0 2 Aを介 して、 排気部 2 0 4 によって真空排気される。 処理空間 4 0 2 が、 その下側 で同心状に配置された排気空間 2 0 2 Aを介 して排気される こ と によ り 、 例えば処理室 2 0 の側面から排気する よ う な場 合に比べて、 処理空間 4 0 2 を均等に排気する こ とができ る。 即ち、 被処理基板 Wを中心と して処理ガスを均等に排気する こ と ができ る。 そのため、 処理空間 4 0 2 内部の圧力が均一 と な り 、 また発生するプラズマの生成も均一と なる。 その結 果、 被処理基板をエッチングする際のエッチングレー トの均 一性を良好にする こ とができ る。
排気室 2 0 2 の底部には、 例えばアル ミ ニ ウ ム 、 その合金 などの金属からな り 且つ接地された遮蔽部材またはシール ド カバー 2 0 5 が配設される。 シール ドカバー 2 0 5 内には、 基板保持構造 5 0 の載置台 5 1 に R F電力を導入するための R F導入部品 2 0 6 が配設される。 R F導入部品 2 0 6 は、 整合器 2 0 9 を介 して、 バイ ア ス用の高周波 ( R F ) 電源 2 1 0 に接続される。
基板保持構造 5 0 の載置台 5 1 は円板状の電極部 5 0 1 を 有する と共に、 支柱 5 2 は円柱状で且つ導電性の R F伝送路 5 0 2 を有する。 電極部 5 0 1 と伝送路 5 0 2 と は、 A l 、 A 1 の合金な どの導電性材料から一体的に成形され、 従って これらは互いに電気的に接続される。 伝送路 5 0 2 の下端部 は、 R F導入部品 2 0 6 に電気的に接続される。 従って、 载 置台 5 1 の電極部 5 0 1 に伝送路 5 0 2 を介 して R F電源 2 1 0 よ り R F電力が供給され、 これによ り被処理基板 Wにバ ィ ァス電圧が印カ卩される。 シール ドカノ 一 2 0 5 は、 R F を 遮蔽し、 R Fが外部に漏洩する のを防止する。
載置台 5 1 の電極部 5 0 1 内には、 載置台 5 1 の温度を調 整するための熱交換媒体、 例えば.絶縁性の冷却流体を収容す る熱交換媒体室 ( こ こ では、 流路 と して形成さ れた温調空 所) 5 0 7 が形成される。 これに対して、 支柱 5 2 の伝送路 5 0 2 內には、 温調空所 5 0 7 に熱交換媒体を夫々供給及び 排出するための導入流路 2 1 5及び排出流路 2 1 6 が形成さ れる。
支柱 5 2 の下端部には、 絶縁材料、 例えば A 1 2 O 3 等の セラ ミ ック または樹脂などからなる絶縁部品 2 0 7 が配設さ れる。 熱交換媒体の導入流路 2 1 5及び排出流路 2 1 6 は、 絶縁部品 2 0 7 を貫通 し、 絶縁部品 2 0 7 に取り 付け られた 金属性のコネク タ管 2 1 3、 2 1 4 に接続される。 従って、 コネク タ管 2 1 3、 2 1 4 は、 絶縁部品 2 0 7 によって、 R F伝送路 5 0 2 カゝら電気的に絶縁される。 絶縁部品 2 0 7及 び伝送路 5 0 2 の下端部の周辺は断熱材 2 1 7 でカバーされ る。
コネク タ 2 1 3、 2 1 4 は、 例えばチラ一な どの温度調節 機能付きの循環装置 C Uと接続される。 循環装置 C Uから、 導入流路 2 1 5 及び排出流路 2 1 6 を介して、 載置台 5 1 の 温調空所 5 0 7 に熱交換媒体を循環させる こ と によ り 、 載置 台 5 1 の温度が所定の温度に保持される。
下側容器 2 0 1 の側面には、 被処理基板 Wのための搬送口 が形成され、 こ こにゲ一 トバルブ 2 0 8 が配設される。 ゲ一 トバルブ 2 0 8 を開放する こ と によ り 、 被処理基板 Wを処理 室 2 0 内に対してロ ー ド及びア ンロ ー ドする こ と ができ る。 その際、 昇降機構 2 1 1 の リ フ ト ピン (例えば 3本) が作動 して、 載置台 5 1 に対する被処理基板 Wの移載をアシス トす る。
ガス供給部 3 0 は、 ガス供給ライ ン 3 1 1 に A r ライ ン 3 0 1 を介 して接続された A r 供給源 3 0 5 と、 H2 ライ ン 3 0 6 を介 して接続された H2 供給源 3 1 0 と を含む。 A r ラ イ ン 3 0 1 には、 バルブ 3 0 2 、 3 0 4及び質量流量コ ン ト ローラ 3 0 3 が配設される。 ノ ルブ 3 0 2 、 3 0 4 を開放す る こ と でガス供給ラ イ ン 3 1 1 に A r ガスが供給される。 そ の際、 供給される流量を質量流量コ ン ト ローラ 3 0 3 で制御 する。 同様に、 H 2 ライ ン 3 0 6 にはバルブ 3 0 7 、 3 0 9 及び質量流量コ ン ト ローラ 3 0 8 が配設される。 バルブ 3 0 7 、 3 0 9 を開放する こ とでガス供給ライ ン 3 1 1 に H2 ガ スを供給される。 その際、 供給される流量を質量流量コ ン ト ローラ 3 0 8 で制御する。
A r 及び H 2 が供給されるガス供給ライ ン 3 1 1 は、 下側 容器 2 0 1 の上縁部に沿って環状に配設されたガス供給リ ン グ 2 1 2 に接続される。 ガス供給リ ング 2 1 2 内部に環状に ガス溝 2 1 2 Bが形成され、 ガス供給リ ング 2 1 2 の略全周 囲に A r ガスまたは H 2 ガスを分配する。 A r ガスまたは H 2 ガスは、 ガス溝 2 1 2 B と連通するガス孔 2 1 2 Aよ り 処 理空間 4 0 2 中央に向けて供給される。 処理空間 4 0 2 に供 給された A r ガスや H 2 ガスは、 以下に説明する励起機構 4 0 によ り プラズマ化される。
上側容器即ちベルジャー 4 0 1 は、 ドーム状の誘電性材料、 例えば石英、 セ ラ ミ ッ ク ス ( A 1 2 O 3 、 A 1 N ) からなる。 ベルジャー 4 0 1 の周囲には、 励起機構 4 0 のア ンテナコ ィ ル 4 0 3 が卷回される。 コイル 4 0 3 は、 整合器 4 0 4 を介 して R F電源 4 0 5 に接続される。 R F電源 4 0 5 は、 例え ば 4 5 0 k H z 〜 6 0 M H z (好ま しく は 4 5 0 k H z 〜 1 3 . 5 6 M H z ) の周波数を有する R F電力を発生する。
R F電源 4 0 5 よ り コイ ル 4 0 3 に R F電力が供給される と、 処理空間 4 0 2 に誘導磁界が形成される。 この誘導磁界 によ り 、 処理空間 4 0 2 内に供給された A r 、 H 2 な どのガ スがプラズマ化される。 このよ う なプラズマは誘導結合型の プラズマ ( I C P ) と呼ばれる。 このよ う に して励起された プラズマによ り 、 載置台 5 1 上の基板 Wに対して、 プラズマ 処理、 例えばエ ッチングが施される。
プラズマ処理装置 1 0 は、 基板保持構造 5 0 の円筒状支柱 5 2 の直径 D a を細く する こ と ができ る。 このため、 排気室 2 0 2 の直径 D b を細く し、 従って、 プラズマ処理装置 1 0 全体を小型化し、 フ ッ ト プリ ン ト (占有面積) を小さ く する こ とができ る。 また、 排気室 2 0 2 の側壁に形成された排気 口 2 1 8 に、 排気配管 2 0 3 を介して、 ターボ分子ポンプな どの排気部 2 0 4、 圧力調整バルブ (図示せず) などの部材 が接続される ( ス ペー スを有効利用 して) 。 このため、 フ ッ トプ リ ン ト を考えた場合に、 排気配管 2 0 3 や排気部 2 0 4 が、 下側容器 2 0 1 または励起機構 4 0 の平面輪郭よ り 小さ く (図 1 中に直径 D c で示す範囲よ り 小さ く ) 配置する こ と ができ る。
図 2 は、 図 1 に示す基板保持構造 5 0 を拡大 して示す断面 図である。 以下に、 図 2 を参照 して、 基板保持構造 5 0 を詳 述する。 基板保持構造 5 0 は、 上述のよ う に、 円板状の載置 台 5 1 と、 これの下側に同心状に配設された円柱状の支柱 5 2 と を含む。
载置台 5 1 は、 R F電力を印カ卩される上記の電極部 5 0 1 を含む。 電極部 5 0 1 の側面は、 石英な どの誘電性材料から なる リ ングプロ ッ ク 5 0 8 によって被覆される。 電極部 5 0 1 の底面は、 石英な どの誘電性材料からな り 且つ中心に伝送 路 5 0 2 を揷通する穴が形成されたプレー ト プロ ック 5 0 9 によ って被覆される。 リ ングプロ ッ ク 5 0 8 及びプレー トブ ロ ッ ク 5 0 9 は台絶縁層を構成する。 台絶縁層 5 0 8 、 5 0 9 の底面及び側面は更に、 A 1 、 T i な どの導電性材料から なる台カバー (台導電層) 5 1 4 によって被覆される。 電極 部 5 0 1 と台絶縁層 5 0 8 、 5 0 9 と台導電層 5 1 4 と は同 軸構造をなす。
一方、 支柱 5 2 は、 R F電力を導入する上記の導電性の伝 送 路 5 0 2 を 含 む 。 伝 送 路 5 0 2 は 、 P T F E ( polytetrafluoro ethylene) な どの誘電性お'料からなるィ ンシ ユ レータ (支柱絶縁層) 5 1 3 によって被覆される。 イ ンシ ユ レータ 5 1 3 は更に、 A 1 、 T i な どの導電性材料力、らな り 且つ接地された支柱カバー (支柱導電層) 5 1 5 によって 被覆される。 伝送路 5 0 2 と支柱絶縁層 5 1 3 と支柱導電層 5 1 4 と は同軸構造をなす。
電極部 5 0 1 と伝送路 5 0 2 と は、 A l 、 A 1 の合金な ど の導電性材料から一体的に成形され、 従って これらは互いに 電気的に接続される。 リ ングブ口 ック及びプレー 1、ブ口 ッ ク (台絶縁層) 5 0 8 、 5 0 9 と イ ンシュ レ ータ (支柱絶縁 層) 5 1 3 と は個別に成形される。 台カバー (台導電層) 5 1 4 と支柱カバー (支柱導電層) 5 1 5 と は個別に成形され るが、 溶接によ り 一体化される と共に電気的に接続される。
上述のよ う に、 電極部 5 0 1 の内部には、 被処理基板を所 定の温度に均一に保持するための熱交換媒体 (流体) を収納 する温調空所 5 0 7 が形成される。 温調空所 5 0 7 は、 伝送 路 5 0 2 内に形成された導入流路 5 0 5及び排出流路 5 0 6 を互いに接続し且つ導入流路 5 0 5及び排出流路 5 0 6 間で 熱交換媒体を流す流路を形成する。
図 3 は、 図 1 に示す基板保持構造の一部を示す断面図であ り 、 図 2 に示す断面に略直交する断面を示す。 電極部 5 0 1 の基板 W と接する上面 (及び側面) には、 例えばアル ミ ナ ( A 1 2 O 3 ) な どの誘電性材料からなる誘電体層 5 0 3 力 S 配設される。 上面の誘電体層 5 0 3 の内部には、 誘電体層 5 0 3 と協働して静電チャ ッ ク を構成する よ う に、 電極 5 0 4 が挿入される。 電極 5 0 4 は、 伝送路 5 0 2 内に絶縁状態で 延在する配線 5 1 6 を介 して、 処理室 2 0外に配設された直 流電源 (図示せず) に接続される。 電極 5 0 4 に電圧が印加 される こ と によ り 、 基板 Wの下の誘電体層 5 0 3 で静電分極 が起こ り 、 基板 Wが静電吸着される。
誘電体層 5 0 3 は、 例えばセ ラ ミ ック溶射な どによ り 形成 される。 代わり に、 誘電体層 5 0 3 は、 焼結体のセラ ミ ック を薄膜状に したものを張り 合わせる方法でも形成する こ とが でき る。 また、 誘電体層 5 0 3 は、 アル ミ ナに代え、 窒化ァ ルミ ニ ゥ ム (A 1 N ) 、 S i C、 B Nなどの誘電体膜から形 成する こ と ができ る。
上述のよ う に、 基板保持構造 5 0 は、 バイ ア ス用の R F電 源 2 1 0 に接続されたキノ コ形状の導電性コア 5 0 1 、 5 0 2 を、 絶縁層 (誘電体層) 5 0 8 、 5 0 9 、 5 1 3 で被覆し、 更にこれを、 接地された導電層 5 1 4 、 5 1 5 で被覆した同 軸構造をなす。 こ の構成によ り 、 R F電力の損失が少な く 、 効率よ く 、 且つ安定にバイ ア ス を被処理基板に印加する こ と が可能と なる。
第 1 実施形態において、 支柱絶縁層 (イ ンシユ レータ) 5 1 3 と して P T F Eが使用 される。 これは、 P T F Eの誘電 率がおよそ 2 と低く 、 R F電力の損失が少ないためである。 即ち、 支柱絶縁層 5 1 3 には低誘電率材料を使う こ と が R F 電力の効率上有利である。 同様に、 台絶縁層 ( リ ングブロ ッ ク及びプ レー ト ブロ ッ ク) 5 0 8 、 5 0 9 も、 低誘電率材料 を用いて R F電力の損失を少な く する こ と が好ま しい。 伹し . 以下の点を考慮しなければな らない。
基板保持構造 5 0 の絶縁層 (誘電体層) 5 0 8 、 5 0 9 、 5 1 3 が配設される領域において、 載置台 5 1 側と支柱 5 2 側と を気密に分離するため、 プ レー トブロ ッ ク 5 0 9 には、 シール部材 5 1 1 及び 5 1 2 が配設される。 即ち、 台絶縁層 5 0 8 、 5 0 9 は減圧状態でプラズマが生成される処理空間 4 0 2 と連通した空間に置かれる。 このため、 台絶縁層 5 0 8 、 5 0 9 の材料と して、 放出ガスの多い媒体を用いるのは 好ま しく ない。 また、 台絶縁層 5 0 8 、 5 0 9 は、 プラズマ の生成に起因 して、 温度上昇、 低下な ど激 しい温度変化の影 響を受ける。
P T F E は、 石英な どの緻密な材料にく らベて ミ ク ロ な領 域で考える と多孔質であ り 、 減圧状態では放出ガスが多く 、 従って、 真空容器内で用いるのは好ま しく ない。 また、 P T F Eには、 変形する若しく はプラズマ耐性がないのでエッチ ングされやすいなどの問題がある。
これに対 して、 台絶縁層 5 0 8 、 5 0 9 は、 減圧容器内で 放出ガスが少な く 、 且つ温度ヒ ステ リ シス に強く 、 且つでき るだけ低誘電率材料である こ と が好ま しい。 それらを満たす 材料と して石英を挙げる こ とができ、 代わ り に、 例えば樹脂 材料な どを用いる こ と もでき る。 即ち、 台絶縁層 5 0 8 、 5 0 9 に石英を使用 し、 支柱絶縁層 5 1 3 に P T F Eに使用す る こ と が好ま しい。
リ ングブ口 ッ ク 5 0 8 及び電極部 5 0 1 の周辺部の上面 (基板 Wを載置する側) には、 石英な どカゝらなる フォーカス リ ング 5 1 0 が配設される。 フォーカス リ ング 5 1 0 は、 処 理室内のプラズマをウェハ側へフ ォーカス させて、 プラズマ が均一になる よ う にする。 フォーカ ス リ ング 5 1 0 はまた、 リ ングブ口 ッ ク 5 0 8 及び誘電体層 5 0 3 が、 プラ ズマによ る ダメ ージを受ける のを防止する。
上述の よ う に、 伝送路 5 0 2 の内部には、 電極部 5 0 1 に 熱交換媒体を供給ま たは排出する導入流路 5 0 5 及び排出流 路 5 0 6 が形成される。 このため、 以下に記述する よ う に、 基板保持構造 5 0 の構造を単純に し、 部品点数を減らす と共 に小型化する こ と が可能と なる。
従来の基板保持構造では、 載置台にバイ ァスを印加するた めの R F の導入路 と 、 載置台に熱交換媒体を導入または排出 する流路は別々 に形成する。 そのために、 載置台の下の領域 には、 夫々 の部品の配設スペースが必要であ る。 また、 R F 導入路と 熱交換媒体の流路の部品が夫々 必要であ り 、 部品点 数が多く て構造が複雑である。 ま た、 载置台全体のサイ ズを 大き く しなければな らないため、 冷却する体積が大き く な り 、 冷却効率が悪い。
第 1 実施形態に係る基板保持構造 5 0 では、 伝送路 5 0 2 の内部に、 導入流路 5 0 5 及び排出流路 5 0 6 が形成される こ と によ り 、 R F の導入路及び熱交換媒体の流路の配設スぺ ース を共有化する。 これに よ り 、 部品点数を減ら して構造を 単純化する こ と が可能と な り 、 且つ配設ス ペース を小さ く し て基板保持構造を小型化する こ と が可能と なる。 例えば、 図 2 に示すよ う に、 伝送路 5 0 2 、 導入流路 5 0 5 及び排出流 路 5 0 6 を含む支柱 5 2 の直径 D a を小さ く する こ と ができ る。 その結果、 支柱力パー 5 1 5 を含む直径 D b を小さ く し て基板保持構造 5 0 を小型化する こ と が可能と なる。
電極部 5 0 1 には R F電流が印加されるため、 熱交換媒体 には、 絶緣性の流体が用い られ、 例えばフ ッ素系の流体 (ガ ルデン等) が用いられる。 こ のた め、 絶縁性を確保しつつ、 載置台 5 1 を介 して被処理基板を冷却 して、 被処理基板 Wの 温度を維持する こ と が可能と なる。
基板保持構造 5 0 は、 取り 付け リ ング 2 2 1 、 リ ング状の ネジ受け 2 2 0、 2 2 2及ぴ締付ネジ 2 1 9 によって、 排気 室 2 0 2 に固定される。 取 り付け リ ング 2 2 1 は、 中央に伝 送路 5 0 2 が揷通する穴を設けた略円板状をなす。 取り 付け リ ング 2 2 1 は、 ネジ (図示せず) によって伝送路 5 0 2 に 固定される。 取 り 付け リ ング 2 2 1 と支柱カバー 5 1 5 と の 間に絶縁性のネジ受け 2 2 0及び金属製のネジ受け 2 2 2 が 配設される。 これらは、 取り 付け リ ング 2 2 1 に形成された ネジ穴に捩じ込まれる締付ネジ 2 1 9 によ って、 支柱カバー 5 1 5 を上方に押 し付ける。
締付ネジ 2 1 9 の締付け力によ り 、 基板保持構造 5 0 の伝 送路 5 0 2 は下向き、 g|3ち、 シール ドカバー 2 0 5側に引つ 張られる。 従って、 伝送路 5 0 2 と一体の電極部 5 0 1 がプ レー トブロ ック 5 0 9 に押 し付け られ、 更にプレー トブロ ッ ク 5 0 9 が台カバー 5 1 4 に押 し付け られる。 その結果、 電 極部 5 0 1 とプレー ト ブロ ック 5 0 9 と の間に挿入されたシ ール リ ング 5 1 1 及びプ レー トブロ ッ ク 5 0 9 と 台カ ノ 一 5 1 4 との間に挿入されたシールリ ング 5 1 2 によ って処理空 間 4 0 2 の気密性が保持される。 このよ う に、 金属製のネジを用いる こ と な く 、 気密保持に 必要なシールのための荷重をシールリ ング 5 1 1 及び 5 1 2 にカ卩える こ とができ る。 このため、 プラズマが励起される処 理空間 4 0 2 に金属汚染源のない状態で、 確実に処理空間 4 0 2 の気密性を保持する こ と ができ る。
再度図 3 に戻 り 、 これは図 2 に示す断面に略直交する断面 を示す。 図 3 に示すよ う に、 伝送路 5 0 2 の内部には、 誘電 層 5 0 4 の表面と被処理基板 Wの間に、 高い率で熱伝達を行 う ガスを導入するガス流路 5 1 7 が形成される。 プラズマ処 理中、 こ の熱伝達ガス を供給する こ と によ り 、 載置台 5 1 と 被処理基板 Wと の間の熱伝達率を向上させ、 被処理基板 Wを 効率よ く 冷却する こ と ができ る。 また、 上述のよ う に、 伝送 路 5 0 2 内には、 絶縁状態で延在する配線 5 1 6 も配設され、 これは、 処理室 2 0外に配設された直流電源 (図示せず) に 接続される。 配線 5 1 6 を通 して、 載置台 5 1 上の静電チヤ ック の電極 5 0 4 に電圧が印加される こ と によ り 、 基板 Wが 静電吸着される。
図 4 は、 図 3 中の部分 Xを拡大 して示す断面図である。 図 4 に示すよ う に、 ガス流路 5 1 7 は载置台 5 1 の表面に形成 された複数の溝 5 1 7 Aに連通する。 例えば A r や H e な ど の熱伝達ガスがガス流路 5 1 7 を通 して溝 5 1 7 Aに導入さ れる。 静電チャ ッ ク の電極 5 0 4 は、 例えば Wな ど金属から なる。 電極 5 0 4 は、 例えば A 1 2 O 3 の溶射膜な どから な る上下の誘電体層 5 0 3 、 5 1 8 によ って挟まれる。
図 5 は、 図 4 中の部分 Z を拡大して示す断面図である。 図 5 に示すよ う に、 配線 5 1 6 は、 例えば T i な どの金属から なる。 配線 5 1 6 は、 基板保持台 5 0 1 に形成された、 直径 L a の揷入穴 5 0 1 a に導入される。 揷入穴 5 0 1 a には、 例えばビーム溶接によ り A 1 からなる リ ング 5 0 1 b が配設 され、 配線 5 1 6 は リ ング 5 0 1 b に形成された穴に取 り 付 け られる。
配線 5 1 6 は棒状配線部 5 1 6 a を含む。 棒状配線部 5 1 6 a 上に、 直径が配線部 5 1 6 a よ り 大きい円筒状の段差部 5 1 6 b が形成される。 段差部 5 1 6 b 上に、 段差部 5 1 6 b よ り 直径の小さい円筒状の段差部 5 1 6 c が形成される。 更に段差部 5 1 6 c 上に、 段差部 5 1 6 c よ り 直径の小さレヽ 円筒状の段差部 5 1 6 d が.形成される。 段差部 5 1 6 b 、 5 1 6 c 及ぴ 5 1 6 d の側壁と、 段差部 5 1 6 b及び 5 1 6 c の電極 5 0 4 に面する部分には、 例えば A 1 2 O 3 溶射によ り 5 0 Ο μ ιηの絶縁膜 5 1 6 i が形成される。 電極 5 0 4 に 直流電圧を印加する場合、 電極 5 0 4 に接する段差部 5 1 6 d を介して、 配線 5 1 6 に導入された直流電圧が印加される。 配線 5 1 6 と電極部 5 0 1 と の間の挿入穴 5 0 1 a の空間 には、 例えば絶縁樹脂からなる絶縁層 5 1 6 f 及び 5 1 6 e が充填され、 配線 5 1 6 が電極部 5 0 1 カゝら絶縁される。 絶 縁層 5 1 6 f 、 5 1 6 e 及び配線 5 1 6 は、 例えばエポキシ 系接着材によ り 、 電極部 5 0 1 に固定される。
図 6 は、 図 2 中の Y — Y線に沿った横断面図である。 図 6 に示すよ う に、 導入流路 5 0 5及び排出流路 5 0 6 が伝送路 5 0 2 の内部に形成される。 熱交換媒体と伝送路 5 0 2 の断 熱効果を高めるために、 導入流路 5 0 5 及び排出流路 5 0 6 は断熱材 5 0 5 A、 5 0 6 Aで包囲 さ れる。 断熱材 5 0 5 A、 5 0 6 Aは低熱伝達材料、 例えばフ ッ素系の樹脂が望ま し く 、 これは以下の理由 に よ る。
被処理基板が処理室内でプラ ズマ処理される と プラ ズマに よ る熱が発生する。 このため、 導入流路 5 0 5 を介 して温調 空所 5 0 7 へ供給された低温の熱交換媒体は、 高温と なって 排出流路 5 0 6 よ り 排出 さ れる。 こ の際、 伝送路 5 0 2 内で、 導入流路 5 0 5 及び排出流路 5 0 6 の間で熱交換がな さ れる と 、 電極部 5 0 1 の冷却効率が低下する。 導入流路 5 0 5 及 び排出流路 5 0 6 が断熱材 5 0 5 A、 5 0 6 Aで包囲 さ れる と 、 排出流路 5 0 6 か らの熱が導入流路 5 0 5 に伝わる こ と が防止 さ れ、 効率よ く 被処理基板 Wの冷却を行 う こ と ができ る。
上述の よ う に、 伝送路 5 0 2 の内部に導入流路 5 0 5 、 排 出流路 5 0 6 、 ガス流路 5 1 7 、 直流電圧導入配線 5 1 6 が 配置 される。 これに よ り 、 基板保持構造を小型化 し、 且つ部 品点数を減少させて単純化 し、 製造コ ス ト を低減する こ と が 可能 と な る。
被処理基板 Wを処理する方法の概要は次の通 り であ る。 先 ず、 基板保持構造 5 0 によ って基板 Wを保持する。 次に、 処 理室 2 0 内に形成される処理空間 4 0 2 に、 ガス供給部 3 0 に よ って処理ガス を供給する。 そ して、 励起機構 4 0 に よ つ て処理ガス をプラズマ化 し、 基板 Wをプラズマ処理する。
具体的には、 先ず、 処理室 2 0 に形成された搬送用のゲー トバルブ 2 0 8 を開放して、 被処理基板 Wを搬入し、 電極部 5 0 1 に載置する。 次に、 ゲー トバルブ 2 0 8 を閉 じ、 排気 口 2 1 8 よ り 処理空間 4 0 2 を排気して所定の圧力に減圧す る。
次に、 バルブ 3 0 4 、 3 0 2 を開放して、 質量流量コ ン ト ローラ 3 0 3 によって流量を調整しなが ら A r 供給源 3 0 5 よ り 処理空間 4 0 2 に A r を供給する。 同様に、 バルブ 3 0 9 、 3 0 7 を開放して、 質量流量コ ン ト ローラ 3 0 8 によ つ て流量を調整しなが ら H 2 供給源 3 1 0 よ り 処理空間 4 0 2 に H2 を供給する。 次に、 R F電源 4 0 3 よ り コ イ ル 4 0 4 に R F電力を供給してベルジャー 4 0 1 内部に誘導結合ブラ ズマを励起する。
プラズマ処理装置 1 0 は、 例えば半導体デバイ スの製造ェ 程において、 被処理基板上に形成される金属膜上に形成され て しま う 酸化膜、 若し く はシ リ コ ン上に形成されて しま う 自 然酸化膜な どの酸化膜を含む不純物層を除去する処理に用い る こ と ができ る。 このよ う な不純物層を除去する こ と に よ り 、 その後に形成される膜と下地層 と の密着性が向上する、 若し く はその後に形成される膜電気抵抗値が下がるな どの効果が 得られる。
不純物層を除去する場合の具体的な条件は次の通 り である。 例えば、 圧力は 0 . 1 〜 1 3 . 3 P a 、 好ま しく は 0 . 1 〜 2 . 7 P a である。 ウェハ温度は 1 0 0 〜 5 0 0 °Cである。 ガス流量は、 A r が 0 . 0 0 1 〜 0 . 0 3 L / m i n 、 I- I 2 力 S 0 〜 0 . 0 6 L Zm i n、 好ま しく は 0 〜 0 . 0 3 L Zm i n である。 R F電源 4 0 5 の周波数は 4 5 0 k H z 〜 6 0 M H z 、 好ま し く は 4 5 0 k H z 〜 1 3 . 5 6 M H z である バイ アス R F電源の電力は 0 〜 5 0 0 Wで、 バイ アス電位に して一 2 0 2 0 0 Vである。 このよ う な条件のプラズマ によ り 3 0秒程度処理する こ と によ り 、 例えばシ リ コ ン酸化 膜 ( S i O 2 ) 力 S 1 0 n m程度除去される。
また、 例えば、 金属酸化膜例えば C u 2 Oを除去する場合 は具体的な条件は次の通 り である。 圧力は 3 . 9 9 X 1 0 2 〜 1 . S S X l O ^ P a である。 ウェハ温度は 0 〜 2 0 0 °C である。 ガス流量は、 A r 力 S O . 0 0 1 〜 0 . 0 2 L / m i n、 好ま し く は 0 . 0 0 2 〜 0 . 0 3 L / m i n 、 H2s 0 〜 0 . O S L Z m i n、 好ま し く は 0 〜 0 . 0 2 L / m i n である。 R F電源 4 0 5 の周波数は 4 5 0 k H z 〜 6 0 M H z 、 好ま し く は 4 5 k H z 〜 1 3 . 5 6 M H z である。 ノ ィ ァ ス R F電源の電力は 5 0 〜 3 0 0 Wで、 ノ ィ ァ ス電位に し て一 1 5 0 〜一 2 5 Vである。 このよ う な条件のプラズマに よ り 3 0秒程度処理する こ と によ り 、 例えば C u 2 O膜が 2 0 〜 6 0 n m程度除去される。
また、 上記のプロセスにおいて、 用いられるプラズマ化 R F と、 バ イ ア ス R F の周波数、 及ぴ夫々の電力の範囲を図 9 に示す。 また、 バイ ア ス R Fに関 しては、 バ イ ア ス電位の値 の範囲についても示す。
基板保持構造 5 0 は、 図 2 〜 6 に示 した内容に限定される ものではな く 、 様々 な変形及ぴ変更が可能である。 図 7 A及 ぴ図 7 B は、 第 1 実施形態の変更例に係る基板保持構造を示 す断面部分図である。
図 7 Aに示す基板保持構造 6 2 では、 電極部 5 0 1 の上面 (基板 Wに接する側) のフォーカ ス リ ング 5 1 0 で覆われて いない範囲にのみ誘電体層 5 0 3 が形成される。 この よ う に 誘電層の形成部分を単純にする こ とで、 例えばセ ラ ミ ッ ク溶 射の工程数を減少させて製造コ ス 1、 の低減が可能と なる。 こ のよ う に必要に応 じて電極部 5 0 1 を誘電層で覆 う面積や形 状を様々 に変更する こ とが可能である。
図 7 B に示す基板保持構造 6 4 では、 フォーカ ス リ ング 5 1 O Aが、 基板保持構造 5 0 の場合のフォーカ ス リ ング 5 1 0 に比べて薄い。 フォーカス リ ング 5 1 O Aの上面 (プラズ マに曝される側) と誘電層 5 0 3 の上面と は高さ において整 一する。 この場合、 特に、 基板 Wのエッジ付近でのバイ アス 電位の不均一性が改善される。 その結果、 基板 Wの面内での スパッタエッチングレー ト の均一性が向上する効果が得られ る。
なお、 フォーカ ス リ ングの材質を変更 して誘電率を変更す る こ と もでき る。 この場合、 ウェハエッジ付近でのバ イ ア ス 電位が変化するため、 ス ノ ッタエッチングレー ト の面内均一 性を改善する こ と ができ る。
図 8 は、 載置台に高周波電力を印加 した場合のセルフバイ ァス電位の測定結果を示すグラ フである。 こ こでは、 第 1 実 施形態に係る基板保持構造 5 0 を搭載したプラ ズマ処理装置 1 0 において、 基板保持構造 5 0 に R F電力を印加し、 基板 保.持台上でセルフバイ アス電圧 ( V d c ) を計測 した。 また . 比較のため、 従来型の基板保持構造においても V d c を測定 した。 従来型の基板保持構造では、 基板保持構造 5 0 と比較 して R F伝送路が細く 、 且つ上述のよ う な同軸構造を と なつ ていないものと した。
V d c 測定時の条件に関 し、 A r ガス流量は 2 . 9 s c c mと した。 処理室内の圧力は 0 . 5 m T o r r と した。 载置 台の温度は、 基板保持構造 5 0 を用いた場合は室温 ( 2 0 〜 3 0 °C程度) 、 従来型の場合は 2 0 0 °Cと した。 プラズマ密 度は 2 . 5 X 1 0 10atotns/ c m 3 と なる よ う に した。 このた め、 プラズマ励起用の R F電力は、 基板保持構造 5 0 を用い た場合は 1 0 0 0 W、 従来型の場合は 8 0 0 Wと した。
図 8 に示すよ う に、 第 1 実施形態に係る基板保持構造 5 0 の場合、 従来型に比較 して、 V d c の電圧が高く なつた。 例 えば、 載置台に印加する R F電力が 3 0 0 Wの場合、 V d c は、 従来型が 1 2 6 Vであるのに対して、 基板保持構造 5 0 を用いた場合は、 1 6 2 と、 略 1 . 3倍の電位を示 した。
こ の理由 と して、 第 1 実施形態に係る基板保持構造 5 0 で は、 伝送路 5 0 2 を中心導体と した同軸構造によ り 、 R F電 力が効率よ く 伝送でき る こ とが考え られる。 別の理由 と して、 R F伝送路 5 0 2 内部に導入流路、 排出路、 直流配線、 熱伝 達ガス流路などを収める こ と によ り 、 R F に対するイ ンピー ダンスが低下したこ と が考え られる。 即ち、 この後者の視点 では、 基板保持構造全体が小型化する こ と が可能と なる一方、 伝送路 5 0 2 の表面積は増加 し、 R F に対するイ ン ピーダン スが低下する。 <第 2実施形態 >
上記のプラズマ処理装置 1 0 において、 銅、 アルミ ニウム な どの金属表面に形成される金属酸化物をエ ッチングする と 、 被処理基板 Wから除去された金属が飛散する。 飛散金属は、 被処理基板 Wの周囲にある絶縁性のフォーカ ス リ ング 5 1 0 の上面に被着されて金属膜を形成する。 こ の金属膜が成長す る と、 被処理基板 (半導体ウェハ) Wと、 接地された導電性 の台カバー (台導電層) 5 1 4 と の間にこの金属膜を介 した 放電経路が形成される可能性がある。 この場合、 金属膜上に 帯電 した電荷が台カバー 5 1 4へ電流と して流れるため、 電 極部 5 0 1 に供給された R F電力にロ スが生じる。 このため、 自 己バイ ア ス の低下や放電経路での異常放電によ って、 処理 効率が低下する、 処理の均一性が阻害される、 な どの問題が 生じる。
また、 上記の金属膜が形成される こ と に よ って载置台 5 1 の表面の電磁気的構成に大きな変化が生じる可能性がある。 この場合、 载置台 5 1 上のプラズマ状態も経時的に変化 し、 これによ つて処理プロ セス の再現性が悪化する。 更に、 フォ 一カ ス リ ング 5 1 0 に導電性の金属膜が形成される と 、 結果 的に下部電極が被処理基板 Wよ り 大きい面積を有する場合と 実質的に同等の状況になる。 この場合、 自 己バイ アスが低下 して、 エ ッチングレー トが低下し、 複数の被処理基板間の処 理の均一性 (面間均一性) も悪く なる。
第 2実施形態は、 上述のよ う な問題点に対処するためのプ ラズマ処理装置に関する。 従って、 第 2実施形態に係る装置 は、 導電膜を有する被処理基板を処理する場合に効果的な構 成を有する。 このよ う な処理と して、 例えば、 C u 、 S i 、 T i 、 T i N、 T i S i 、 W、 T a 、 T a N、 W S i 、 p o 1 y - S i などの表面に形成された酸化膜を除去する処理を 挙げる こ と ができ る。
図 1 0 は、 本発明の第 2実施形態に係る半導体処理用の基 板保持構造を含むプラズマ処理装置を示す構成図である。
図 1 0 に示すよ う に、 プラズマ処理装置 7 0 は円筒状の処 理室 7 1 0 を有し、 その内部に载置台 7 2 0 が配設される。 処理室 7 1 0 には、 処理室 7 1 0 内に処理ガスを供給するガ ス供給部 7 4 0 が接続される。 処理室 7 1 0 の底部中央に形 成された排気口 7 1 1 c には、 下側に突出する略円筒状の排 気室 7 1 1 Bが気密に接続される。 排気室 7 1 1 B には、 第 1 実施形態と同態様で、 載置台 7 2 0 のための支柱 7 3 0 が 同心状に配設される。
排気室 7 1 1 B の側壁には、 排気管 7 1 6 を介 した真空ポ ンプなどを有する排気部 (図示せず) が接続される。 この排 気部'によ り 、 処理室 7 1 0 内が排気される と共に、 所定の真 空圧力、' 例えば 0 . l m T o r r 〜 l . O T o r r 、 に設定 可能となる。
処理室 7 1 0 は、 導電性且つ円筒状の下側容器 7 1 1 と、 絶縁性且つ円筒状の上側容器またはベルジャー 7 1 2 と の組 み合わせによ り 形成される。 下側容器 7 1 1 は、 例えば、 ァ ルミ 二ゥム、 その合金などの金属 (導電体) からなる。 ベル ジャー 7 1 2 は、 例えば、 ガラス、 セラ ミ ッ ク ( A 1 2 O 3 、 A 1 N ) な どの絶縁体からなる。
ベルジャー 7 1 2 の周囲には誘導コィノレ 7 1 3 が卷回され る。 誘導コイル 7 1 3 は、 整合器 7 5 2 を介して R F電源 7 5 1 に接続される。 R F電源 7 5 1 力 ら、 例えば、 4 5 0 k H z の R F電力力 Sコイル 7 1 3 に供給され、 ベルジャー 7 1 2 内に誘導電磁界が形成される。 なお、 下側容器 7 1 1 及び コィノレ 7 1 3 は接地される。
下側容器 7 1 1 とベルジャー 7 1 2 との間には、 ガス供給 リ ング 7 1 4 が〇 リ ング等のシール材で気密に形成される。 ガス供給リ ング 7 1 4 は、 バルブ及び流量計を介 して、 ガス 供給部 7 4 0 のガス源 7 4 1 (例えば A r ガス) 、 ガス源 7 4 2 (例えば H 2 ガス ) に接続される 。 ガス供給リ ング 7 1
4 は、 処理室 7 1 0 の周囲に等間隔に複数のガス導入口 を有 する。 ガス導入口 は、 ガス供給部 7 4 0 から供給された処理 ガス (プラズマ生成ガス) を、 ベルジャー 7 1 2 の中心に向 けて均一に放出する。
下側容器 7 1 1 の側壁には開 口 7 1 1 a が形成され、 こ こ にゲー トノ ルプ 7 1 5 が配設される。 ゲー トバルブ 7 1 5 を 開放する こ と によ り 、 被処理基板 Wを処理室 7 1 0 内に対し てロ ー ド及ぴア ンロ ー ドする こ と ができ る。
ベルジャー 7 1 2 の頂部には、 載置台 7 2 0 と対向する よ う に、 接地された上部電極 7 1 7 が配設される。 上部電極 7 1 7 は、 アルマイ ト処理されたアルミ ニウムな どの導電性材 料からなる。 上部電極 7 1 7 は、 載置台 7 2 0 に配設される 下部電極の対向電極と して働き、 プラズマの点火時の不具合 を回避する と と もにプラズマの点火を容易にする役割を有す る。 上部電極 7 1 7 は、 例えば樹脂等で構成された緩衝部材 (間隔をおいて配置された複数のパッ ド) 7 1 7 a を介 して ベルジャー 7 1 2 を固定する と共に補強する。
載置台 7 2 0 には電極部 (下部電極) 7 2 1 が配設される t 下部電極 7 2 1 は、 支柱 7 3 0 内の R F伝送路 7 3 1 、 整合 器 7 5 4 な どを介 して R F電源 7 5 3 に接続される。 R F電 源 7 5 3 力ゝら、 例えば、 1 3 . 5 6 M H z の R F電力が下部 電極 7 2 1 に供給され、 被処理基板 Wにバイ アス電位が印加 される。 なお、 下部電極 7 2 1 と伝送路 7 3 1 と は、 第 1 実 施形態と 同態様で一体的に形成される。
下部電極 7 2 1 内には、 载置台 7 2 0 の温度を調整するた めの熱交換媒体、 例えば絶縁性の冷却流体を流す流路と して 熱交換媒体室 (温調空所) 7 2 1 a が形成される。 これに対 して、 支柱 7 3 0 の伝送路 7 3 1 内には、 温調空所 7 2 1 a に熱交換媒体を夫々供給及び排出するための導入流路 7 3 5 及び排出流路 7 3 6 が形成される。
導入流路 7 3 5及び排出流路 7 3 6 は、 例えばチラ一な ど の温度調節機能付きの循環装置 C U と接続される。 循環装置 C Uから、 導入流路 7 3 5及び排出流路 7 3 6 を介 して、 載 置台 7 2 0 の温調空所 7 2 1 a に熱交換媒体を循環させる こ と によ り 、 載置台 7 2 0 の温度を所定の温度に保持する。 例 えば、 被処理基板 Wは、 一 2 0 〜 1 0 0 °Cの温度に制御され る。 温調空所 7 2 1 a に代え、 载置台 7 2 0 に任意の温度制 御手段を設ける こ と ができ る。 例えば、 載置台 7 2 0 に抵抗 加熱式の ヒ ータ を内蔵する こ と ができ る。
下部電極 7 2 1 は、 アル ミ ナな どの誘電体層 (絶縁層) 7
2 2 によ って覆われ、 周囲カゝら絶縁される。 誘電体層 7 2 2 は、 被処理基板 Wを載置する載置台 7 2 0 の载置面を構成す る。 載置面において、 誘電体層 7 2 2 の内部には、 誘電体層
7 2 2 と協働 して静電チャ ック を構成する よ う に、 電極 7 2
3 が挿入される。 電極 7 2 3 は、 伝送路 7 3 1 内に絶縁状態 で延在する配線 7 3 7 を介して、 処理室 7 2 0外に配設され た直流電源 1 5 5 に接続される。 電極 7 2 3 に電圧が印カロさ れる こ と によ り 、 被処理基板 Wが載置台 7 2 0上に静電吸着 される。
下部電極 7 2 1 の側面及び底面は、 石英な どの誘電性材料 からなる絶縁層 7 2 5 によって被覆される。 絶縁層 7 2 5 の 底面及び側面の一部は更に、 A 1 な どの導電性材料からなる カバー 7 2 6 によって被覆される。 下部電極 7 2 1 と絶縁層 7 2 5 と導電性カバー 7 2 6 と は同軸構造をなす。
一方、 支柱 7 3 0 の伝送路 7 3 1 も絶縁層 7 3 2 によ って 被覆される。 絶縁層 7 3 2 は更に、 A 1 な どの導電性材料か らな り 且つ導電性カバー 7 2 6 に電気的に接続され且つ接地 されたカバー 7 3 3 によって被覆される。 伝送路 7 3 1 と絶 縁層 7 3 2 と導電性カバー 7 3 3 と は同軸構造をなす。
即ち、 第 2実施形態に係る基板保持構造も、 バイアス用の R F電源 7 5 3 に接続されたキノ コ形状の導電性コア 7 2 1 7 3 1 を、 絶縁層 (誘電体層) 7 2 5 、 7 3 2 で被覆し、 更 にこれを、 接地された導電性カバー 7 2 6 、 7 3 3 で被覆し た同軸構造をなす。 導電性カバー 7 2 6 、 7 3 3 が接地され ているため、 カバー 7 2 6 、 7 3 3 に誘導電磁界が形成され て電荷が帯電しても、 電荷がグラ ン ドに流れる。 このため、 下部電極 7 2 1 に R F電力が印加された際に、 載置台 7 2 0 の下側の排気空間内でプラズマが形成されない。 こ の構成に よ り 、 R F電力の損失が少な く 、 効率よ く 、 且つ安定にバイ ァスを被処理基板に印加する こ と が可能と なる。
載置台 7 2 0 の上部外縁には、 被処理基板 Wを包囲する導 電性で且つ リ ング状の延長部材 7 2 7 が配設される。 延長部 材 7 2 7 は、 载置台 7 2 0 上に被処理基板 Wを載置した と き に、 被処理基板 Wの上面 と 並ぶ (好ま し く は高 さ が整一す る) 露出上面を有する。 延長部材 7 2 7 は誘電体層 7 2 2 に よ り 電極 7 2 1 に対して絶縁される。 また、 延長部材 7 2 7 は、 絶縁層 7 2 5 によ り 、 或いは、 十分な間隙によ り 、 導電 性カバー 7 2 6 に対しても絶縁される。 第 2 実施形態におい て、 延長部材 7 2 7 はその周囲にある電位が供給された全て の部材に対 して絶縁される。 換言すれば、 延長部材 7 2 7 は 特定の電位が供給されていないフ ローティ ング状態と なって いる 。
導電性の延長部材 7 2 7 は被処理基板 Wの周囲を完全に取 り 巻く よ う に構成される こ と が好ま しい。 延長部材 7 2 7 は、 チタ ン、 アル ミ ニ ウ ム、 ス テ ン レス鋼な どの金属や、 低抵抗 シ リ コ ンな どの導電性を有する各種材料で構成される。 好ま しく は、 延長部材 7 2 7 は、 導電体が剥離してパーティ ク ル 等が生じに く いチタ ン又はその合金で構成される。 代わ り に、 延長部材 7 2 7 は、 表面にチタ ン又はその合金でコ ーテ ィ ン グがなされたも のであっても よい。
処理室 7 2 0 の外側には、 電動モータや流体圧シリ ンダ等 で構成される駆動源 7 6 1 が配設される。 駆動源 7 6 1 は、 駆動部材 7 6 2 を介 して複数の リ フ ト ビン 7 6 3 を昇降動作 させる。 リ フ ト ピン 7 6 3 の昇降によ り 、 被処理基板 Wが載 置台 7 2 0 の載置面に対して昇降される。 これによ り 、 リ フ ト ビ ン 7 6 3 は、 載置台 7 2 0 に対する被処理基板 Wの移載 をア シス トする。
図 1 1 は、 図 1 0 に示すプラズマ処理装置の主要部の構成 を模式的に示す概略構成図である。 プラズマ処理装置 7 0 は、 下側容器 7 1 1 の上方を覆 う よ う に接続された導電性のシー ノレボ ッ ク ス 7 1 9 を含む。 シーノレボッ ク ス 7 1 9 内にべ ノレジ ヤ ー 7 1 2及び誘導コ イ ル 7 1 3 が収容される。 シールポッ タ ス 7 1 9 は接地され、 プラズマの発光 (紫外線等) や電磁 界を遮断する機能を有する。 また、 上部電極 7 1 7 はシール ボッ クス 7 1 9 の上部の部材 7 1 8 に支持される。
上述のプラズマ処理装置 7 0 においては、 ガス供給部 7 4 0 カゝら処理ガス (例えば A r ガス と H 2 ガス と を混合 した混 合ガス) が、 ガス供給リ ング 7 1 4 を介して処理室 7 1 0 内 に導入される。 こ の際、 排気室 7 1 1 B及び排気管 7 1 6 を 介 して処理室 7 1 0 の内部が排気され、 所定圧力 (真空) 、 例えば 0 . 1 m T o r r 〜 1 . 0 T o r r に設定される。 こ の状態で、 誘導コ イ ル 7 1 3 に R F電力、 例えば 1 0 0 〜 1 0 0 0 W力 S印カ卩される。 これによ り 、 ベルジャー 7 1 2 内で 処理ガスがプラズマ化され、 被処理基板 W上にプラズマ領域 Pが形成される (図 1 0参照) 。
載置台 7 2 0 の電極 7 2 1 に R F電力が供給される と 、 自 己バイ ァ ス電圧が発生する。 こ の 自 己バイ ア ス電圧によ って プラズマ中のイ オンが加速され、 被処理基板 Wの表面に衝突 し、 エッチングが行われる。
プラズマ処理装置 7 0 において、 被処理基板 Wの表面上に ある金属や金属酸化物、 例えば、 C u、 S i 、 T i 、 T i N T i S i 、 W、 T a 、 T a N、 W S i 、 p o l y _ S i な ど の表面上の酸化膜な どをエ ッチングする。 この場合、 前述の よ う に、 被処理基板 Wから金属が周囲に飛散 し、 周囲に金属 膜を形成する可能性がある。 しかしなが ら、 第 2実施形態に おいては、 上述の金属膜は主に延長部材 7 2 7 の露出表面上 に形成される。
図 1 2 は、 図 1 0 に示すプラズマ処理装置において、 延長 部材 7 2 7 上に金属膜 Mが形成された様子を示す拡大部分断 面図である。 図 1 2 に示すよ う に、 延長部材 7 2 7 と導電性 カバー 7 2 6 と の間に、 放電経路を充分絶縁するギャ ップ 7 2 8 が形成される。 このため、 延長部材 7 2 7 に金属膜 Mが 形成された場合でも、 載置台 7 2 0 の外周部の電磁気的環境 にほ と んど変化は生じない。 また、 載置台 7 2 0 の外周部で 放電経路の形成や異常放電の問題が生じる こ とがない。
また、 導電性の延長部材 7 2 7 は周囲の部材に対して十分 に絶縁されているため、 延長部材 7 2 7 を介 して電極 7 2 1 に供給された R F電力によ る電流の流れが生じない。 このた め、 自 己バイ アス力 S ド リ フ ト して、 装置の処理パワーを浪費 して しま う こ と も少ない。
即ち、 第 2 実施形態では、 金属膜 Mが形成 される こ と を予 想 し、 導電性の延長部材 7 2 7 を最初から配設 し、 金属膜 M が形成されて も、 基板 Wの周囲の電磁気的状況がほ と ん ど変 化 しないよ う に している。 これに よ り 、 複数の基板に対する 処理の均一性 (面間均一性) を向上させる こ と ができ る。
上記の電磁気的配慮の一つは、 延長部材 7 2 7 と導電性力 バー 7 2 6 と の間の絶縁性に関する も のであ る。 載置台の力 バー 7 2 6 の上端部が延長部材 7 2 7 と近接する と 、 電極 7 2 1 に印加された電力パワ ーの リ ーク が大き く 、 効率的且つ 安定的に処理を行 う こ と ができ ない。 図 1 2 図示の構造では . カバー 7 2 6 と延長部材 7 2 7 と のギャ ッ プ 7 2 8 を介 した 距離 S を十分に確保 している。
特に、 第 2 実施形態では、 下部電極 7 2 1 と カバー 7 2 6 と の間のイ ンピーダンス Z 1 に対 して、 延長部材 7. 2 7 と 力 ノ ー 7 2 6 と の間のイ ンピーダンス Z 2 が大き く なる よ う に 構成される。 これら のイ ン ピーダンス値は下部電極 7 2 1 に 印加される R F の周波数を基準 と する。 この構成に よ り 、 電 極 7 2 1 に印加 された R F 電力に よ る電流が延長部材 7 2 7 を介 して流れる のを低減する (実質的にな く す) こ と ができ る。 換言すれば、 延長部材 7 2 7 を設けた こ と に よ る電極 7 2 1 と カバー 7 2 6 と の間のイ ンピーダンスの変化がほ と ん どな く 、 放電経路も ほ と ん ど形成されない。
なお、 導電性カバー 7 2 6 と延長部材 7 2 7 と の絶縁抵抗 (ィ ンピーダンス) を十分に確保する方法と しては、 ギヤ ッ プ 7 2 8 に絶縁体 (誘電体) を配設し、 その誘電率や形状を 設計する方法も ある。 例えば、 図 1 2 中に点線で示すギヤ ッ プ 7 2 8 內に誘電体を配設する こ と によ って、 カバー 7 2 6 と延長部材 7 2 7 と の間に配設された絶縁物質の実質的な誘 電率が変化する。 即ち、 ギャ ップ 7 2 8 に絶縁体を配設する こ と によ り 、 両者間のイ ンピーダンスを変化させる こ と がで き る力 ら、 Z 1 に対 して Z 2 が大き く なる よ う に設計する こ と も可能になる。 このよ う にすれば、 放電経路は形成されず 安定して処理する こ と ができ る。
また、 第 2実施形態では、 導電性の延長部材 7 2 7 の露出 表面が被処理基板 Wの表面と並ぶ (好ま し く は高さが整一す る) よ う に構成 し、 载置台 7 2 0 の電極 7 2 1 の表面積を実 質的に増大 させている。 即ち、 電極 7 2 1 の表面積が π -
( D 1 ) 2 であるのに対 して、 延長部材 7 2 7 に よ り 、 電極 7 2 1 の表面積が 兀 . ( D 2 ) 2 になった場合と 同様の電磁 気的環境が提供される。 こ こ で、 D 1 は電極 7 2 1 の半径
(対象物の面積と等 しい面積を有する仮想円の半径) で、 D 2 は延長部材 7 2 7 の外縁形状に相当する半径である。
図 1 3 A及ぴ図 1 3 B は、 プラズマ処理装置において、 載 置台 7 2 0 の電極面積を A l 、 Α 2 と し、 夫々 の 自 己バイァ ス電圧を V I 、 λ 2 と した と き の載置台 7 2 0 の等価回路を 簡略化して示す図である。 こ こで、 電極面積 Α 1 = π . ( D
1 ) であ り 、 電極面積 Α 2 = π · ( D 2 ) 2 であって、 A 1 く A 2 と なっている。 この場合、 電極面積と 自 己バイ アス 電圧と の間には以下の関係が成 り 立つ。
4
( V 2 / V 1 ) = ( A 1 A 2 ) ··· ( 1 ) 即ち、 上記のよ う に A 1 < A 2 であれば V 1 > > V 2 と な り 、 電極面積が増加する と 自 己バイアス電圧は急激に減少す る。 従って、 延長部材 7 2 7 が配設されていない場合には、 処理が進んで金属膜] VIが被着する こ と によ つて載置台の実効 的な電極面積が増大 していく 。 このため、 次第に 自 己バイ ァ ス電圧が低下して処理状態が変化 していく 。 これに対して、 第 2実施形態の場合には、 最初の基板の処理開始時点から延 長部材 7 2 7 の存在に よって図 1 3 B に示す状態と なってい る。 しかも、 処理が進んで金属膜 Mが被着されても実効的な 電極面積はほと んど変化 しない。 従って、 自 己バイ アス電圧 もほと んど変化せず、 安定した処理を行 う こ と ができ る。
なお、 延長部材 7 2 7 を載置台 7 2 0 に対 して着脱自在に 構成しておく こ と によ って、 延長部材 7 2 7 を容易に交換で き る。 この場合、. 装置のメ ンテナンスを簡単に行 う こ と が可 能になる。
図 1 4 は、 第 2実施形態の変更例に係るプラズマ処理装置 の拡大部分断面図である。 この変更例は、 図 1 2 に示す構造 よ り も、 下部電極 7 2 1 に対する電力パワーの リ ーク が減少 する と共に、 副生成物の金属膜によ り 導電性カバー 7 2 6 と 延長部材 7 2 7 と が短絡する可能性の低い構成を有する。
具体的には、 図 1 4 に示すよ う に、 絶縁層 7 2 5 の厚さ と 導電性カバー 7 2 6 の上端の位置との関係において、 L < T の関係が成り 立つよ う に構成される。 こ こで、 Lは絶縁層 7 2 5 の側面における絶縁層 7 2 5 の底部と カ ノく一 7 2 6 の上 端と の間の レベル差である。 また、 T は下部電極 7 2 1 の底 部と カ バー 7 2 6 の底部 と の間の絶縁層 7 2 5 の厚さ である c 換言する と 、 絶縁層 7 2 5 の側面において、 導電性カバー 7 2 6 の上端は、 下部電極 7 2 1 の底部よ り も下に位置する こ と と なる。
以上、 本発明 を好ま しい実施形態について説明 したが、 本 発明は上記の特定の実施形態に限定さ れる も のではな く 、 特 許請求の範囲に記載 した要旨内において様々 な変形 ■ 変更が 可能であ る。 例えば、 第 1 及び第 2 実施形態では、 プラ ズマ エッチング装置について説明 したが、 本発明は、 プラ ズマ成 膜装置やプラ ズマア ツ シング装置な どについて も 同様に適用 可能であ る。 被処理基板と しては、 半導体ウェハに限定され ず、 ガラ ス基板、 L C D基板等であっても よ い。
産業上の利用可能性
本発明に よれば、. 小型化及びコス ト ダゥ ンが可能な半導体 処理用の基板保持構造及ぴプラ ズマ処理装置を提供する こ と ができ る。
本発明に よればまた、 被処理基板上に形成される膜の少な く と も面間均一性を高 く する こ と が可能なプラズマ処理装置 を提供する こ と ができ る。

Claims

請 求 の 範 囲
1 . 半導体処理用の基板保持構造であって、
処理室内に配設される、 被処理基板を載置する載置台 と、 前記載置台内に形成され且つ熱交換媒体と して使用 される 流体を収容する温調空所と、
高周波電力を前記載置台に導入する導電性の伝送路と、 前記伝送路内に形成され且つ前記温調空所に対 して前記流 体を供給または排出する流路と、
を具備する。
2 . 請求の範囲 1 に記載の構造において、
前記載置台は、 前記伝送路と一体的に形成された電極部を 具備し、 前記電極部内に前記温調空所が形成される。
3 . 請求の範囲 2 に記載の構造において、
前記載置台を支持する支柱を更に具備し、 前記支柱は前記 伝送路を具備する。
4 . 請求の範囲 3 に記載の構造において、
前記支柱は、 前記伝送路を覆う支柱絶縁層 と、 前記支柱絶 縁層を覆う支柱導電層 と を更に具備し、 前記伝送路と前記支 柱絶縁層 と前記支柱導電層とは同軸構造をなす。
5 . 請求の範囲 4 に記載の構造において、
前記載置台は、 前記電極部を覆う 台絶縁層 と、 前記台絶縁 層を覆い且つ前記支柱導電層と電気的に接続された台導電層 と を更に具備 し、 前記電極部と前記台絶縁層 と前記台導電層 と は同軸構造をなす。
6 . 請求の範囲 1 に記載の構造において、 前記流路は、 前記伝送路内に形成され且つ前記温調空所に 対 して夫々前記流体を供給及び排出する第 1 及び第 2 流路を 具備する。
7 . 請求の範囲 1 に記載の構造において、
前記温調空所は、 前記第 1 及び第 2流路を互いに接続し且 つ前記第 1 及び第 2 流路間で前記流体を流す流路を具備する
8 . 請求の範囲 1 に記載の構造において、
前記伝送路内に形成され且つ前記載置台 と前記基板と の間 に熱伝達ガスを供給するガス流路を更に具備する。
9 . 請求の範囲 1 に記載の構造において、
前記載置台上に配設され且つ前記基板を前記載置台に対し て静電吸着する静電チャ ック を更に具備する。
1 0 . 請求の範囲 7 に記載の構造において、
前記伝送路内に電気的に分離された状態で配設され且つ前 記静電チヤ ック に対して直流電圧を印加する配線を更に具備 する。
1 1 . プラズマ処理装置であって、
被処理基板を収容する気密な処理室と、
前記処理室内に処理ガスを供給するガス供給部と、
前記処理室内を排気する排気部と、
前記処理室内に配設された、 前記基板を載置する載置台と . 前記載置台内に形成され且つ熱交換媒体と して使用 される流 体を収容する温調空所を有する こ と と、
高周波電力を前記載置台に導入する導電性の伝送路と、 前記伝送路内に形成され且つ前記温調空所に対して前記流 体を供給または排出する流路と 、
を具備する。
1 2 . 請求の範囲 1 1 に記載の装置において、
前記载置台は、 前記伝送路と一体的に形成された電極部を 具備 し、 前記電極部内に前記温調空所が形成される こ と と、 前記電極部が前記伝送路を介して前記高周波電力を供給する 高周波電源に接続される こ と と、 を具備する。
1 3 . 請求の範囲 1 2 に記載の装置において、
前記载置台を支持する支柱を更に具備し、 前記支柱は前記 伝送路を具備する こ と と、 前記処理室の下部に、 前記処理室 よ り も平面輪郭が十分に小さい排気室が前記支柱を包囲する よ う に接続され、 前記排気部は、 前記排気室と前記支柱との 間の空間を介して前記処理室内を排気する こ と と 、 を具備す る。
1 4 . 請求の範囲 1 3 に記載の装置において、
前記支柱は、 前記伝送路を覆 う 支柱絶縁層 と、 前記支柱絶 縁層を覆い且つ接地された支柱導電層 と を更に具備し、 前記 伝送路と前記支柱絶縁層と前記支柱導電層 と は同軸構造をな す。
1 5 . 請求の範囲 1 4 に記載の装置において、
前記載置台は、 前記電極部を覆 う 台絶縁層 と、 前記台絶縁 層を覆い且つ前記支柱導電層に電気的に接続された台導電層 と を更に具備し、 前記電極部と前記台絶縁層 と前記台導電層 と は同軸構造をなす。
1 6 . 請求の範囲 1 1 に記載の装置において、 前記流路は、 前記伝送路内に形成され且つ前記温調空所に 対して夫々 前記流体を供給及び排出する第 1 及ぴ第 2 流路を 具備 し、 前記第 1 及び第 2 流路は、 前記温調空所を、 前記流 体を循環させる循環装置に接続する。
1 7 . 請求の範囲 1 1 に記載の装置において、
前記温調空所は、 前記第 1 及び第 2 流路を互いに接続し且 つ前記第 1 及び第 2流路間で前記流体を流す流路を具備する t 1 8 . 請求の範囲 1 1 に記載の装置において、
前記伝送路内に形成され且つ前記载置台 と前記基板と の間 に熱伝達ガスを供給するガス流路を更に具備する。
1 9 . 請求の範囲 1 1 に記載の装置において、
前記载置台上に配設され且つ前記基板を前記載置台に対し て静電吸着する静電チヤ ッ ク を更に具備する。
2 0 . 請求の範囲 1 7 に記載の装置において、
前記伝送路内に電気的に分離された状態で配設され且つ前 記静電チャ ック に対 して直流電圧を印加する配線を更に具備 する。
2 1 . プラズマ処理装置であって、
被処理基板を収容する気密な処理室と、
前記処理室内に処理ガスを供給するガス供給部と、
前記処理室内を排気する排気部と、
前記処理室内に配設された、 前記基板を載置する載置台と, 前記载置台上に載置された前記基板を包囲 し且つ前記基板 の表面と並ぶ表面を有する導電性の延長部材と、
を具備し、 前記載置台は、 高周波電力を印加される電極部と、 前記電 極部の底面及び側面を覆 う 台絶縁層 と、 前記台絶縁層の底面 及び側面の少な く と も一部を覆い且つ前記支柱導電層 と電気 的に接続された台導電層 と を具備 し、 前記電極部と前記台絶 縁層 と前記台導電層 と は同軸構造をなすこ と と、
前記延長部材は、 前記電極部及び前記台導電層 と電気的に 絶縁された状態で前記台絶縁層上に配置され、 前記延長部材 と前記台導電層 と の間のイ ン ピーダンスは、 前記電極部と前 記台導電層 と の間のィ ンピーダンス よ り も大きいこ と と 、 を具備する。
2 2 . 請求の範囲 2 1 に記載の装置において、
前記台絶縁層の前記側面において、 前記台導電層の上端は . 前記電極部の底部よ り も下に位置する こ と と 、
2 3 . 請求の範囲 2 1 に記載の装置において、
前記処理室は、 前記載置台を収容する導電性の下側容器と . 前記下側容器の上に配設され且つ前記載置台の上方にプラズ マ生成空間を形成する絶縁性の上側容器と を具備 し、 前記プ ラズマ生成空間内に誘導電磁界を形成する誘導コ イ ルが前記 上側容器を包囲する よ う に配設される。
2 4 . 請求の範囲 2 1 に記載の装置において、
前記載置台を支持する支柱を更に具備し、 前記支柱は、 高 周波電力を前記載置台に導入する導電性の伝送路と、 前記伝 送路を覆 う支柱絶縁層 と、 前記支柱絶縁層を覆い且つ前記台 導電層に電気的に接続され且つ接地された支柱導電層 と を更 に具備し、 前記伝送路と前記支柱絶縁層 と前記支柱導電層と は同軸構造をなす。
2 5 . 請求の範囲 2 4 に記載の装置において、 前記伝送路は前記電極部と一体的に形成される。
PCT/JP2003/016960 2003-03-12 2003-12-26 半導体処理用の基板保持構造及びプラズマ処理装置 WO2004082007A1 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CNB2003801101508A CN100388434C (zh) 2003-03-12 2003-12-26 半导体处理用的基板保持结构和等离子体处理装置
KR1020057016665A KR100752800B1 (ko) 2003-03-12 2003-12-26 반도체처리용의 기판유지구조 및 플라즈마 처리장치
US11/221,704 US7837828B2 (en) 2003-03-12 2005-09-09 Substrate supporting structure for semiconductor processing, and plasma processing device

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2003066165A JP4381699B2 (ja) 2003-03-12 2003-03-12 プラズマ処理装置
JP2003-66165 2003-03-12
JP2003140389A JP4219734B2 (ja) 2003-05-19 2003-05-19 基板保持機構およびプラズマ処理装置
JP2003-140389 2003-05-19

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/221,704 Continuation-In-Part US7837828B2 (en) 2003-03-12 2005-09-09 Substrate supporting structure for semiconductor processing, and plasma processing device

Publications (1)

Publication Number Publication Date
WO2004082007A1 true WO2004082007A1 (ja) 2004-09-23

Family

ID=32992956

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2003/016960 WO2004082007A1 (ja) 2003-03-12 2003-12-26 半導体処理用の基板保持構造及びプラズマ処理装置

Country Status (4)

Country Link
US (1) US7837828B2 (ja)
KR (1) KR100752800B1 (ja)
CN (1) CN100388434C (ja)
WO (1) WO2004082007A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109891570A (zh) * 2016-09-08 2019-06-14 应用材料公司 静电卡盘及其制造方法

Families Citing this family (175)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4950688B2 (ja) * 2006-03-13 2012-06-13 東京エレクトロン株式会社 載置装置
TW200911036A (en) * 2007-04-13 2009-03-01 Saint Gobain Ceramics Electrostatic dissipative stage and effectors for use in forming LCD products
TW200912051A (en) * 2007-04-16 2009-03-16 Saint Gobain Ceramics & Amp Plastics Inc Process of cleaning a substrate for microelectronic applications including directing mechanical energy through a fluid bath and apparatus of same
KR101486755B1 (ko) * 2008-03-04 2015-01-28 주식회사 미코 기판 지지 장치 및 이를 포함하는 기판 처리 장치
JP4450106B1 (ja) * 2008-03-11 2010-04-14 東京エレクトロン株式会社 載置台構造及び処理装置
US20090274590A1 (en) * 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma reactor electrostatic chuck having a coaxial rf feed and multizone ac heater power transmission through the coaxial feed
US20100018648A1 (en) * 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US8734664B2 (en) 2008-07-23 2014-05-27 Applied Materials, Inc. Method of differential counter electrode tuning in an RF plasma reactor
US9543181B2 (en) * 2008-07-30 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Replaceable electrostatic chuck sidewall shield
TWM361113U (en) * 2008-12-03 2009-07-11 Wistron Neweb Corp Assembly of satellite receiver and filter, and connector to reinforce the bonding tightness of the two electronic components and to function as the grounding medium of the two electronic components
JP5250408B2 (ja) * 2008-12-24 2013-07-31 新光電気工業株式会社 基板温調固定装置
CN102341902A (zh) * 2009-03-03 2012-02-01 东京毅力科创株式会社 载置台结构、成膜装置和原料回收方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5796076B2 (ja) 2010-09-08 2015-10-21 インテグリス・インコーポレーテッド 高導電性静電チャック
US9123762B2 (en) 2010-10-22 2015-09-01 Applied Materials, Inc. Substrate support with symmetrical feed structure
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US10276410B2 (en) 2011-11-25 2019-04-30 Nhk Spring Co., Ltd. Substrate support device
US9153463B2 (en) * 2011-11-25 2015-10-06 Nhk Spring Co., Ltd. Substrate support device
JP5905735B2 (ja) * 2012-02-21 2016-04-20 東京エレクトロン株式会社 基板処理装置、基板処理方法及び基板温度の設定可能帯域の変更方法
US9706605B2 (en) 2012-03-30 2017-07-11 Applied Materials, Inc. Substrate support with feedthrough structure
US9404176B2 (en) 2012-06-05 2016-08-02 Applied Materials, Inc. Substrate support with radio frequency (RF) return path
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
JP5807160B2 (ja) * 2012-12-13 2015-11-10 パナソニックIpマネジメント株式会社 ノンプラズマドライエッチング装置
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
JP6224366B2 (ja) * 2013-07-12 2017-11-01 東京エレクトロン株式会社 支持部材及び基板処理装置
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US20150083042A1 (en) * 2013-09-26 2015-03-26 Applied Materials, Inc. Rotatable substrate support having radio frequency applicator
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
CN103757595B (zh) * 2014-01-17 2015-10-28 北京交通大学 一种磁控溅射镀膜机传热装置
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US20150221481A1 (en) * 2014-01-31 2015-08-06 Michael D. Willwerth Electrostatic chuck with magnetic cathode liner for critical dimension (cd) tuning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
JP2015162266A (ja) * 2014-02-26 2015-09-07 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) * 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
JP5962833B2 (ja) 2015-01-16 2016-08-03 Toto株式会社 静電チャック
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) * 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP6350380B2 (ja) * 2015-04-28 2018-07-04 信越化学工業株式会社 希土類磁石の製造方法
JP6483546B2 (ja) * 2015-06-24 2019-03-13 トヨタ自動車株式会社 プラズマ化学気相成長装置
US20160379806A1 (en) * 2015-06-25 2016-12-29 Lam Research Corporation Use of plasma-resistant atomic layer deposition coatings to extend the lifetime of polymer components in etch chambers
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6552429B2 (ja) * 2016-02-05 2019-07-31 東京エレクトロン株式会社 プラズマ処理装置
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
KR101958636B1 (ko) * 2016-10-31 2019-03-18 세메스 주식회사 기판 지지 장치 및 이를 가지는 기판 처리 설비, 그리고 기판 처리 방법
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
JP6858656B2 (ja) * 2017-06-26 2021-04-14 東京エレクトロン株式会社 給電部材及び基板処理装置
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
JP6865128B2 (ja) 2017-07-19 2021-04-28 東京エレクトロン株式会社 プラズマ処理装置
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US20210305070A1 (en) * 2017-10-17 2021-09-30 Ulvac, Inc. Object processing apparatus
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
JP7374103B2 (ja) * 2018-01-31 2023-11-06 ラム リサーチ コーポレーション 静電チャック(esc)ペデスタル電圧分離
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11086233B2 (en) 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
WO2019236275A1 (en) * 2018-06-08 2019-12-12 Applied Materials, Inc. Apparatus for suppressing parasitic plasma in plasma enhanced chemical vapor deposition chamber
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
KR102608957B1 (ko) * 2018-08-27 2023-12-01 삼성전자주식회사 플라즈마 처리 장치
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN111383882B (zh) * 2018-12-27 2023-03-10 中微半导体设备(上海)股份有限公司 等离子体处理装置及用于该处理装置的基片支座
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7254542B2 (ja) * 2019-02-01 2023-04-10 東京エレクトロン株式会社 載置台及び基板処理装置
JP2021026855A (ja) * 2019-08-01 2021-02-22 東京エレクトロン株式会社 プラズマ処理装置及び制御方法
US20210210355A1 (en) * 2020-01-08 2021-07-08 Tokyo Electron Limited Methods of Plasma Processing Using a Pulsed Electron Beam
US11615966B2 (en) 2020-07-19 2023-03-28 Applied Materials, Inc. Flowable film formation and treatments
US11887811B2 (en) * 2020-09-08 2024-01-30 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
US11699571B2 (en) * 2020-09-08 2023-07-11 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
US11863089B2 (en) 2021-03-26 2024-01-02 Applied Materials, Inc. Live measurement of high voltage power supply output
US20220319896A1 (en) * 2021-04-02 2022-10-06 Applied Materials, Inc. Rotating biasable pedestal and electrostatic chuck in semiconductor process chamber

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000286235A (ja) * 1999-03-30 2000-10-13 Tokyo Electron Ltd プラズマ処理装置
US20010021571A1 (en) * 2000-03-13 2001-09-13 Yutaka Koma Semiconductor wafer processing apparatus
JP2001308077A (ja) * 2000-04-27 2001-11-02 Toshiba Corp 半導体製造装置
WO2002023609A1 (fr) * 2000-09-14 2002-03-21 Tokyo Electron Limited Procede de gravure de silicium a vitesse elevee
WO2002056357A1 (fr) * 2001-01-09 2002-07-18 Tokyo Electron Limited Dispositif de traitement a feuilles

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2601170A1 (de) * 1976-01-14 1977-07-28 Hoechst Ag Verfahren und vorrichtung zur umwandlung von sonnenenergie in nutzwaerme
JPS57149734A (en) * 1981-03-12 1982-09-16 Anelva Corp Plasma applying working device
JPS6060060A (ja) * 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
US5673750A (en) * 1990-05-19 1997-10-07 Hitachi, Ltd. Vacuum processing method and apparatus
US5234527A (en) * 1990-07-20 1993-08-10 Tokyo Electron Limited Liquid level detecting device and a processing apparatus
JP3260168B2 (ja) 1991-07-23 2002-02-25 東京エレクトロン株式会社 プラズマ処理装置
JP3205878B2 (ja) 1991-10-22 2001-09-04 アネルバ株式会社 ドライエッチング装置
US5803977A (en) 1992-09-30 1998-09-08 Applied Materials, Inc. Apparatus for full wafer deposition
JP3204836B2 (ja) 1994-03-25 2001-09-04 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
JPH07335732A (ja) 1994-06-14 1995-12-22 Tokyo Electron Ltd 静電チャック、これを用いたプラズマ処理装置及びこの製造方法
JP3216960B2 (ja) * 1994-09-19 2001-10-09 株式会社日立製作所 空気調和機の室外機、室内機及びそれらに用いられる冷媒分配器
JP3162955B2 (ja) 1995-06-13 2001-05-08 東京エレクトロン株式会社 プラズマ処理装置
JPH09191003A (ja) 1996-01-12 1997-07-22 Matsushita Electric Ind Co Ltd ドライエッチング方法とその装置
JP3320605B2 (ja) 1996-01-29 2002-09-03 東京エレクトロン株式会社 プラズマ処理装置
JPH09275132A (ja) 1996-04-03 1997-10-21 Hitachi Ltd 静電吸着装置,ウエハ脱離方法、及びウエハ処理装置
JP3454023B2 (ja) 1996-06-28 2003-10-06 ソニー株式会社 ホログラフィックステレオグラム用記録媒体、ホログラフィックステレオグラムおよびその作製方法ならびにこれに用いるホログラフィックステレオグラム作製装置
US6529362B2 (en) * 1997-03-06 2003-03-04 Applied Materials Inc. Monocrystalline ceramic electrostatic chuck
US5861086A (en) 1997-03-10 1999-01-19 Applied Materials, Inc. Method and apparatus for sputter etch conditioning a ceramic body
JP3779080B2 (ja) 1998-12-14 2006-05-24 東京エレクトロン株式会社 処理装置及び処理システム
US6402848B1 (en) * 1999-04-23 2002-06-11 Tokyo Electron Limited Single-substrate-treating apparatus for semiconductor processing system
JP2001068538A (ja) 1999-06-21 2001-03-16 Tokyo Electron Ltd 電極構造、載置台構造、プラズマ処理装置及び処理装置
JP3411539B2 (ja) * 2000-03-06 2003-06-03 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
JP2001257247A (ja) 2000-03-13 2001-09-21 Disco Abrasive Syst Ltd 半導体ウェハの加工装置
JP4744671B2 (ja) 2000-05-22 2011-08-10 東京エレクトロン株式会社 枚葉式処理装置
US20020170677A1 (en) * 2001-04-07 2002-11-21 Tucker Steven D. RF power process apparatus and methods
JP2003045952A (ja) 2001-05-25 2003-02-14 Tokyo Electron Ltd 載置装置及びその製造方法並びにプラズマ処理装置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000286235A (ja) * 1999-03-30 2000-10-13 Tokyo Electron Ltd プラズマ処理装置
US20010021571A1 (en) * 2000-03-13 2001-09-13 Yutaka Koma Semiconductor wafer processing apparatus
JP2001308077A (ja) * 2000-04-27 2001-11-02 Toshiba Corp 半導体製造装置
WO2002023609A1 (fr) * 2000-09-14 2002-03-21 Tokyo Electron Limited Procede de gravure de silicium a vitesse elevee
WO2002056357A1 (fr) * 2001-01-09 2002-07-18 Tokyo Electron Limited Dispositif de traitement a feuilles

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109891570A (zh) * 2016-09-08 2019-06-14 应用材料公司 静电卡盘及其制造方法

Also Published As

Publication number Publication date
CN100388434C (zh) 2008-05-14
US20060005930A1 (en) 2006-01-12
CN1759473A (zh) 2006-04-12
US7837828B2 (en) 2010-11-23
KR100752800B1 (ko) 2007-08-29
KR20050106506A (ko) 2005-11-09

Similar Documents

Publication Publication Date Title
WO2004082007A1 (ja) 半導体処理用の基板保持構造及びプラズマ処理装置
US8592712B2 (en) Mounting table structure and plasma film forming apparatus
US8152925B2 (en) Baffle plate and substrate processing apparatus
US5683537A (en) Plasma processing apparatus
US7895970B2 (en) Structure for plasma processing chamber, plasma processing chamber, plasma processing apparatus, and plasma processing chamber component
US6431115B2 (en) Plasma treatment method and apparatus
JP4146905B2 (ja) 処理装置
US10741368B2 (en) Plasma processing apparatus
US7767055B2 (en) Capacitive coupling plasma processing apparatus
US20060207725A1 (en) Substrate mounting table, substrate processing apparatus and substrate processing method
US7897009B2 (en) Plasma processing apparatus
US20230326724A1 (en) Plasma processing apparatus
JP4615464B2 (ja) プラズマ処理装置用電極アッセンブリ及びプラズマ処理装置
US8545672B2 (en) Plasma processing apparatus
JP4219734B2 (ja) 基板保持機構およびプラズマ処理装置
US20070215284A1 (en) Plasma processing apparatus and electrode assembly for plasma processing apparatus
US20070227664A1 (en) Plasma processing apparatus and plasma processing method
US20130017690A1 (en) Plasma nitriding method and plasma nitriding apparatus
TW202204677A (zh) 用於高頻處理的蓋堆疊
JP2008042023A (ja) 基板処理装置
US6830653B2 (en) Plasma processing method and apparatus
TW202238813A (zh) 電漿處理裝置及電漿處理方法
JP4747404B2 (ja) プラズマ処理装置
US20230335377A1 (en) Showerhead assembly with heated showerhead
JP2003133398A (ja) プラズマ支援ウェハー処理装置の二重電極ウェハーホルダ

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): CN KR US

WWE Wipo information: entry into national phase

Ref document number: 1020057016665

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 11221704

Country of ref document: US

WWE Wipo information: entry into national phase

Ref document number: 20038B01508

Country of ref document: CN

WWP Wipo information: published in national office

Ref document number: 1020057016665

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 11221704

Country of ref document: US