KR100752800B1 - 반도체처리용의 기판유지구조 및 플라즈마 처리장치 - Google Patents
반도체처리용의 기판유지구조 및 플라즈마 처리장치 Download PDFInfo
- Publication number
- KR100752800B1 KR100752800B1 KR1020057016665A KR20057016665A KR100752800B1 KR 100752800 B1 KR100752800 B1 KR 100752800B1 KR 1020057016665 A KR1020057016665 A KR 1020057016665A KR 20057016665 A KR20057016665 A KR 20057016665A KR 100752800 B1 KR100752800 B1 KR 100752800B1
- Authority
- KR
- South Korea
- Prior art keywords
- substrate
- conductive
- mounting table
- insulating layer
- transmission path
- Prior art date
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67109—Apparatus for thermal treatment mainly by convection
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/6831—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/20—Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
- H01J2237/2001—Maintaining constant desired temperature
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T279/00—Chucks or sockets
- Y10T279/23—Chucks or sockets with magnetic or electrostatic means
Abstract
Description
Claims (32)
- 반도체처리용의 기판유지구조에 있어서,피처리기판을 탑재하는 탑재대와,상기 탑재대의 하방으로 연재하여 배치되고, 상기 탑재대를 지지하는 지주를 구비하고,상기 탑재대는,전극부와,상기 전극부의 외주를 덮는 제 1 절연층과,상기 전극부의 바닥면을 덮는 제 2 절연층과,상기 제 1 및 제 2 절연층을 덮는 제 1 도전층을 구비하고,상기 지주는,상기 전극부에 고주파전력을 공급하는 도전성 전송로와,상기 전송로의 외주를 덮는 제 3 절연층과,상기 제 3 절연층의 외주를 덮는 제 2 도전층을 구비하고,상기 탑재대의 상기 전극부와 상기 제 1 및 제 2 절연층과 상기 제 1 도전층은 동축구조를 형성하고, 또한 상기 지주의 상기 도전성 전송로와 상기 제 3 절연층과 상기 제 2 도전층은 동축구조를 형성하고, 또한 상기 전극부와 상기 도전성 전송로는 일체적으로 형성되고, 또한 제 1 및 제 2 도전층은 전기적으로 접속되고,또한, 상기 전극부내에 열교환매체를 공급하는 제 1 유로가 형성되고, 또한 상기 도전성 전송로내에 상기 제 1 유로에 연통된 제 2 유로가 형성되는반도체처리용의 기판유지구조.
- 삭제
- 삭제
- 삭제
- 삭제
- 삭제
- 삭제
- 제 1항에 있어서,상기 전송로내에 형성되고 또한 상기 탑재대와 상기 기판과의 사이에 열전달가스를 공급하는 가스유로를 또한 구비하는반도체처리용의 기판유지구조.
- 제 1항에 있어서,상기 탑재대상에 배치되고 또한 상기 기판을 상기 탑재대에 대하여 정전흡착하는 정전척을 또한 구비하는반도체처리용의 기판유지구조.
- 제 9항에 있어서,상기 전송로내에 전기적으로 분리된 상태로 배치되고 또한 상기 정전척에 대하여 직류전압을 인가하는 배선을 또한 구비하는반도체처리용의 기판유지구조.
- 플라즈마 처리장치에 있어서,피처리기판을 수용하는 기밀인 처리실과,상기 처리실내에 처리가스를 공급하는 가스공급부와,상기 처리실내를 배기하는 배기부와,상기 처리실내에 배치된, 상기 기판을 탑재하는 탑재대와,상기 탑재대의 하방으로 연재하여 배치되고 상기 탑재대를 지지하는 지주를 구비하고,상기 탑재대는,전극부와,상기 전극부의 외주를 덮는 제 1 절연층과,상기 전극부의 바닥면을 덮는 제 2 절연층과,상기 제 1 및 제 2 절연층을 덮는 제 1 도전층을 구비하고,상기 지주는,상기 전극부에 고주파전력을 공급하는 도전성 전송로와,상기 전송로의 외주를 덮는 제 3 절연층과,상기 제 3 절연층의 외주를 덮는 제 2 도전층을 구비하고,상기 탑재대의 상기 전극부와 상기 제 1 및 제 2 절연층과 상기 제 1 도전층은 동축구조를 형성하고, 또한 상기 지주의 상기 도전성 전송로와 상기 제 3 절연층과 상기 제 2 도전층은 동축구조를 형성하고, 또한 상기 전극부와 상기 도전성 전송로는 일체적으로 형성되고, 또한 제 1 및 제 2 도전층은 전기적으로 접속되고,또한, 상기 전극부내에 열교환매체를 공급하는 제 1 유로가 형성되고, 또한 상기 도전성 전송로내에 상기 제 1 유로에 연통된 제 2 유로가 형성되는플라즈마 처리장치.
- 삭제
- 제 11항에 있어서,상기 처리실의 하부에, 상기 처리실보다도 평면윤곽이 충분히 작은 배기실이 상기 지주를 포위하도록 접속되고, 상기 배기부는, 상기 배기실과 상기 지주와의 사이의 공간을 거쳐서 상기 처리실내를 배기하는 것을 구비하는플라즈마 처리장치.
- 제 11항에 있어서,상기 제 2 도전층은 접지되는플라즈마 처리장치.
- 삭제
- 제 11항에 있어서,상기 제 2 유로는, 상기 열교환매체를 순환시키는 순환장치에 접속되는플라즈마 처리장치.
- 삭제
- 제 11항에 있어서,상기 전송로내에 형성되고 또한 상기 탑재대와 상기 기판과의 사이에 열전달가스를 공급하는 가스유로를 또한 구비하는플라즈마 처리장치.
- 제 11항에 있어서,상기 탑재대상에 배치되고 또한 상기 기판을 상기 탑재대에 대하여 정전흡착하는 정전척을 또한 구비하는플라즈마 처리장치.
- 제 19항에 있어서,상기 전송로내에 전기적으로 분리된 상태로 배치되고 또한 상기 정전척에 대하여 직류전압을 인가하는 배선을 또한 구비하는플라즈마 처리장치.
- 플라즈마 처리장치에 있어서,피처리기판을 수용하는 기밀인 처리실과,상기 처리실내에 처리가스를 공급하는 가스공급부와,상기 처리실내를 배기하는 배기부와,상기 처리실내에 배치된, 상기 기판을 탑재하는 탑재대와,상기 탑재대상에 탑재된 상기 기판을 포위하고 또한 상기 기판의 표면과 나란한 표면을 갖는 도전성의 연장부재를 구비하고,상기 탑재대는, 고주파전력이 인가되는 전극부와, 상기 전극부의 저면 및 측면을 덮는 대절연층과, 상기 대절연층의 저면 및 측면의 적어도 일부를 덮고 또한 상기 지주도전층과 전기적으로 접속된 대도전층을 구비하고, 상기 전극부와 상기 대절연층과 상기 대도전층은 동축구조를 이루는 것과,상기 연장부재는, 상기 전극부 및 상기 대도전층과 전기적으로 절연된 상태로 상기 대절연층상에 배치되고, 또한 상기 대절연층의 상기 측면에 있어서, 상기 대도전층의 상단은, 상기 전극부의 바닥부보다도 밑에 위치하도록 배치되고, 상기 연장부재와 상기 대도전층과의 사이의 임피던스는, 상기 전극부와 상기 대도전층과의 사이의 임피던스보다도 커지도록 설정되는플라즈마 처리장치.
- 삭제
- 제 21항에 있어서,상기 처리실은, 상기 탑재대를 수용하는 도전성의 하측용기와, 상기 하측용기의 위에 배치되고 또한 상기 탑재대의 상방에 플라즈마 생성공간을 형성하는 절연성의 상측용기를 구비하고, 상기 플라즈마 생성공간내에 유도전자계를 형성하는 유도 코일이 상기 상측용기를 포위하도록 배치되는플라즈마 처리장치.
- 제 21항에 있어서,상기 탑재대를 지지하는 지주를 또한 구비하고, 상기 지주는, 고주파전력을 상기 탑재대에 도입하는 도전성의 전송로와, 상기 전송로를 덮는 지주절연층과, 상기 지주절연층을 덮고 또한 상기 대도전층에 전기적으로 접속되고 또한 접지된 지주 도전층을 더 구비하고, 상기 전송로와 상기 지주절연층과 상기 지주도전층은 동축구조를 이루는플라즈마 처리장치.
- 제 24항에 있어서,상기 전송로는 상기 전극부와 일체적으로 형성되는플라즈마 처리장치.
- 제 1항에 있어서,상기 탑재대 및 상기 지주의 내부에 있어서, 상기 탑재대측과 상기 지주측을 기밀하게 분리하기 위해, 상기 전극부와 상기 제 2 절연층과의 사이에 제 1 씨일부재가 배치되고, 상기 제 2 절연층과 상기 제 1 도전층과의 사이에 제 2 씨일 부재가 배치되는반도체처리용의 기판유지구조.
- 제 10항에 있어서,상기 배선은, 상기 정전척에 접속되는 부분에, 직경이 큰 바닥부와 상기 정전척의 전극층을 향하여 직경을 축소하는 정수리부를 갖는 대략 원추형의 확대직경머리부를 구비하고, 상기 확대직경머리부는 용사절연막에 의해 피복되는반도체처리용의 기판유지구조.
- 제 27 항에 있어서,상기 확대직경머리부는 직경이 다른 복수의 부분을 포갠 형상을 갖는반도체처리용의 기판유지구조.
- 제 9항에 있어서,상기 정전척은 전극층의 상하를 절연층으로 끼운 구조를 이루고, 상기 정전척의 상기 전극층 및 상기 절연층이 일체적으로 요철을 이루는 것에 의해, 상기 정전척의 표면에 홈이 형성되고, 또한 상기 전송로내에 형성되고 또한 상기 탑재대와 상기 기판 사이에 열전달가스를 공급하는 가스유로가 상기 홈에 접속되는반도체처리용의 기판유지구조.
- 제 1항에 있어서,상기 제 2 유로는, 상기 도전성 전송로내에 배설된 단열성튜브내에 형성되는반도체처리용의 기판유지구조.
- 제 1항에 있어서,상기 제 1 및 제 2 절연층은, 석영 및 수지를 포함하는 저유전율재료의 군으로부터 선택된 재료로 이루어지는반도체처리용의 기판유지구조.
- 제 1항에 있어서,상기 제 3 절연층은, 수지를 포함하는 저유전율재료의 군으로부터 선택된 재료로 이루어지는반도체처리용의 기판유지구조.
Applications Claiming Priority (5)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2003066165A JP4381699B2 (ja) | 2003-03-12 | 2003-03-12 | プラズマ処理装置 |
JPJP-P-2003-00066165 | 2003-03-12 | ||
JPJP-P-2003-00140389 | 2003-05-19 | ||
JP2003140389A JP4219734B2 (ja) | 2003-05-19 | 2003-05-19 | 基板保持機構およびプラズマ処理装置 |
PCT/JP2003/016960 WO2004082007A1 (ja) | 2003-03-12 | 2003-12-26 | 半導体処理用の基板保持構造及びプラズマ処理装置 |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20050106506A KR20050106506A (ko) | 2005-11-09 |
KR100752800B1 true KR100752800B1 (ko) | 2007-08-29 |
Family
ID=32992956
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020057016665A KR100752800B1 (ko) | 2003-03-12 | 2003-12-26 | 반도체처리용의 기판유지구조 및 플라즈마 처리장치 |
Country Status (4)
Country | Link |
---|---|
US (1) | US7837828B2 (ko) |
KR (1) | KR100752800B1 (ko) |
CN (1) | CN100388434C (ko) |
WO (1) | WO2004082007A1 (ko) |
Families Citing this family (176)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP4950688B2 (ja) * | 2006-03-13 | 2012-06-13 | 東京エレクトロン株式会社 | 載置装置 |
US20080259236A1 (en) * | 2007-04-13 | 2008-10-23 | Saint-Gobain Ceramics & Plastics, Inc. | Electrostatic dissipative stage and effectors for use in forming lcd products |
US20080257380A1 (en) * | 2007-04-16 | 2008-10-23 | Saint-Gobain Ceramics & Plastics, Inc. | Process of cleaning a substrate for microelectronic applications including directing mechanical energy through a fluid bath and apparatus of same |
KR101486755B1 (ko) * | 2008-03-04 | 2015-01-28 | 주식회사 미코 | 기판 지지 장치 및 이를 포함하는 기판 처리 장치 |
JP4450106B1 (ja) * | 2008-03-11 | 2010-04-14 | 東京エレクトロン株式会社 | 載置台構造及び処理装置 |
US20090274590A1 (en) * | 2008-05-05 | 2009-11-05 | Applied Materials, Inc. | Plasma reactor electrostatic chuck having a coaxial rf feed and multizone ac heater power transmission through the coaxial feed |
US20100018648A1 (en) * | 2008-07-23 | 2010-01-28 | Applied Marterials, Inc. | Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring |
US8734664B2 (en) | 2008-07-23 | 2014-05-27 | Applied Materials, Inc. | Method of differential counter electrode tuning in an RF plasma reactor |
US9543181B2 (en) * | 2008-07-30 | 2017-01-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Replaceable electrostatic chuck sidewall shield |
TWM361113U (en) * | 2008-12-03 | 2009-07-11 | Wistron Neweb Corp | Assembly of satellite receiver and filter, and connector to reinforce the bonding tightness of the two electronic components and to function as the grounding medium of the two electronic components |
JP5250408B2 (ja) * | 2008-12-24 | 2013-07-31 | 新光電気工業株式会社 | 基板温調固定装置 |
WO2010101191A1 (ja) * | 2009-03-03 | 2010-09-10 | 東京エレクトロン株式会社 | 載置台構造、成膜装置、及び、原料回収方法 |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
TWI534940B (zh) * | 2010-09-08 | 2016-05-21 | 恩特格林斯公司 | 高傳導靜電夾盤 |
US9123762B2 (en) * | 2010-10-22 | 2015-09-01 | Applied Materials, Inc. | Substrate support with symmetrical feed structure |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US9153463B2 (en) * | 2011-11-25 | 2015-10-06 | Nhk Spring Co., Ltd. | Substrate support device |
US10276410B2 (en) | 2011-11-25 | 2019-04-30 | Nhk Spring Co., Ltd. | Substrate support device |
JP5905735B2 (ja) * | 2012-02-21 | 2016-04-20 | 東京エレクトロン株式会社 | 基板処理装置、基板処理方法及び基板温度の設定可能帯域の変更方法 |
US9706605B2 (en) * | 2012-03-30 | 2017-07-11 | Applied Materials, Inc. | Substrate support with feedthrough structure |
US9404176B2 (en) | 2012-06-05 | 2016-08-02 | Applied Materials, Inc. | Substrate support with radio frequency (RF) return path |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
JP5807160B2 (ja) * | 2012-12-13 | 2015-11-10 | パナソニックIpマネジメント株式会社 | ノンプラズマドライエッチング装置 |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
JP6224366B2 (ja) * | 2013-07-12 | 2017-11-01 | 東京エレクトロン株式会社 | 支持部材及び基板処理装置 |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US20150083042A1 (en) * | 2013-09-26 | 2015-03-26 | Applied Materials, Inc. | Rotatable substrate support having radio frequency applicator |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
CN103757595B (zh) * | 2014-01-17 | 2015-10-28 | 北京交通大学 | 一种磁控溅射镀膜机传热装置 |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US20150221481A1 (en) * | 2014-01-31 | 2015-08-06 | Michael D. Willwerth | Electrostatic chuck with magnetic cathode liner for critical dimension (cd) tuning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
JP2015162266A (ja) * | 2014-02-26 | 2015-09-07 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
JP5962833B2 (ja) | 2015-01-16 | 2016-08-03 | Toto株式会社 | 静電チャック |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) * | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
JP6350380B2 (ja) * | 2015-04-28 | 2018-07-04 | 信越化学工業株式会社 | 希土類磁石の製造方法 |
JP6483546B2 (ja) * | 2015-06-24 | 2019-03-13 | トヨタ自動車株式会社 | プラズマ化学気相成長装置 |
US20160379806A1 (en) * | 2015-06-25 | 2016-12-29 | Lam Research Corporation | Use of plasma-resistant atomic layer deposition coatings to extend the lifetime of polymer components in etch chambers |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
JP6552429B2 (ja) * | 2016-02-05 | 2019-07-31 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
KR101694754B1 (ko) * | 2016-09-08 | 2017-01-11 | (주)브이앤아이솔루션 | 정전척 및 그 제조방법 |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
KR101958636B1 (ko) * | 2016-10-31 | 2019-03-18 | 세메스 주식회사 | 기판 지지 장치 및 이를 가지는 기판 처리 설비, 그리고 기판 처리 방법 |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US11289355B2 (en) | 2017-06-02 | 2022-03-29 | Lam Research Corporation | Electrostatic chuck for use in semiconductor processing |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
JP6858656B2 (ja) * | 2017-06-26 | 2021-04-14 | 東京エレクトロン株式会社 | 給電部材及び基板処理装置 |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
JP6865128B2 (ja) * | 2017-07-19 | 2021-04-28 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
CN110402481B (zh) * | 2017-10-17 | 2023-07-21 | 株式会社爱发科 | 被处理体的处理装置 |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US20210043490A1 (en) * | 2018-01-31 | 2021-02-11 | Lam Research Corporation | Electrostatic chuck (esc) pedestal voltage isolation |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US11086233B2 (en) | 2018-03-20 | 2021-08-10 | Lam Research Corporation | Protective coating for electrostatic chucks |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
JP7333346B2 (ja) * | 2018-06-08 | 2023-08-24 | アプライド マテリアルズ インコーポレイテッド | プラズマ化学気相堆積チャンバ内の寄生プラズマを抑制する装置 |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
KR102608957B1 (ko) * | 2018-08-27 | 2023-12-01 | 삼성전자주식회사 | 플라즈마 처리 장치 |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
CN111383882B (zh) * | 2018-12-27 | 2023-03-10 | 中微半导体设备(上海)股份有限公司 | 等离子体处理装置及用于该处理装置的基片支座 |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
JP7254542B2 (ja) * | 2019-02-01 | 2023-04-10 | 東京エレクトロン株式会社 | 載置台及び基板処理装置 |
JP2021026855A (ja) * | 2019-08-01 | 2021-02-22 | 東京エレクトロン株式会社 | プラズマ処理装置及び制御方法 |
US20210210355A1 (en) * | 2020-01-08 | 2021-07-08 | Tokyo Electron Limited | Methods of Plasma Processing Using a Pulsed Electron Beam |
US11615966B2 (en) | 2020-07-19 | 2023-03-28 | Applied Materials, Inc. | Flowable film formation and treatments |
US11887811B2 (en) * | 2020-09-08 | 2024-01-30 | Applied Materials, Inc. | Semiconductor processing chambers for deposition and etch |
US11699571B2 (en) * | 2020-09-08 | 2023-07-11 | Applied Materials, Inc. | Semiconductor processing chambers for deposition and etch |
US11863089B2 (en) | 2021-03-26 | 2024-01-02 | Applied Materials, Inc. | Live measurement of high voltage power supply output |
US20220319896A1 (en) * | 2021-04-02 | 2022-10-06 | Applied Materials, Inc. | Rotating biasable pedestal and electrostatic chuck in semiconductor process chamber |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2000286235A (ja) * | 1999-03-30 | 2000-10-13 | Tokyo Electron Ltd | プラズマ処理装置 |
US20010021571A1 (en) * | 2000-03-13 | 2001-09-13 | Yutaka Koma | Semiconductor wafer processing apparatus |
JP2001308077A (ja) * | 2000-04-27 | 2001-11-02 | Toshiba Corp | 半導体製造装置 |
WO2002023609A1 (fr) * | 2000-09-14 | 2002-03-21 | Tokyo Electron Limited | Procede de gravure de silicium a vitesse elevee |
Family Cites Families (27)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
DE2601170A1 (de) * | 1976-01-14 | 1977-07-28 | Hoechst Ag | Verfahren und vorrichtung zur umwandlung von sonnenenergie in nutzwaerme |
JPS57149734A (en) * | 1981-03-12 | 1982-09-16 | Anelva Corp | Plasma applying working device |
JPS6060060A (ja) * | 1983-09-12 | 1985-04-06 | 株式会社日立製作所 | 鉄道車両の扉開閉装置 |
US5673750A (en) * | 1990-05-19 | 1997-10-07 | Hitachi, Ltd. | Vacuum processing method and apparatus |
US5234527A (en) * | 1990-07-20 | 1993-08-10 | Tokyo Electron Limited | Liquid level detecting device and a processing apparatus |
JP3260168B2 (ja) | 1991-07-23 | 2002-02-25 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP3205878B2 (ja) | 1991-10-22 | 2001-09-04 | アネルバ株式会社 | ドライエッチング装置 |
US5803977A (en) | 1992-09-30 | 1998-09-08 | Applied Materials, Inc. | Apparatus for full wafer deposition |
JP3204836B2 (ja) | 1994-03-25 | 2001-09-04 | 東京エレクトロン株式会社 | プラズマ処理方法およびプラズマ処理装置 |
JPH07335732A (ja) | 1994-06-14 | 1995-12-22 | Tokyo Electron Ltd | 静電チャック、これを用いたプラズマ処理装置及びこの製造方法 |
JP3216960B2 (ja) * | 1994-09-19 | 2001-10-09 | 株式会社日立製作所 | 空気調和機の室外機、室内機及びそれらに用いられる冷媒分配器 |
JP3162955B2 (ja) | 1995-06-13 | 2001-05-08 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JPH09191003A (ja) | 1996-01-12 | 1997-07-22 | Matsushita Electric Ind Co Ltd | ドライエッチング方法とその装置 |
JP3320605B2 (ja) | 1996-01-29 | 2002-09-03 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JPH09275132A (ja) | 1996-04-03 | 1997-10-21 | Hitachi Ltd | 静電吸着装置,ウエハ脱離方法、及びウエハ処理装置 |
JP3454023B2 (ja) | 1996-06-28 | 2003-10-06 | ソニー株式会社 | ホログラフィックステレオグラム用記録媒体、ホログラフィックステレオグラムおよびその作製方法ならびにこれに用いるホログラフィックステレオグラム作製装置 |
US6529362B2 (en) * | 1997-03-06 | 2003-03-04 | Applied Materials Inc. | Monocrystalline ceramic electrostatic chuck |
US5861086A (en) | 1997-03-10 | 1999-01-19 | Applied Materials, Inc. | Method and apparatus for sputter etch conditioning a ceramic body |
JP3779080B2 (ja) | 1998-12-14 | 2006-05-24 | 東京エレクトロン株式会社 | 処理装置及び処理システム |
US6402848B1 (en) * | 1999-04-23 | 2002-06-11 | Tokyo Electron Limited | Single-substrate-treating apparatus for semiconductor processing system |
JP2001068538A (ja) | 1999-06-21 | 2001-03-16 | Tokyo Electron Ltd | 電極構造、載置台構造、プラズマ処理装置及び処理装置 |
JP3411539B2 (ja) * | 2000-03-06 | 2003-06-03 | 株式会社日立製作所 | プラズマ処理装置及びプラズマ処理方法 |
JP2001257247A (ja) | 2000-03-13 | 2001-09-21 | Disco Abrasive Syst Ltd | 半導体ウェハの加工装置 |
JP4744671B2 (ja) | 2000-05-22 | 2011-08-10 | 東京エレクトロン株式会社 | 枚葉式処理装置 |
JP4660926B2 (ja) * | 2001-01-09 | 2011-03-30 | 東京エレクトロン株式会社 | 枚葉式の処理装置 |
US20020170677A1 (en) * | 2001-04-07 | 2002-11-21 | Tucker Steven D. | RF power process apparatus and methods |
JP2003045952A (ja) | 2001-05-25 | 2003-02-14 | Tokyo Electron Ltd | 載置装置及びその製造方法並びにプラズマ処理装置 |
-
2003
- 2003-12-26 KR KR1020057016665A patent/KR100752800B1/ko active IP Right Grant
- 2003-12-26 CN CNB2003801101508A patent/CN100388434C/zh not_active Expired - Fee Related
- 2003-12-26 WO PCT/JP2003/016960 patent/WO2004082007A1/ja active Application Filing
-
2005
- 2005-09-09 US US11/221,704 patent/US7837828B2/en not_active Expired - Fee Related
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2000286235A (ja) * | 1999-03-30 | 2000-10-13 | Tokyo Electron Ltd | プラズマ処理装置 |
US20010021571A1 (en) * | 2000-03-13 | 2001-09-13 | Yutaka Koma | Semiconductor wafer processing apparatus |
JP2001308077A (ja) * | 2000-04-27 | 2001-11-02 | Toshiba Corp | 半導体製造装置 |
WO2002023609A1 (fr) * | 2000-09-14 | 2002-03-21 | Tokyo Electron Limited | Procede de gravure de silicium a vitesse elevee |
Also Published As
Publication number | Publication date |
---|---|
US20060005930A1 (en) | 2006-01-12 |
US7837828B2 (en) | 2010-11-23 |
WO2004082007A1 (ja) | 2004-09-23 |
CN100388434C (zh) | 2008-05-14 |
CN1759473A (zh) | 2006-04-12 |
KR20050106506A (ko) | 2005-11-09 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR100752800B1 (ko) | 반도체처리용의 기판유지구조 및 플라즈마 처리장치 | |
KR0159178B1 (ko) | 플라즈마처리 장치와 플라즈마처리 방법 | |
US5683537A (en) | Plasma processing apparatus | |
US6149760A (en) | Plasma processing apparatus | |
US5494522A (en) | Plasma process system and method | |
US8152925B2 (en) | Baffle plate and substrate processing apparatus | |
US7767055B2 (en) | Capacitive coupling plasma processing apparatus | |
US8592712B2 (en) | Mounting table structure and plasma film forming apparatus | |
US8191505B2 (en) | Process gas introducing mechanism and plasma processing device | |
US5346578A (en) | Induction plasma source | |
KR0151769B1 (ko) | 플라즈마 에칭장치 | |
US8513563B2 (en) | Plasma processing apparatus and plasma processing method | |
US9324600B2 (en) | Mounting table structure and plasma film forming apparatus | |
TWI408744B (zh) | Plasma processing device and plasma processing method | |
TW201931428A (zh) | 具有低頻射頻功率分佈調節功能的等離子反應器 | |
US8261691B2 (en) | Plasma processing apparatus | |
JPH1055983A (ja) | ファラデー・スパッタ・シールドを有する誘導結合されたプラズマリアクタ | |
KR20010006005A (ko) | 처리장치 | |
KR102218686B1 (ko) | 플라스마 처리 장치 | |
JP4219734B2 (ja) | 基板保持機構およびプラズマ処理装置 | |
WO2019244631A1 (ja) | 載置台及び基板処理装置 | |
JP4137419B2 (ja) | プラズマ処理装置 | |
US11923228B2 (en) | Stage and plasma processing apparatus | |
JP2000331996A (ja) | プラズマ処理装置 | |
KR100889433B1 (ko) | 플라즈마 처리 장치 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
E902 | Notification of reason for refusal | ||
E701 | Decision to grant or registration of patent right | ||
GRNT | Written decision to grant | ||
FPAY | Annual fee payment |
Payment date: 20120802 Year of fee payment: 6 |
|
FPAY | Annual fee payment |
Payment date: 20130801 Year of fee payment: 7 |
|
FPAY | Annual fee payment |
Payment date: 20140808 Year of fee payment: 8 |
|
FPAY | Annual fee payment |
Payment date: 20150716 Year of fee payment: 9 |
|
FPAY | Annual fee payment |
Payment date: 20160721 Year of fee payment: 10 |
|
FPAY | Annual fee payment |
Payment date: 20170720 Year of fee payment: 11 |
|
FPAY | Annual fee payment |
Payment date: 20180801 Year of fee payment: 12 |
|
FPAY | Annual fee payment |
Payment date: 20190730 Year of fee payment: 13 |