KR100752800B1 - 반도체처리용의 기판유지구조 및 플라즈마 처리장치 - Google Patents

반도체처리용의 기판유지구조 및 플라즈마 처리장치 Download PDF

Info

Publication number
KR100752800B1
KR100752800B1 KR1020057016665A KR20057016665A KR100752800B1 KR 100752800 B1 KR100752800 B1 KR 100752800B1 KR 1020057016665 A KR1020057016665 A KR 1020057016665A KR 20057016665 A KR20057016665 A KR 20057016665A KR 100752800 B1 KR100752800 B1 KR 100752800B1
Authority
KR
South Korea
Prior art keywords
substrate
conductive
mounting table
insulating layer
transmission path
Prior art date
Application number
KR1020057016665A
Other languages
English (en)
Other versions
KR20050106506A (ko
Inventor
다로 이케다
스미 다나카
가오루 야마모토
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2003066165A external-priority patent/JP4381699B2/ja
Priority claimed from JP2003140389A external-priority patent/JP4219734B2/ja
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20050106506A publication Critical patent/KR20050106506A/ko
Application granted granted Critical
Publication of KR100752800B1 publication Critical patent/KR100752800B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/23Chucks or sockets with magnetic or electrostatic means

Abstract

반도체처리용의 기판유지구조(50)는, 처리실(20)안에 배치되는, 피처리기판(W)을 탑재하는 탑재대(51)를 포함한다. 탑재대(51)안에, 열교환매체로서 사용되는 유체를 수용하는 온도 조절 공간(507)이 형성된다. 고주파전력를 탑재대(51)에 도입하기 위해서, 도전성의 전송로(502)가 배치된다. 전송로(502)안에, 온도 조절 공간(507)에 대하여 열교환매체 유체를 공급 또는 배출하는 유로(505,506)가 형성된다.

Description

반도체처리용의 기판유지구조 및 플라즈마 처리장치{SUBSTRATE HOLDING STRUCTURE FOR SEMICONDUCTOR PROCESSING, AND PLASMA PROCESSING DEVICE}
본 발명은, 반도체처리용의 기판유지구조 및 플라즈마 처리장치에 관한 것이다. 여기서, 반도체처리란, 반도체웨이퍼나 LCD(Liquid crystal display)나 FPD(Flat Pane1 Display)용의 유리 기판등의 피처리기판상에 반도체층, 절연층, 도전층등을 소정의 패턴으로 형성하므로써, 해당 피처리기판상에 반도체장치나, 반도체장치에 접속되는 배선, 전극등을 포함하는 구조물을 제조하기 위해서 실시되는 여러가지의 처리를 의미한다.
최근, 반도체장치에 대해서는, 고집적화 및 고성능화와 함께, 비용 절감의 요구가 있다. 이 때문에, 반도체장치의 생산성의 향상이 필요로 되어 있다. 예컨대, 생산성을 향상시키기 위한 방법으로서, 반도체기판의 대구경화를 들 수 있다. 종래는, 반도체기판(웨이퍼)으로서 200 mm 기판이 이용되었지만, 현재는 그 주류가 300 mm 기판으로 시프트하고 있다. 대구경의 300 mm 기판을 이용하여 반도체장치를 제조하므로써, 한 장의 기판으로부터 생산할 수 있는 반도체장치의 개수가 늘어나, 생산성이 향상된다.
300 mm 기판을 이용하는 경우, 종래의 200 mm 기판을 처리하기 위한 반도체처리장치를, 300 mm 기판을 처리가능한 장치로 변경해야 한다. 이 경우, 기판을 유지하기 위한 기판유지구조가 대형으로 되기 때문에, 플라즈마 처리장치등의 반도체처리장치도 대형으로 된다. 이 때문에, 반도체 처리장치의 점유면적이 증대하여, 반도체 제조공장에 배치가능한 대수가 감소하고, 이것은, 반도체장치의 생산성이 저하하는 원인이 된다. 또한, 종래의 기판유지구조의 구조를 그대로 적용하여, 200 mm 기판용의 부품을 300 mm 기판용으로 대형화한 경우, 대폭적인 비용증가로 이어진다.
기판유지구조에 관하여, 하기의 6개의 문헌을 종래 기술로서 들 수 있다.
일본 특허공개 평성 제9-275132호공보.
일본 특허공개 평성 제10-116826호공보.
일본 특허공개 평성 제10-258227호공보.
일본 특허공개 평성 제11-67746호공보.
일본 특허공개2000-183028호공보.
일본 특허공개2001-332465호공보.
플라즈마에칭장치에 관하여, 하기의 3개의 문헌을 종래 기술로서 들 수 있다.
일본 특허공개2002-237486호공보
일본 특허공개2002-246370호공보
일본 특허공개 평성 제5-335283호공보
본 발명은, 소형화 및 비용 절감이 가능한 반도체처리용의 기판유지구조 및 플라즈마 처리장치를 제공하는 것을 목적으로 한다.
본 발명은 또, 피처리기판상에 형성되는 막의 적어도 면간 균일성을 높게 하는 것이 가능한 플라즈마 처리장치를 제공하는 것을 목적으로 한다.
본 발명의 제 1 관점은, 반도체처리용의 기판유지구조에 있어서,
처리실내에 배치되어 피처리기판을 탑재하는 탑재대와,
상기 탑재대내에 형성되고 또한 열교환매체로서 사용되는 유체를 수용하는 온도 조절 공간과,
고주파전력을 상기 탑재대에 도입하는 도전성의 전송로와,
상기 전송로내에 형성되고 또한 상기 온도 조절 공간에 대하여 상기 유체를 공급 또는 배출하는 유로를 구비한다.
본 발명의 제 2 관점은, 플라즈마 처리장치에 있어서,
피처리기판을 수용하는 기밀인 처리실과,
상기 처리실내에 처리가스를 공급하는 가스공급부와,
상기 처리실내를 배기하는 배기부와,
상기 처리실내에 배치된, 상기 기판을 탑재하는 탑재대로서, 상기 탑재대내에 형성되고 또한 열교환매체로서 사용되는 유체를 수용하는 온도 조절 공간을 갖는, 상기 탑재대와,
고주파전력을 상기 탑재대에 도입하는 도전성의 전송로와,
상기 전송로내에 형성되고 또한 상기 온도 조절 공간에 대하여 상기 유체를 공급 또는 배출하는 유로를 구비한다.
본 발명의 제 3 관점은, 플라즈마 처리장치에 있어서,
피처리기판을 수용하는 기밀인 처리실과,
상기 처리실내에 처리가스를 공급하는 가스공급부와,
상기 처리실내를 배기하는 배기부와,
상기 처리실내에 배치된, 상기 기판을 탑재하는 탑재대와,
상기 탑재대상에 탑재된 상기 기판을 포위하고 또한 상기 기판의 표면과 나란한 표면을 갖는 도전성의 연장부재를 구비하고,
상기 탑재대는, 고주파전력이 인가되는 전극부와, 상기 전극부의 저면 및 측면을 피복하는 대절연층과, 상기 대절연층의 저면 및 측면의 적어도 일부를 덮고 또한 상기 지주도전층과 전기적으로 접속된 대도전층을 구비하고, 상기 전극부와 상기 대절연층과 상기 대도전층은 동축구조를 이루고,
상기 연장부재는, 상기 전극부 및 상기 대도전층과 전기적으로 절연된 상태로 상기 대절연층상에 배치되고, 상기 연장부재와 상기 대도전층과의 사이의 임피던스는, 상기 전극부와 상기 대도전층과의 사이의 임피던스보다도 크다.
도 1은, 본 발명의 제1실시형태에 따른 반도체처리용의 기판유지구조를 포함하는 플라즈마 처리장치를 나타내는 구성도.
도 2는, 도 1에 나타내는 기판유지구조를 확대하여 나타내는 단면도.
도 3은, 도 1에 나타내는 기판유지구조의 일부를 나타내는 단면도.
도 4는, 도 3중의 부분 X를 확대하여 나타내는 단면도
도 5는, 도 4중의 부분 Z를 확대하여 나타내는 단면도
도 6은, 도 2중의 Y-Y 선에 따른 횡단면도.
도 7a 및 도 7b는, 제1실시형태의 변형예에 따른 기판유지구조를 나타내는 단면부분도.
도 8은, 탑재대에 고주파전력을 인가한 경우의 셀프바이어스전위의 측정결과를 나타내는 그래프.
도 9는, 프로세스조건을 도시한 도면.
도 10은, 플라즈마 처리장치의 개략구성을 모식적으로 나타내는 개략구성단면도.
도 11은, 도 10에 나타내는 플라즈마 처리장치의 주요부의 구성을 모식적으로 나타내는 개략구성도.
도 12는, 탑재대외주부의 구조를 모식적으로 나타내는 확대부분단면도.
도 13a 및 도 13b는, 플라즈마 처리장치의 플라즈마와 하부전극과의 사이의 등가회로를 나타내는 회로도.
도 l4는, 제2실시형태의 변형예에 따른 플라즈마 처리장치의 확대부분단면도.
이하에, 본 발명의 실시예에 대하여 도면을 참조하여 설명한다. 또, 이하의 설명에 있어서, 대략 동일한 기능 및 구성을 갖는 구성요소에 있어서는, 동일부호를 부여하며, 중복설명은 필요한 경우에만 행한다.
(제1실시형태)
도 1은, 본 발명의 제1실시형태에 따른 반도체처리용의 기판유지구조를 포함하는 플라즈마 처리장치를 나타내는 구성도이다. 이 플라즈마 처리장치(10)는, 피처리기판인 반도체웨이퍼상의 실리콘산화막, 금속산화막, 그 밖의 재료의 막을, 스퍼터 에칭 또는 리엑티브 에칭하도록 구성된다.
도 1에 도시하는 바와 같이 플라즈마 처리장치(10)는 피처리기판(W)을 수납하여 처리하는 처리실(20)을 포함한다. 처리실(20)에는, 처리실(20)내에 처리가스를 공급하는 가스공급부(30)가 접속된다. 처리실(20)의 외부상측에는, 처리가스를 플라즈마화하기 위한 여기기구(40)가 배치된다. 처리실(20)의 내부하측에는, 피처리기판(W)을 유지하는 기판유지구조(50)의 탑재대(51)가 배치된다.
처리실(20)은, 도전성이며 원통형의 하측용기(201)와, 절연성이며 원통형의 상측용기 또는 벨자(401)와의 조합에 의하여 형성된다. 하측용기(201)의 바닥부의 중앙에 개구가 형성되고, 여기에, 하측으로 돌출하는 원통형의 배기실(202)이 기밀하게 접속된다. 배기실(202)은, 처리실(20)보다도 평면윤곽이 충분히 작고, 또한 처리실(20)과 동심형상으로 배치된다.
배기실(202)의 바닥부에는, 기판유지구조(50)의 지주(52)가 부착된다. 기판유지구조(50)의 지주(52)는, 부착링(221), 나사받이링(220, 222), 체결나사(219)등을 이용하여 배기실(202)의 바닥부에 고정된다. 이 상세에 대해서는, 도 2 이하를 참조하여 후술한다. 지주(52)는 배기실(202)의 중심을 수직하게 상승하여, 하측용기(201)의 바닥부의 개구를 통하여 탑재대(51)에 접속된다.
배기실(202)의 측벽에는 개구(218)가 형성되어, 배기배관(203)을 거쳐서, 예컨대 터보분자펌프 등의 배기부(204)에 접속된다. 에칭, 특히 스퍼터 에칭을 행하는 경우는 저압력이 필요하다. 예컨대, 터보분자펌프 등의 배기부(204)를 이용하여, 처리공간을 0.0133 ~ 1.33 Pa, 바람직하게는 0.0133 ~ 0.133 Pa의 저압력으로 유지하는 것이 필요하게 된다.
처리실(20)내의 기밀인 처리공간(402)은, 지주(52)를 포위하는 배기실(202)내의 배기공간(202A)을 거쳐서, 배기부(204)에 의해서 진공배기된다. 처리공간(402)이, 그 하측에서 동심상으로 배치된 배기공간(202A)을 거쳐서 배기되는 것에 의해, 예컨대 처리실(20)의 측면으로부터 배기하는 것과 같은 경우에 비교해서, 처리공간(402)을 균등하게 배기할 수 있다. 즉, 피처리기판(W)를 중심으로 하여 처리가스를 균등하게 배기할 수 있다. 그 때문에, 처리공간(402)내부의 압력이 균일하게 되고, 또한 발생하는 플라즈마의 생성도 균일하게 된다. 그 결과, 피처리기판을 에칭할 때의 에칭레이트의 균일성을 양호하게 할 수 있다.
배기실(202)의 바닥부에는, 예컨대 알루미늄, 그 합금등의 금속으로 이루어지고 또한 접지된 차폐부재 또는 쉴드커버(205)가 배치된다. 쉴드커버(205)내에는, 기판유지구조(50)의 탑재대(51)에 RF 전력을 도입하기 위한 RF 도입부품(206)이 배치된다. RF 도입부품(206)은, 정합기(209)을 거쳐서, 바이어스용의 고주파(RF)전원(210)에 접속된다.
기판유지구조(50)의 탑재대(51)는 원판형상의 전극부(501)를 가짐과 동시에, 지주(52)는 원주형상이며 또한 도전성의 RF 전송로(502)를 갖는다. 전극부(501)와 전송로(502)는, A1, A1의 합금 등의 도전성재료로 일체적으로 성형되고, 따라서 이들은 서로 전기적으로 접속된다. 전송로(502)의 하단부는, RF 도입부품(206)에 전기적으로 접속된다. 따라서, 탑재대(51)의 전극부(50l)에 전송로(502)를 거쳐서 RF 전원(210)으로부터 RF 전력이 공급되고, 이에 따라 피처리기판(W)에 바이어스전압이 인가된다. 쉴드커버(205)는, RF를 차폐하여, RF가 외부에 누설되는 것을 방지한다.
탑재대(51)의 전극부(501)내에는, 탑재대(51)의 온도를 조정하기 위한 열교환매체, 예컨대 절연성의 냉각유체를 수용하는 열교환매체실(여기서는, 유로로서 형성된 온도 조절 공간)(507)이 형성된다. 이것에 대하여, 지주(52)의 전송로(502)내에는, 온도 조절 공간(507)에 열교환매체를 각기 공급 및 배출하기 위한 도입유로(2l5) 및 배출유로(216)가 형성된다.
지주(52)의 하단부에는, 절연재료, 예컨대 A12O3등의 세라믹 또는 수지 등으로 이루어지는 절연부품(207)이 배치된다. 열교환매체의 도입유로(215) 및 배출유로(216)는, 절연부품(207)을 관통하여, 절연부품(207)에 부착된 금속성의 커넥터관(213, 214)에 접속된다. 따라서, 커넥터관(213, 214)은, 절연부품(207)에 의해서, RF 전송로(502)로부터 전기적으로 절연된다. 절연부품(207) 및 전송로(502)의 하단부의 주변은 단열재(217)로 커버된다.
커넥터관(213, 214)은, 예컨대 칠러 등의 온도조절기능을 갖는 순환장치(CU)와 접속된다. 순환장치(CU)로부터, 도입유로(215) 및 배출유로(216)을 거쳐서, 탑재대(51)의 온도 조절 공간(507)에 열교환매체를 순환시킴으로써, 탑재대(51)의 온도가 소정의 온도로 유지된다.
하측용기(201)의 측면에는, 피처리기판(W)을 위한 반송구가 형성되고, 여기에 게이트밸브(208)가 배치된다. 게이트밸브(208)를 개방하므로써, 피처리기판(W)을 처리실(20)내에 대하여 로딩 및 언로딩할 수 있다. 그 때, 승강기구(211)의 리프트핀(예컨대 3개)이 작동하여, 탑재대(51)에 대한 피처리기판(W)의 탑재 이송을 어시스트한다.
가스공급부(30)는, 가스공급라인(311)에 Ar 라인(301)을 거쳐서 접속된 Ar 공급원(305)과, H2 라인(306)을 거쳐서 접속된 H2 공급원(310)을 포함한다. Ar 라인(301)에는, 밸브(302, 304) 및 질량유량콘트롤러(303)가 배치된다. 밸브(302, 304)를 개방 하는 것에 의해 가스공급라인(311)에 Ar 가스가 공급된다. 그 때, 공급되 는 유량을 질량유량콘트롤러(303)로 제어한다. 마찬가지로, H2 라인(306)에는 밸브(307, 309) 및 질량유량콘트롤러(308)가 배치된다. 밸브(307, 309)를 개방 하는 것에 의해 가스공급라인(311)에 H2 가스가 공급된다. 그 때, 공급되는 유량을 질량유량콘트롤러(308)로 제어한다.
Ar 및 H2가 공급되는 가스공급라인(31l)은, 하측용기(201)의 위 가장자리를 따라 환상으로 배치된 가스공급링(212)에 접속된다. 가스공급링(212) 내부에 환상으로 가스홈(212B)가 형성되어, 가스공급링(212)의 대략 전주위에 Ar 가스 또는 H2 가스를 분배한다. Ar 가스 또는 H2 가스는, 가스홈(212B)과 연통하는 가스구멍(212A)으로부터 처리공간(402) 중앙을 향해서 공급된다. 처리공간(402)에 공급된 Ar 가스나 H2 가스는, 이하에 설명하는 여기기구(40)에 의해 플라즈마화된다.
상측용기 즉 벨자(40l)는, 돔형상의 유전성재료, 예컨대 석영, 세라믹(Al2O3, AlN)으로 이루어진다. 벨자(401)의 주위에는, 여기기구(40)의 안테나코일(403)이 권취된다. 코일(403)은, 정합기(404)을 거쳐서 RF 전원(405)에 접속된다. RF 전원(405)은, 예컨대 450 kHz ~ 60 MHz(바람직하게는 450 kHz ~ 13.56 MHz)의 주파수를 갖는 RF 전력을 발생시킨다.
RF 전원(405)으로부터 코일(403)에 RF 전력이 공급되면, 처리공간(402)에 유도자계가 형성된다. 이 유도자계에 의해, 처리공간(402)내에 공급된 Ar, H2 등의 가스가 플라즈마화된다. 이러한 플라즈마는 유도결합형의 플라즈마(ICP)라고 지칭된 다. 이와 같이 하여 여기된 플라즈마에 의해, 탑재대(51)상의 기판(W)에 대하여, 플라즈마처리, 예컨대 에칭이 실시된다.
플라즈마 처리장치(10)는, 기판유지구조(50)의 원통형지주(52)의 직경 Da를 작게 할 수 있다. 이 때문에, 배기실(202)의 직경 Db를 작게 하고, 따라서, 플라즈마 처리장치(10) 전체를 소형화하여, 풋프린트(점유면적)를 작게 할 수 있다. 또한, 배기실(202)의 측벽에 형성된 배기구(218)에, 배기배관(203)을 거쳐서, 터보분자펌프등의 배기부(204), 압력조정밸브(도시하지 않음) 등의 부재가 접속된다(공간을 효율적으로 이용하여). 이 때문에, 풋프린트를 생각한 경우에, 배기배관(203)이나 배기부(204)가, 하측용기(201) 또는 여기기구(40)의 평면윤곽보다도 작게(도 1중에 직경 Dc로 나타내는 범위보다 작게) 배치할 수 있다.
도 2는, 도 1에 나타내는 기판유지구조(50)를 확대하여 나타내는 단면도이다. 이하에, 도 2를 참조하여, 기판유지구조(50)를 상술한다. 기판유지구조(50)는, 상술한 바와 같이, 원판형상의 탑재대(51)와, 이것의 하측에 동심상으로 배치된 원주형상의 지주(52)를 포함한다.
탑재대(51)는, RF 전력이 인가되는 상기의 전극부(501)를 포함한다. 전극부(501)의 측면은, 석영 등의 유전성재료로 이루어지는 링블럭(508)에 의해 피복된다. 전극부(501)의 저면은, 석영 등의 유전성재료로 이루어지고 또한 중심에 전송로(502)가 통과하는 구멍이 형성된 플레이트 블럭(509)에 의해서 피복된다. 링블럭(508) 및 플레이트 블럭(509)은 대절연층을 구성한다. 대절연층(508, 509)의 저면 및 측면은 또한, A1, Ti 등의 도전성재료로 이루어진 대커버(대도전층)(514)에 의 해서 피복된다. 전극부(501)와 대절연층(508, 509)과 대도전층(514)은 동축구조를 이룬다.
한편, 지주(52)는, RF 전력을 도입하는 상기의 도전성의 전송로(502)를 포함한다. 전송로(502)는, PTFE(polytetrafluoroethylene) 등의 유전성재료로 이루어지는 인슐레이터(지주절연층)(513)에 의해서 피복된다. 인슐레이터(513)는 또한, Al, Ti 등의 도전성재료로 이루어지고 또한 접지된 지주커버(지주도전층)(515)에 의해서 피복된다. 전송로(502)와 지주절연층(513)과 지주도전층(514)은 동축구조를 이룬다.
전극부(501)와 전송로(502)는, A1, A1의 합금 등의 도전성재료로 일체적으로 성형되고, 따라서 이들은 서로 전기적으로 접속된다. 링블럭 및 플레이트 블록(대절연층)(508, 509)과 인슐레이터(지주절연층)(513)는 개별적으로 성형된다. 대커버(대도전층)(514)와 지주커버(지주도전층)(515)는 개별적으로 성형되지만, 용접에 의해 일체화됨과 동시에 전기적으로 접속된다.
상술한 바와 같이, 전극부(501)의 내부에는, 피처리기판을 소정의 온도로 균일하게 유지하기 위한 열교환매체(유체)를 수납하는 온도 조절 공간(507)이 형성된다. 온도 조절 공간(507)은, 전송로(502)내에 형성된 도입유로(505) 및 배출유로(506)를 서로 접속하고 또한 도입유로(505) 및 배출유로(506) 사이에서 열교환매체가 흘러가는 유로를 형성한다.
도 3은, 도 1에 나타내는 기판유지구조의 일부를 나타내는 단면도이며, 도 2에 나타내는 단면에 대략 직교하는 단면을 나타낸다. 전극부(50l)의 기판(W)과 접 하는 상면(및 측면)에는, 예컨대 알루미나(A12O3) 등의 유전성재료로 이루어지는 유전체층(503)이 배치된다. 상면의 유전체층(503)의 내부에는, 유전체층(503)과 협동하여 정전척을 구성하도록, 전극(504)이 삽입된다. 전극(504)은, 전송로(502)내에 절연상태로 연장하는 배선(516)을 거쳐서, 처리실(20) 밖에 배치된 직류전원(도시하지 않음)에 접속된다. 전극(504)에 전압이 인가되는 것에 의해, 기판(W)의 아래의 유전체층(503)에서 정전분극이 일어나, 기판(W)이 정전흡착된다.
유전체층(503)은, 예컨대 세라믹 용사 등에 의해 형성된다. 대신에, 유전체층(503)은, 소결체의 세라믹을 박막형상으로 한 것을 접합하는 방법으로도 형성할 수 있다. 또한, 유전체층(503)은, 알루미나 대신에, 질화알루미늄(AlN), SiC, BN 등의 유전체막으로 형성할 수 있다.
상술한 바와 같이, 기판유지구조(50)는, 바이어스용의 RF 전원(210)에 접속된 버섯형상의 도전성 코아(501, 502)를, 절연층(유전체층)(508, 509, 513)으로 피복하고, 또한 그것을, 접지된 도전층(514, 515)으로 피복한 동축구조를 이룬다. 이 구성에 의해, RF 전력의 손실이 적고, 효율이 좋고, 또한 안정하게 바이어스를 피처리기판에 인가하는 것이 가능해진다.
제1실시형태에 있어서, 지주절연층(인슐레이터)(513)으로서 PTFE가 사용된다. 이것은, PTFE의 유전율이 약 2로 낮고, RF 전력의 손실이 적기 때문이다. 즉, 지주절연층(513)에는 저유전율재료를 사용하는 것이 RF 전력의 효율상 유리하다. 마찬가지로, 대절연층(링블럭 및 플레이트블럭)(508, 509)도, 저유전율재료를 이용 하여 RF 전력의 손실을 적게 하는 것이 바람직하다. 단, 이하의 점을 고려해야만 한다.
기판유지구조(50)의 절연층(유전체층)(508, 509, 513)이 배치되는 영역에 있어서, 탑재대(51)측과 지주(52)측을 기밀하게 분리하기 위해서, 플레이트블럭(509)에는, 밀봉 부재(5l1, 5l2)가 배치된다. 즉, 대절연층(508, 509)은 감압상태에서 플라즈마가 생성되는 처리공간(402)과 연통한 공간에 놓여진다. 이 때문에, 대절연층(508, 509)의 재료로서, 방출가스가 많은 매체를 이용하는 것은 바람직하지 못하다. 또한, 대절연층(508, 509)은, 플라즈마의 생성에 기인하여, 온도상승, 저하 등 심한 온도변화의 영향을 받는다.
PTFE는, 석영 등의 치밀한 재료에 비교하여 마이크로인 영역에서 생각하면 다공질이며, 감압상태에서는 방출가스가 많아, 따라서, 진공용기내에서 사용하는 것은 바람직하지 못하다. 또한, PTFE에는, 변형하거나 또는 플라즈마내성이 없기 때문에 에칭되기 쉬운 등의 문제가 있다.
따라서, 대절연층(508, 509)은, 감압용기내에서 방출가스가 적고, 또한 온도히스테리시스에 강하고, 또한 가능한 한 저유전율재료인 것이 바람직하다. 이들을 만족하는 재료로서 석영을 들 수 있고, 대신에, 예컨대 수지재료 등을 사용하는 것도 가능하다. 즉, 대절연층(508, 509)에 석영을 사용하고, 지주절연층(513)에 PTFE에 사용하는 것이 바람직하다.
링블럭(508) 및 전극부(501)의 주변부의 상면(기판(W)을 탑재하는 쪽)에는, 석영 등으로 이루어지는 포커스링(510)이 배치된다. 포커스링(510)은, 처리실내의 플라즈마를 웨이퍼측으로 포커스시켜, 플라즈마가 균일하게 되도록 한다. 포커스링(510)은 또, 링블럭(508) 및 유전체층(503)이, 플라즈마에 의한 손상을 받는 것을 방지한다.
상술한 바와 같이, 전송로(502)의 내부에는, 전극부(50l)에 열교환매체를 공급 또는 배출하는 도입유로(505) 및 배출유로(506)가 형성된다. 이 때문에, 이하에 기술하는 바와 같이, 기판유지구조(50)의 구조를 단순하게 하여, 부품점수를 감함과 동시에 소형화하는 것이 가능해진다.
종래의 기판유지구조에서는, 탑재대에 바이어스를 인가하기 위한 RF의 도입로와, 탑재대에 열교환매체를 도입 또는 배출하는 유로를 별개로 형성한다. 그 때문에, 탑재대의 아래의 영역에는, 각각의 부품의 배치공간이 필요하다. 또, RF 도입로와 열교환매체의 유로의 부품이 각각 필요하며, 부품점수가 많아 구조가 복잡하다. 또한, 탑재대 전체의 사이즈를 크게 하지 않으면 안되기 때문에, 냉각하는 체적이 커지고, 냉각효율이 나쁘다.
제1실시형태에 따른 기판유지구조(50)에서는, 전송로(502)의 내부에, 도입유로(505) 및 배출유로(506)가 형성되는 것에 의해, RF의 도입로 및 열교환매체의 유로의 배치공간을 공유화한다. 이에 따라, 부품점수를 줄여 구조를 단순화하는 것이 가능하게 되고, 또한 배치공간을 작게 하여 기판유지구조를 소형화하는 것이 가능해진다. 예컨대, 도 2에 도시하는 바와 같이 전송로(502), 도입유로(505) 및 배출유로(506)를 포함하는 지주(52)의 직경 Da를 작게 할 수 있다. 그 결과, 지주커버(515)를 포함하는 직경 Db를 작게 하여 기판유지구조(50)를 소형화하는 것이 가능 하게 된다.
전극부(501)에는 RF 전류가 인가되기 때문에, 열교환매체에는, 절연성의 유체가 사용되고, 예컨대 불소계의 유체(가르덴 등)가 이용된다. 이 때문에, 절연성을 확보하면서 탑재대(51)을 거쳐서 피처리기판을 냉각하여, 피처리기판(W)의 온도를 유지하는 것이 가능해진다.
기판유지구조(50)는, 부착링(22l), 링형상의 나사받이(220, 222) 및 체결나사(219)에 의해서, 배기실(202)에 고정된다. 부착링(221)은, 중앙에 전송로(502)가 통과하는 구멍이 마련된 대략 원판형상을 갖는다. 부착링(221)은, 나사(도시하지 않음)에 의해서 전송로(502)에 고정된다. 부착링(221)과 지주커버(515)와의 사이에 절연성의 나사받이(220) 및 금속제의 나사받이(222)가 배치된다. 이들은, 부착링(221)에 형성된 나사 구멍에 나사결합되는 체결나사(219)에 의해서, 지주커버(515)를 상방으로 가압 밀착한다. 체결나사(219)의 체결력에 의해, 기판유지구조(50)의 전송로(502)는 하향, 즉, 쉴드커버(205)측으로 인장된다. 따라서, 전송로(502)와 일체의 전극부(501)가 플레이트블럭(509)에 가압 밀착되고, 또한 플레이트블럭(509)이 대커버(514)에 가압 밀착된다. 그 결과, 전극부(501)와 플레이트블럭(509)과의 사이에 삽입된 밀봉링(511) 및 플레이트블럭(509)과 대커버(514)와의 사이에 삽입된 밀봉링(512)에 의해서 처리공간(402)의 기밀성이 유지된다.
이와 같이, 금속제의 나사를 이용하는 일없이, 기밀유지에 필요한 밀봉을 위한 하중을 밀봉링(511, 512)에 가할 수 있다. 이 때문에, 플라즈마가 여기되는 처리공간(402)에 금속오염원이 없는 상태로, 확실히 처리공간(402)의 기밀성을 유지 할 수 있다.
다시 도 3으로 돌아가면, 이것은 도 2에 나타내는 단면에 대략 직교하는 단면을 나타낸다. 도 3에 도시하는 바와 같이, 전송로(502)의 내부에는, 유전층(504)의 표면과 피처리기판(W)의 사이에, 높은 비율로 열전달을 행하는 가스를 도입하는 가스유로(517)가 형성된다. 플라즈마처리중, 이 열전달가스를 공급하므로써, 탑재대(51)와 피처리기판(W)과의 사이의 열전달율을 향상시켜, 피처리기판(W)를 효율적으로 냉각할 수 있다. 또한, 상술한 바와 같이, 전송로(502)내에는, 절연상태로 연장하는 배선(516)도 배치되고, 이것은, 처리실(20) 밖에 배치된 직류전원(도시하지 않음)에 접속된다. 배선(516)을 통해서, 탑재대(51)상의 정전척의 전극(504)에 전압이 인가됨으로써, 기판(W)이 정전흡착된다.
도 4는, 도 3중의 부분 X를 확대하여 나타내는 단면도이다. 도 4에 도시하는 바와 같이, 가스유로(517)는 탑재대(5l)의 표면에 형성된 복수의 홈(517A)에 연통한다. 예컨대 Ar나 He 등의 열전달가스가 가스유로(517)를 통해서 홈(517A)에 도입된다. 정전척의 전극(504)은, 예컨대 W 등 금속으로 이루어진다. 전극(504)은, 예컨대 Al2O3의 용사막 등으로 이루어지는 상하의 유전체층(503, 518)에 의해서 협지된다.
도 5는, 도 4중의 부분 Z를 확대하여 나타내는 단면도이다. 도 5에 도시하는 바와 같이 배선(516)은, 예컨대 Ti 등의 금속으로 이루어진다. 배선(516)은, 기판유지대(501)에 형성된, 직경 La의 삽입구멍(50la)에 도입된다. 삽입구멍(50la)에 는, 예컨대 빔용접에 의해 Al로 이루어지는 링(50lb)이 배치되고, 배선(516)은 링(50lb)에 형성된 구멍에 부착된다.
배선(516)은 막대형상 배선부(516a)를 포함한다. 막대형상 배선부(516a)상에, 직경이 배선부(516a)보다 큰 원통형의 단차부(516b)가 형성된다. 단차부(516b)상에, 단차부(516b)보다 직경이 작은 원통형의 단차부(516c)가 형성된다. 또한 단차부(516c)상에, 단차부(516c)보다 직경이 작은 원통형의 단차부(5l6d)가 형성된다. 단차부(516b, 516c 및 516d)의 측벽과, 단차부(516b 및 516c)의 전극(504)을 향하는 부분에는, 예컨대 Al2O3용사에 의해 500 ㎛의 절연막(516i)가 형성된다. 전극(504)에 직류전압을 인가하는 경우, 전극(504)에 접하는 단차부(516d)을 거쳐서, 배선(516)에 도입된 직류전압이 인가된다.
배선(516)과 전극부(501)와의 사이의 삽입구멍(50la)의 공간에는, 예컨대 절연수지로 이루어지는 절연층(516f 및 516e)이 충전되어, 배선(516)이 전극부(501)로부터 절연된다. 절연층(516f, 516e) 및 배선(516)은, 예컨대 에폭시계 접착재에 의해, 전극부(501)에 고정된다.
도 6은, 도 2중의 Y-Y 선에 따른 횡단면도이다. 도 6에 도시하는 바와 같이 도입유로(505) 및 배출유로(506)가 전송로(502)의 내부에 형성된다. 열교환매체와 전송로(502)의 단열효과를 높이기 위해서, 도입유로(505) 및 배출유로(506)는 단열재(505A, 506A)로 포위된다. 단열재(505A, 506A)는 저열전달재료, 예컨대 불소계의 수지가 바람직하고, 이것은 이하의 이유에 의한다.
피처리기판이 처리실내에서 플라즈마처리되면, 플라즈마에 의한 열이 발생한다. 이 때문에, 도입유로(505)을 거쳐서 온도 조절 공간(507)에 공급된 저온의 열교환매체는, 고온으로 되어 배출유로(506)에 의해 배출된다. 이 때, 전송로(502)내에서, 도입유로(505) 및 배출유로(506)의 사이에서 열교환이 이루어지면, 전극부(501)의 냉각효율이 저하한다. 도입유로(505) 및 배출유로(506)가 단열재(505A, 506A)에서 포위되면, 배출유로(506)부터의 열이 도입유로(505)에 전해지는 것이 방지되어, 효율적으로 피처리기판(W)의 냉각을 행할 수 있다.
상술한 바와 같이, 전송로(502)의 내부에 도입유로(505), 배출유로(506), 가스유로(517), 직류전압도입배선(516)이 배치된다. 이에 따라, 기판유지구조를 소형화하고, 또한 부품점수를 감소시켜 단순화하여, 제조비용을 저감하는 것이 가능해진다.
피처리기판(W)을 처리하는 방법의 개요는 다음과 같다. 우선, 기판유지구조(50)에 의해서 기판(W)을 유지한다. 다음에, 처리실(20)내에 형성되는 처리공간(402)에, 가스공급부(30)에 의해서 처리가스를 공급한다. 그리고, 여기기구(40)에 의해서 처리가스를 플라즈마화하여, 기판(W)를 플라즈마처리한다.
구체적으로는, 우선, 처리실(20)에 형성된 반송용의 게이트밸브(208)를 개방하고, 피처리기판(W)을 반입하여, 전극부(501)에 탑재한다. 다음에, 게이트밸브(208)를 닫고, 배기구(218)로부터 처리공간(402)을 배기하여 소정의 압력으로 감압한다.
다음에, 밸브(304, 302)를 개방하고, 질량유량콘트롤러(303)에 의해서 유량 을 조정하면서 Ar 공급원(305)으로부터 처리공간(402)에 Ar를 공급한다. 마찬가지로, 밸브(309, 307)를 개방하고, 질량유량콘트롤러(308)에 의해서 유량을 조정하면서 H2공급원(310)으로부터 처리공간(402)에 H2를 공급한다. 다음에, RF 전원(403)으로부터 코일(404)에 RF 전력을 공급하여 벨자(401)내부에 유도결합플라즈마를 여기한다.
플라즈마 처리장치(10)는, 예컨대 반도체장치의 제조공정에 있어서, 피처리기판상에 형성되는 금속막상에 형성되어 버리는 산화막, 혹은 실리콘상에 형성되어 버리는 자연산화막 등의 산화막을 포함하는 불순물층을 제거하는 처리에 이용할 수 있다. 이러한 불순물층을 제거하므로써, 그 후에 형성되는 막과 하지층과의 밀착성이 향상되거나, 또는 그 후에 형성되는 막전기저항값이 내려가는 등의 효과를 얻을 수 있다.
불순물층을 제거하는 경우의 구체적인 조건은 다음과 같다. 예컨대, 압력은 0.1 ~ 13.3 Pa, 바람직하게는 0.1 ~ 2.7 Pa이다. 웨이퍼온도는 100 ~ 500 ℃이다. 가스유량은, Ar가 0.001 ~ 0.03 L/min, H2가 0 ~ 0.06 L/min, 바람직하게는 O ~ O.03 L/min 이다. RF 전원(405)의 주파수는 450 kHz ~ 60 MHz, 바람직하게는 450 kHz ~ 13.56 MHz이다. 바이어스 RF 전원의 전력은 0 ~ 500 W이고, 바이어스전위로 서 -20 ~ -200 V이다. 이러한 조건의 플라즈마에 의해 30초정도 처리하므로써, 예컨대 실리콘산화막(SiO2)이 1O nm 정도 제거된다.
또한, 예컨대, 금속산화막 예컨대 Cu2O를 제거하는 경우의 구체적인 조건은 다음과 같다. 압력은 3.99 ×10-2 ~ 1.33 ×10-1 Pa이다. 웨이퍼온도는 0 ~ 200 ℃이다. 가스유량은, Ar가 0.001 ~ 0.02 L/min, 바람직하게는 0.002 ~ 0.03 L/min, H2가 0 ~ 0.03 L/min, 바람직하게는 O ~ O.02 L/min 이다. RF 전원(405)의 주파수는 450 kHz ~ 60 MHz, 바람직하게는 45 kHz ~ 13.56 MHz 이다. 바이어스 RF 전원의 전력은 50 ~ 300 W이고, 바이어스전위로서 -150 ~ -25 V이다. 이러한 조건의 플라즈마에 의해 30초정도 처리하므로써, 예컨대 Cu2O 막이 20 ~ 60 nm 정도 제거된다.
또한, 상기의 프로세스에 있어서, 이용되는 플라즈마화 RF와, 바이어스 RF의 주파수, 및 각기의 전력의 범위를 도 9에 나타낸다. 또한, 바이어스 RF 에 관해서는, 바이어스전위의 값의 범위에 관해서도 나타낸다.
기판유지구조(50)는, 도 2 ~ 6에 나타낸 내용에 한정되는 것은 아니고, 다양한 변형 및 변경이 가능하다. 도 7a 및 도 7b는, 제1실시형태의 변경예에 따른 기판유지구조를 나타내는 단면부분도이다.
도 7a에 나타내는 기판유지구조(62)에서는, 전극부(501)의 상면(기판(W)에 접하는 쪽)의 포커스링(510)으로 덮어져 있지 않은 범위에만 유전체층(503)이 형성된다. 이와 같이 유전층의 형성부분을 단순하게 함으로써 예컨대 세라믹 용사의 공정수를 감소시켜 제조비용의 저감이 가능해진다. 이와 같이 필요에 따라 전극부(501)를 유전층으로 피복하는 면적이나 형상을 다양하게 변경하는 것이 가능하다.
도 7b에 나타내는 기판유지구조(64)에서는, 포커스링(510A)이, 기판유지구조(50)의 경우의 포커스링(510)에 비교해서 얇다. 포커스링(510A)의 상면(플라즈마에 노출되는 쪽)과 유전층(503)의 상면은 높이에 있어서 동일하다. 이 경우, 특히, 기판(W)의 에지부근에서의 바이어스전위의 불균일성이 개선된다. 그 결과, 기판(W)의 면내에서의 스퍼터 에칭레이트의 균일성이 향상되는 효과를 얻을 수 있다.
또, 포커스링의 재질을 변경하여 유전율을 변경할 수도 있다. 이 경우, 웨이퍼에지 부근에서의 바이어스전위가 변화하기 때문에, 스퍼터 에칭레이트의 면내균일성을 개선할 수 있다.
도 8은, 탑재대에 고주파전력을 인가한 경우의 셀프바이어스전위의 측정결과를 나타내는 그래프이다. 여기서는, 제1실시형태에 따른 기판유지구조(50)를 탑재한 플라즈마 처리장치(10)에 있어서, 기판유지구조(50)에 RF 전력을 인가하고, 기판유지대상에서 셀프바이어스전압(Vdc)을 계측하였다. 또한, 비교를 위해, 종래형의 기판유지구조에 있어서도 Vdc를 측정하였다. 종래형의 기판유지구조에서는, 기판유지구조(50)와 비교하여 RF 전송로가 가늘고, 또한 상술한 바와 같은 동축구조를 이루지 않는 것으로 하였다.
Vdc 측정시의 조건에 관하여, Ar 가스유량은 2.9 sccm으로 하였다. 처리실내의 압력은 0.5 mTorr로 하였다. 탑재대의 온도는, 기판유지구조(50)를 이용한 경우는 실온(20 ~ 30 ℃ 정도), 종래형의 경우 200 ℃로 하였다. 플라즈마밀도는 2.5 ×lO10atoms/cm3가 되도록 하였다. 이를 위해, 플라즈마여기용의 RF 전력은, 기판유지구조(50)를 이용한 경우는 1000 W, 종래형의 경우는 800 W로 하였다.
도 8에 도시하는 바와 같이, 제1실시형태에 따른 기판유지구조(50)의 경우, 종래형에 비교하여, Vdc의 전압이 높게 되었다. 예컨대, 탑재대에 인가하는 RF 전력이 300 W인 경우, Vdc는, 종래형이 126 V인데 대하여, 기판유지구조(50)를 이용한 경우는 162 V로, 대략 1.3배의 전위를 나타내었다.
이 이유로서, 제1실시형태에 따른 기판유지구조(50)에서는, 전송로(502)를 중심도체로 한 동축구조에 의해, RF 전력이 효율적으로 전송될 수 있다고 생각된다. 다른 이유로서, RF 전송로(502) 내부에 도입유로, 배출로, 직류배선, 열전달가스 유로 등을 넣음으로써, RF에 대한 임피던스가 저하하였다고 생각된다. 즉, 후자의 관점에서는, 기판유지구조 전체가 소형화하는 것이 가능해지는 한편, 전송로(502)의 표면적은 증가하여, RF 에 대한 임피던스가 저하한다.
(제2실시형태)
상기의 플라즈마 처리장치(10)에 있어서, 동, 알루미늄 등의 금속표면에 형성되는 금속산화물을 에칭하면, 피처리기판(W)에서 제거된 금속이 비산한다. 비산금속은, 피처리기판(W)의 주위에 있는 절연성의 포커스링(510)의 상면에 피착되어 금속막을 형성한다. 이 금속막이 성장하면, 피처리기판(반도체웨이퍼)(W)과, 접지된 도전성의 대커버(대도전층)(514)와의 사이에 이 금속막을 거친 방전경로가 형성될 가능성이 있다. 이 경우, 금속막상에 대전한 전하가 대커버(514)로 전류로서 흐르기 때문에, 전극부(501)에 공급된 RF 전력에 로스가 발생한다. 이 때문에,자기바이어스의 저하나 방전경로에서의 이상방전에 의해서, 처리효율이 저하하고, 처리의 균일성이 저해되는 등의 문제가 발생한다.
또한, 상기의 금속막이 형성되는 것에 의해 탑재대(51)의 표면의 전자기적 구성에 큰 변화가 발생할 가능성이 있다. 이 경우, 탑재대(51)상의 플라즈마상태도 경시적으로 변화하고, 이것에 의해서 처리프로세스의 재현성이 악화된다. 또한, 포커스링(510)에 도전성의 금속막이 형성되면, 결과적으로 하부전극이 피처리기판(W)보다 큰 면적을 갖는 경우와 실질적으로 동등의 상황이 된다. 이 경우, 자기바이어스가 저하하여, 에칭레이트가 저하하고, 복수의 피처리기판간의 처리의 균일성(면간 균일성)도 나빠진다.
제2실시형태는, 상술한 바와 같은 문제점에 대처하기 위한 플라즈마 처리장치에 관한 것이다. 따라서, 제2실시형태에 따른 장치는, 도전막을 갖는 피처리기판을 처리하는 경우에 효과적인 구성을 갖는다. 이러한 처리로서, 예컨대, Cu, Si, Ti, TiN, TiSi, W, Ta, TaN, WSi, poly-Si 등의 표면에 형성된 산화막을 제거하는 처리를 들 수 있다.
도 10은, 본 발명의 제2실시형태에 따른 반도체처리용의 기판유지구조를 포함하는 플라즈마 처리장치를 나타내는 구성도이다.
도 10에 도시하는 바와 같이 플라즈마 처리장치(70)는 원통형의 처리실(710)을 갖고, 그 내부에 탑재대(720)가 배치된다. 처리실(710)에는, 처리실(710)내에 처리가스를 공급하는 가스공급부(740)가 접속된다. 처리실(710)의 바닥부 중앙에 형성된 배기구(711c)에는, 하측에 돌출하는 대략 원통형의 배기실(71lB)이 기밀하게 접속된다. 배기실(71lB)에는, 제1실시형태와 동일한 형태로, 탑재대(720)를 위한 지주(730)가 동심상으로 배치된다.
배기실(71lB)의 측벽에는, 배기관(716)을 거친 진공펌프 등을 갖는 배기부(도시하지 않음)가 접속된다. 이 배기부에 의해, 처리실(710)내가 배기됨과 동시에, 소정의 진공압력, 예컨대 0.l mTorr ~ 1.0 Torr로 설정가능하게 된다.
처리실(710)은, 도전성 또한 원통형의 하측용기(711)와, 절연성 또한 원통형의 상측용기 또는 벨자(712)와의 조합에 의하여 형성된다. 하측용기(711)는, 예컨대, 알루미늄, 그 합금등의 금속(도전체)으로 이루어진다. 벨자(712)는, 예컨대, 유리, 세라믹(A12O3, AlN)등의 절연체로 이루어진다.
벨자(712)의 주위에는 유도 코일(713)이 권취된다. 유도 코일(713)은, 정합기(752)를 거쳐서 RF 전원(751)에 접속된다. RF 전원(75l)으로부터, 예컨대, 450 kHz의 RF 전력이 코일(713)에 공급되어, 벨자(712)내에 유도전자계가 형성된다. 또, 하측용기(711) 및 코일(713)은 접지된다.
하측용기(711)와 벨자(712)와의 사이에는, 가스공급링(714)이 O 링 등의 씨일재로 기밀하게 형성된다. 가스공급링(714)은, 밸브 및 유량계를 거쳐서, 가스공급부(740)의 가스원(741)(예컨대 Ar 가스), 가스원(742)(예컨대 H2가스)에 접속된다. 가스공급링(714)은, 처리실(710)의 주위에 등간격으로 복수의 가스도입구를 갖는다. 가스도입구는, 가스공급부(740)로부터 공급된 처리가스(플라즈마 생성가스)를, 벨자(712)의 중심을 향해서 균일하게 방출한다.
하측용기(711)의 측벽에는 개구(71la)가 형성되고, 여기에 게이트밸브(715)가 배치된다. 게이트밸브(715)를 개방하므로써, 피처리기판(W)를 처리실(710)내에 대하여 로딩 및 언로딩할 수 있다.
벨자(712)의 정상부에는, 탑재대(720)와 대향하도록, 접지된 상부전극(717)이 배치된다. 상부전극(717)은, 알루마이트처리된 알루미늄등의 도전성재료로 이루어진다. 상부전극(717)은, 탑재대(720)에 배치되는 하부전극의 대향전극으로서 작용하여, 플라즈마의 점화시의 불량을 회피함과 동시에 플라즈마의 점화를 쉽게 하는 역할을 갖는다. 상부전극(717)은, 예컨대 수지 등으로 구성된 완충부재(간격을 두고 배치된 복수의 패드)(717a)를 거쳐서 벨자(712)를 고정함과 동시에 보강한다.
탑재대(720)에는 전극부(하부전극)(721)가 배치된다. 하부전극(721)은, 지주(730)내의 RF 전송로(731), 정합기(754) 등을 거쳐서 RF 전원(753)에 접속된다. RF 전원(753)으로부터, 예컨대, 13.56 MHz의 RF 전력이 하부전극(721)에 공급되고, 피처리기판(W)에 바이어스전위가 인가된다. 또, 하부전극(72l)과 전송로(731)는 제 l 실시형태와 동일 형태로 일체적으로 형성된다.
하부전극(721)내에는, 탑재대(720)의 온도를 조정하기 위한 열교환매체, 예컨대 절연성의 냉각유체를 흘리는 유로로서 열교환매체실(온도 조절 공간)(721a)이 형성된다. 이것에 대하여, 지주(730)의 전송로(731)내에는, 온도 조절 공간(721a)에 열교환매체를 각기 공급 및 배출하기 위한 도입유로(735) 및 배출유로(736)가 형성된다.
도입유로(735) 및 배출유로(736)는, 예컨대 칠러 등의 온도조절기능을 갖는 순환장치(CU)와 접속된다. 순환장치(CU)로부터, 도입유로(735) 및 배출유로(736)를 거쳐서, 탑재대(720)의 온도 조절 공간(721a)에 열교환매체를 순환시킴으로써, 탑 재대(720)의 온도를 소정의 온도로 유지한다. 예컨대, 피처리기판(W)은, -20 ~ 100 ℃의 온도로 제어된다. 온도 조절 공간(721a) 대신에, 탑재대(720)에 임의의 온도제어수단을 마련할 수 있다. 예컨대, 탑재대(720)에 저항가열식의 히터를 내장할 수 있다.
하부전극(721)은, 알루미나 등의 유전체층(절연층)(722)에 의해서 덮여져서, 주위로부터 절연된다. 유전체층(722)은, 피처리기판(W)을 탑재하는 탑재대(720)의 탑재면을 구성한다. 탑재면에 있어서, 유전체층(722)의 내부에는, 유전체층(722)과 협동하여 정전척을 구성하도록, 전극(723)이 삽입된다. 전극(723)은, 전송로(731)내에 절연상태로 연장하는 배선(737)을 거쳐서, 처리실(720) 밖에 배치된 직류전원(155)에 접속된다. 전극(723)에 전압이 인가되는 것에 의해, 피처리기판(W)이 탑재대(720)상에 정전흡착된다.
하부전극(721)의 측면 및 저면은, 석영 등의 유전성 재료로 이루어지는 절연층(725)에 의해서 피복된다. 절연층(725)의 저면 및 측면의 일부는 또한, Al 등의 도전성 재료로 이루어지는 커버(726)에 의해서 피복된다. 하부전극(721)과 절연층(725)과 도전성 커버(726)는 동축구조를 이룬다.
한편, 지주(730)의 전송로(731)도 절연층(732)에 의해서 피복된다. 절연층(732)은 또한, Al 등의 도전성 재료로 이루어지고 또한 도전성 커버(726)에 전기적으로 접속되고 접지된 커버(733)에 의해서 피복된다. 전송로(731)와 절연층(732)과 도전성 커버(733)는 동축구조를 이룬다.
즉, 제2실시형태에 따른 기판유지구조도, 바이어스용의 RF 전원(753)에 접속 된 버섯형상의 도전성 코아(721, 731)를, 절연층(유전체층)(725, 732)으로 피복하고, 또한 이것을 접지된 도전성 커버(726, 733)로 피복한 동축구조를 이룬다. 도전성 커버(726, 733)가 접지되어 있기 때문에, 커버(726, 733)에 유도전자계가 형성되어 전하가 대전하더라도, 전하가 그라운드로 흐른다. 이 때문에, 하부전극(721)에 RF 전력이 인가되었을 때에, 탑재대(720)의 하측의 배기공간내에서 플라즈마가 형성되지 않는다. 이 구성에 의해, RF 전력의 손실이 적고, 효율적으로, 또한 안정하게 바이어스를 피처리기판에 인가하는 것이 가능해진다.
탑재대(720)의 상부 외연에는, 피처리기판(W)을 포위하는 도전성이며 또한 링형상의 연장부재(727)가 배치된다. 연장부재(727)는, 탑재대(720)상에 피처리기판(W)을 탑재한 때에, 피처리기판(W)의 상면과 나란한 (바람직하게는 높이가 동일한) 노출상면을 갖는다. 연장부재(727)는 유전체층(722)에 의해 전극(721)에 대하여 절연된다. 또한, 연장부재(727)는, 절연층(725)에 의해, 혹은, 충분한 간격에 의해, 도전성 커버(726)에 대하여도 절연된다. 제2실시형태에 있어서, 연장부재(727)는 그 주위에 있는 전위가 공급된 모든 부재에 대하여 절연된다. 바꾸어 말하면, 연장부재(727)는 특정의 전위가 공급되어 있지 않은 플로팅 상태로 되어 있다.
도전성의 연장부재(727)는 피처리기판(W)의 주위를 완전히 둘러싸도록 구성되는 것이 바람직하다. 연장부재(727)는, 티탄, 알루미늄, 스테인리스강 등의 금속이나, 저저항실리콘 등의 도전성을 갖는 각종 재료로 구성된다. 바람직하게는, 연장부재(727)는, 도전체가 박리하여 파티클 등이 발생하기 어려운 티탄 또는 그 합금으로 구성된다. 대신에, 연장부재(727)는, 표면에 티탄 또는 그 합금으로 코팅이 이루어진 것이라도 좋다.
처리실(720)의 외측에는, 전동모터나 유체압실린더 등으로 구성되는 구동원(761)이 배치된다. 구동원(761)은, 구동부재(762)를 거쳐서 복수의 리프트핀(763)을 승강 동작시킨다. 리프트핀(763)의 승강에 의해, 피처리기판(W)이 탑재대(720)의 탑재면에 대하여 승강된다. 이것에 의해, 리프트핀(763)은, 탑재대(720)에 대한 피처리기판(W)의 탑재 이송을 어시스트한다.
도 l1은, 도 10에 나타내는 플라즈마 처리장치의 주요부의 구성을 모식적으로 나타내는 개략구성도이다. 플라즈마 처리장치(70)는, 하측용기(711)의 윗쪽을 피복하도록 접속된 도전성의 밀봉박스(719)를 포함한다. 밀봉박스(719)내에 벨자(712) 및 유도 코일(713)이 수용된다. 밀봉박스(719)는 접지되어, 플라즈마의 발광(자외선 등)이나 전자계를 차단하는 기능을 갖는다. 또한, 상부전극(717)은 밀봉박스(719)의 상부의 부재(718)에 지지된다.
상술의 플라즈마 처리장치(70)에 있어서는, 가스공급부(740)로부터 처리가스(예컨대 Ar 가스와 H2가스를 혼합한 혼합가스)가, 가스공급링(714)을 거쳐서 처리실(710)내에 도입된다. 이 때, 배기실(71lB) 및 배기관(716)을 거쳐서 처리실(710)의 내부가 배기되고, 소정압력(진공), 예컨대 0. lmTorr ~ 1.0 Torr로 설정된다. 이 상태에서, 유도 코일(713)에 RF 전력, 예컨대 100 ~ 1000 W가 인가된다. 이것에 의해, 벨자(712)내에서 처리가스가 플라즈마화되고, 피처리기판(W)상에 플라즈마 영역(P)이 형성된다(도 10참조).
탑재대(720)의 전극(721)에 RF 전력이 공급되면, 자기바이어스전압이 발생한다. 이 자기바이어스전압에 의해서 플라즈마중의 이온이 가속되어, 피처리기판(W)의 표면에 충돌하여, 에칭이 행하여진다.
플라즈마 처리장치(70)에 있어서, 피처리기판(W)의 표면상에 있는 금속이나 금속산화물, 예컨대, Cu, Si, Ti, TiN, TiSi, W, Ta, TaN, WSi, poly-Si 등의 표면상의 산화막 등을 에칭한다. 이 경우, 전술한 바와 같이, 피처리기판(W)으로부터 금속이 주위로 비산하여, 주위에 금속막을 형성할 가능성이 있다. 그러나, 제2실시형태에 있어서는, 상술의 금속막은 주로 연장부재(727)의 노출표면상에 형성된다.
도 12는, 도 10에 나타내는 플라즈마 처리장치에 있어서, 연장부재(727)상에 금속막(M)이 형성된 모양을 나타내는 확대부분단면도이다. 도 12에 도시하는 바와 같이 연장부재(727)와 도전성 커버(726)와의 사이에, 방전경로를 충분히 절연하는 갭(728)이 형성된다. 이 때문에, 연장부재(727)에 금속막(M)이 형성된 경우에도, 탑재대(720)의 외주부의 전자기적 환경에 거의 변화는 발생하지 않는다. 또한, 탑재대(720)의 외주부에서 방전경로의 형성이나 이상방전의 문제가 발생하는 일이 없다.
또한, 도전성의 연장부재(727)는 주위의 부재에 대하여 충분히 절연되어 있기 때문에, 연장부재(727)을 거쳐서 전극(721)에 공급된 RF 전력에 의한 전류의 흐름이 발생하지 않는다. 이 때문에, 자기바이어스가 드리프트하여, 장치의 처리파워를 낭비해 버리는 것도 적다.
즉, 제2실시형태에서는, 금속막(M)이 형성되는 것을 예상하여, 도전성의 연 장부재(727)를 처음부터 배치하여, 금속막(M)이 형성되더라도, 기판(W)의 주위의 전자기적 상황이 거의 변화하지 않도록 하고 있다. 이에 따라, 복수의 기판에 대한 처리의 균일성(면간 균일성)을 향상시킬 수 있다.
상기의 전자기적 배려의 하나는, 연장부재(727)와 도전성 커버(726)와의 사이의 절연성에 관한 것이다. 탑재대의 커버(726)의 상단부가 연장부재(727)와 근접하면, 전극(721)에 인가된 전력파워의 리크가 크고, 효율적이고 안정적으로 처리를 행할 수 없다. 도 12에 도시된 구조에서는, 커버(726)와 연장부재(727)와의 갭(728)을 통한 거리(S)를 충분히 확보하고 있다.
특히, 제2실시형태에서는, 하부전극(721)과 커버(726)와의 사이의 임피던스 Z1에 대하여, 연장부재(727)과 커버(726)와의 사이의 임피던스 Z2가 커지도록 구성된다. 이들의 임피던스값은 하부전극(721)에 인가되는 RF의 주파수를 기준으로 한다. 이 구성에 의해, 전극(721)에 인가된 RF 전력에 의한 전류가 연장부재(727)를 거쳐서 흐르는 것을 저감(실질적으로 없게)할 수 있다. 바꾸어 말하면, 연장부재(727)를 마련한 것에 의한 전극(721)과 커버(726)와의 사이의 임피던스의 변화가 거의 없고, 방전경로도 거의 형성되지 않는다.
또, 도전성 커버(726)와 연장부재(727)와의 절연저항(임피던스)을 충분히 확보하는 방법으로서는, 갭(728)에 절연체(유전체)를 배치하여, 그 유전율이나 형상을 설계하는 방법도 있다. 예컨대, 도 12중에 점선으로 나타내는 갭(728)내에 유전체를 배치함으로써, 커버(726)와 연장부재(727)와의 사이에 배치된 절연물질의 실질적인 유전율이 변화한다. 즉, 갭(728)에 절연체를 배치하므로써, 양자간의 임피 던스를 변화시킬 수 있으므로, Z1에 대하여 Z2가 커지도록 설계하는 것도 가능하게 된다. 이와 같이 하면, 방전경로는 형성되지 않고, 안정하게 처리할 수 있다.
또한, 제2실시형태에서는, 도전성의 연장부재(727)의 노출표면이 피처리기판(W)의 표면과 나란하도록 (바람직하게는 높이가 동일하도록) 구성하여, 탑재대(720)의 전극(721)의 표면적을 실질적으로 증대시키고 있다. 즉, 전극(721)의 표면적이 π·(Dl)2인데 대하여, 연장부재(727)에 의해, 전극(721)의 표면적이 π·(D2)2가 된 경우와 동일한 전자기적 환경이 제공된다. 여기서, D1은 전극(721)의 반경(대상물의 면적과 동일한 면적을 갖는 가상원의 반경)이고, D2는 연장부재(727)의 외연형상에 상당하는 반경이다.
도 13A 및 도 13B는, 플라즈마 처리장치에 있어서, 탑재대(720)의 전극면적을 A1, A2로 하고, 각기의 자기바이어스전압을 V1, V2로 했을 때의 탑재대(720)의 등가회로를 간략화하여 도시하는 도면이다. 여기서, 전극면적 A1 = π·(Dl)2이며, 전극면적 A2 = π·(D2)2으로서, A1 < A2로 되어있다. 이 경우, 전극면적과 자기바이어스전압과의 사이에는 이하의 관계가 성립한다.
(V2/V1) = (A1/A2)4 ‥‥‥(1)
즉, 상기한 바와 같이 Al < A2이면 V 1 >> V2로 되어, 전극면적이 증가하면 자기바이어스전압은 급격히 감소한다. 따라서, 연장부재(727)가 배치되어 있지 않은 경우에는 처리가 진행하여 금속막(M)이 피착하는 것에 의해 탑재대의 실효적인 전극면적이 증대하여 간다. 이 때문에, 점차로 자기바이어스전압이 저하하여 처리상태가 변화하여 간다. 이것에 대하여 제2실시형태의 경우에는, 최초의 기판의 처리개시 시점으로부터 연장부재(727)의 존재에 의해 도 13B에 나타내는 상태로 되어있다. 더구나, 처리가 진행하여 금속막(M)이 피착되더라도 실효적인 전극면적은 거의 변화하지 않는다. 따라서, 자기바이어스전압도 거의 변화하지 않고, 안정한 처리를 행할 수 있다. 또, 연장부재(727)를 탑재대(720)에 대하여 착탈자유롭게 구성해 놓은 것에 의해, 연장부재(727)를 용이하게 교환할 수 있다. 이 경우, 장치의 유지 보수를 간단히 실행할 수 있게 된다.
도 14는, 제2실시형태의 변경예에 따른 플라즈마 처리장치의 확대부분단면도이다. 이 변경예는, 도 12에 나타내는 구조보다도, 하부전극(72l)에 대한 전력파워의 리크가 감소함과 동시에, 부생성물의 금속막에 의해 도전성 커버(726)와 연장부재(727)가 단락할 가능성이 낮은 구성을 갖는다.
구체적으로는, 도 14에 도시하는 바와 같이, 절연층(725)의 두께와 도전성 커버(726)의 상단의 위치와의 관계에 있어서, L < T의 관계가 성립하도록 구성된다. 여기서, L은 절연층(725)의 측면에 있어서의 절연층(725)의 바닥부와 커버(726)의 상단과의 사이의 레벨차이다. 또한, T는 하부전극(721)의 바닥부와 커버(726)의 바닥부와 사이의 절연층(725)의 두께이다. 환언하면, 절연층(725)의 측면에 있어서, 도전성 커버(726)의 상단은, 하부전극(721)의 바닥부보다도 밑에 위치 하는 것으로 된다.
이상, 본 발명을 바람직한 실시형태에 대하여 설명했지만, 본 발명은 상기의 특정한 실시형태에 한정되는 것이 아니라, 특허청구의 범위에 기재한 요지내에서 여러가지 변형ㅇ변경이 가능하다. 예컨대, 제1 및 제2실시형태에서는, 플라즈마 에칭장치에 대하여 설명했지만, 본 발명은, 플라즈마 성막장치나 플라즈마 애싱장치 등에 대해서도 동일하게 적용가능하다. 피처리기판으로서는, 반도체웨이퍼에 한정되지 않고, 유리 기판, LCD 기판 등이더라도 좋다.
본 발명에 의하면, 소형화 및 비용 절감이 가능한 반도체처리용의 기판유지구조 및 플라즈마 처리장치를 제공할 수 있다.

Claims (32)

  1. 반도체처리용의 기판유지구조에 있어서,
    피처리기판을 탑재하는 탑재대와,
    상기 탑재대의 하방으로 연재하여 배치되고, 상기 탑재대를 지지하는 지주를 구비하고,
    상기 탑재대는,
    전극부와,
    상기 전극부의 외주를 덮는 제 1 절연층과,
    상기 전극부의 바닥면을 덮는 제 2 절연층과,
    상기 제 1 및 제 2 절연층을 덮는 제 1 도전층을 구비하고,
    상기 지주는,
    상기 전극부에 고주파전력을 공급하는 도전성 전송로와,
    상기 전송로의 외주를 덮는 제 3 절연층과,
    상기 제 3 절연층의 외주를 덮는 제 2 도전층을 구비하고,
    상기 탑재대의 상기 전극부와 상기 제 1 및 제 2 절연층과 상기 제 1 도전층은 동축구조를 형성하고, 또한 상기 지주의 상기 도전성 전송로와 상기 제 3 절연층과 상기 제 2 도전층은 동축구조를 형성하고, 또한 상기 전극부와 상기 도전성 전송로는 일체적으로 형성되고, 또한 제 1 및 제 2 도전층은 전기적으로 접속되고,
    또한, 상기 전극부내에 열교환매체를 공급하는 제 1 유로가 형성되고, 또한 상기 도전성 전송로내에 상기 제 1 유로에 연통된 제 2 유로가 형성되는
    반도체처리용의 기판유지구조.
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 제 1항에 있어서,
    상기 전송로내에 형성되고 또한 상기 탑재대와 상기 기판과의 사이에 열전달가스를 공급하는 가스유로를 또한 구비하는
    반도체처리용의 기판유지구조.
  9. 제 1항에 있어서,
    상기 탑재대상에 배치되고 또한 상기 기판을 상기 탑재대에 대하여 정전흡착하는 정전척을 또한 구비하는
    반도체처리용의 기판유지구조.
  10. 제 9항에 있어서,
    상기 전송로내에 전기적으로 분리된 상태로 배치되고 또한 상기 정전척에 대하여 직류전압을 인가하는 배선을 또한 구비하는
    반도체처리용의 기판유지구조.
  11. 플라즈마 처리장치에 있어서,
    피처리기판을 수용하는 기밀인 처리실과,
    상기 처리실내에 처리가스를 공급하는 가스공급부와,
    상기 처리실내를 배기하는 배기부와,
    상기 처리실내에 배치된, 상기 기판을 탑재하는 탑재대와,
    상기 탑재대의 하방으로 연재하여 배치되고 상기 탑재대를 지지하는 지주를 구비하고,
    상기 탑재대는,
    전극부와,
    상기 전극부의 외주를 덮는 제 1 절연층과,
    상기 전극부의 바닥면을 덮는 제 2 절연층과,
    상기 제 1 및 제 2 절연층을 덮는 제 1 도전층을 구비하고,
    상기 지주는,
    상기 전극부에 고주파전력을 공급하는 도전성 전송로와,
    상기 전송로의 외주를 덮는 제 3 절연층과,
    상기 제 3 절연층의 외주를 덮는 제 2 도전층을 구비하고,
    상기 탑재대의 상기 전극부와 상기 제 1 및 제 2 절연층과 상기 제 1 도전층은 동축구조를 형성하고, 또한 상기 지주의 상기 도전성 전송로와 상기 제 3 절연층과 상기 제 2 도전층은 동축구조를 형성하고, 또한 상기 전극부와 상기 도전성 전송로는 일체적으로 형성되고, 또한 제 1 및 제 2 도전층은 전기적으로 접속되고,
    또한, 상기 전극부내에 열교환매체를 공급하는 제 1 유로가 형성되고, 또한 상기 도전성 전송로내에 상기 제 1 유로에 연통된 제 2 유로가 형성되는
    플라즈마 처리장치.
  12. 삭제
  13. 제 11항에 있어서,
    상기 처리실의 하부에, 상기 처리실보다도 평면윤곽이 충분히 작은 배기실이 상기 지주를 포위하도록 접속되고, 상기 배기부는, 상기 배기실과 상기 지주와의 사이의 공간을 거쳐서 상기 처리실내를 배기하는 것을 구비하는
    플라즈마 처리장치.
  14. 제 11항에 있어서,
    상기 제 2 도전층은 접지되는
    플라즈마 처리장치.
  15. 삭제
  16. 제 11항에 있어서,
    상기 제 2 유로는, 상기 열교환매체를 순환시키는 순환장치에 접속되는
    플라즈마 처리장치.
  17. 삭제
  18. 제 11항에 있어서,
    상기 전송로내에 형성되고 또한 상기 탑재대와 상기 기판과의 사이에 열전달가스를 공급하는 가스유로를 또한 구비하는
    플라즈마 처리장치.
  19. 제 11항에 있어서,
    상기 탑재대상에 배치되고 또한 상기 기판을 상기 탑재대에 대하여 정전흡착하는 정전척을 또한 구비하는
    플라즈마 처리장치.
  20. 제 19항에 있어서,
    상기 전송로내에 전기적으로 분리된 상태로 배치되고 또한 상기 정전척에 대하여 직류전압을 인가하는 배선을 또한 구비하는
    플라즈마 처리장치.
  21. 플라즈마 처리장치에 있어서,
    피처리기판을 수용하는 기밀인 처리실과,
    상기 처리실내에 처리가스를 공급하는 가스공급부와,
    상기 처리실내를 배기하는 배기부와,
    상기 처리실내에 배치된, 상기 기판을 탑재하는 탑재대와,
    상기 탑재대상에 탑재된 상기 기판을 포위하고 또한 상기 기판의 표면과 나란한 표면을 갖는 도전성의 연장부재를 구비하고,
    상기 탑재대는, 고주파전력이 인가되는 전극부와, 상기 전극부의 저면 및 측면을 덮는 대절연층과, 상기 대절연층의 저면 및 측면의 적어도 일부를 덮고 또한 상기 지주도전층과 전기적으로 접속된 대도전층을 구비하고, 상기 전극부와 상기 대절연층과 상기 대도전층은 동축구조를 이루는 것과,
    상기 연장부재는, 상기 전극부 및 상기 대도전층과 전기적으로 절연된 상태로 상기 대절연층상에 배치되고, 또한 상기 대절연층의 상기 측면에 있어서, 상기 대도전층의 상단은, 상기 전극부의 바닥부보다도 밑에 위치하도록 배치되고, 상기 연장부재와 상기 대도전층과의 사이의 임피던스는, 상기 전극부와 상기 대도전층과의 사이의 임피던스보다도 커지도록 설정되는
    플라즈마 처리장치.
  22. 삭제
  23. 제 21항에 있어서,
    상기 처리실은, 상기 탑재대를 수용하는 도전성의 하측용기와, 상기 하측용기의 위에 배치되고 또한 상기 탑재대의 상방에 플라즈마 생성공간을 형성하는 절연성의 상측용기를 구비하고, 상기 플라즈마 생성공간내에 유도전자계를 형성하는 유도 코일이 상기 상측용기를 포위하도록 배치되는
    플라즈마 처리장치.
  24. 제 21항에 있어서,
    상기 탑재대를 지지하는 지주를 또한 구비하고, 상기 지주는, 고주파전력을 상기 탑재대에 도입하는 도전성의 전송로와, 상기 전송로를 덮는 지주절연층과, 상기 지주절연층을 덮고 또한 상기 대도전층에 전기적으로 접속되고 또한 접지된 지주 도전층을 더 구비하고, 상기 전송로와 상기 지주절연층과 상기 지주도전층은 동축구조를 이루는
    플라즈마 처리장치.
  25. 제 24항에 있어서,
    상기 전송로는 상기 전극부와 일체적으로 형성되는
    플라즈마 처리장치.
  26. 제 1항에 있어서,
    상기 탑재대 및 상기 지주의 내부에 있어서, 상기 탑재대측과 상기 지주측을 기밀하게 분리하기 위해, 상기 전극부와 상기 제 2 절연층과의 사이에 제 1 씨일부재가 배치되고, 상기 제 2 절연층과 상기 제 1 도전층과의 사이에 제 2 씨일 부재가 배치되는
    반도체처리용의 기판유지구조.
  27. 제 10항에 있어서,
    상기 배선은, 상기 정전척에 접속되는 부분에, 직경이 큰 바닥부와 상기 정전척의 전극층을 향하여 직경을 축소하는 정수리부를 갖는 대략 원추형의 확대직경머리부를 구비하고, 상기 확대직경머리부는 용사절연막에 의해 피복되는
    반도체처리용의 기판유지구조.
  28. 제 27 항에 있어서,
    상기 확대직경머리부는 직경이 다른 복수의 부분을 포갠 형상을 갖는
    반도체처리용의 기판유지구조.
  29. 제 9항에 있어서,
    상기 정전척은 전극층의 상하를 절연층으로 끼운 구조를 이루고, 상기 정전척의 상기 전극층 및 상기 절연층이 일체적으로 요철을 이루는 것에 의해, 상기 정전척의 표면에 홈이 형성되고, 또한 상기 전송로내에 형성되고 또한 상기 탑재대와 상기 기판 사이에 열전달가스를 공급하는 가스유로가 상기 홈에 접속되는
    반도체처리용의 기판유지구조.
  30. 제 1항에 있어서,
    상기 제 2 유로는, 상기 도전성 전송로내에 배설된 단열성튜브내에 형성되는
    반도체처리용의 기판유지구조.
  31. 제 1항에 있어서,
    상기 제 1 및 제 2 절연층은, 석영 및 수지를 포함하는 저유전율재료의 군으로부터 선택된 재료로 이루어지는
    반도체처리용의 기판유지구조.
  32. 제 1항에 있어서,
    상기 제 3 절연층은, 수지를 포함하는 저유전율재료의 군으로부터 선택된 재료로 이루어지는
    반도체처리용의 기판유지구조.
KR1020057016665A 2003-03-12 2003-12-26 반도체처리용의 기판유지구조 및 플라즈마 처리장치 KR100752800B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP2003066165A JP4381699B2 (ja) 2003-03-12 2003-03-12 プラズマ処理装置
JPJP-P-2003-00066165 2003-03-12
JPJP-P-2003-00140389 2003-05-19
JP2003140389A JP4219734B2 (ja) 2003-05-19 2003-05-19 基板保持機構およびプラズマ処理装置
PCT/JP2003/016960 WO2004082007A1 (ja) 2003-03-12 2003-12-26 半導体処理用の基板保持構造及びプラズマ処理装置

Publications (2)

Publication Number Publication Date
KR20050106506A KR20050106506A (ko) 2005-11-09
KR100752800B1 true KR100752800B1 (ko) 2007-08-29

Family

ID=32992956

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057016665A KR100752800B1 (ko) 2003-03-12 2003-12-26 반도체처리용의 기판유지구조 및 플라즈마 처리장치

Country Status (4)

Country Link
US (1) US7837828B2 (ko)
KR (1) KR100752800B1 (ko)
CN (1) CN100388434C (ko)
WO (1) WO2004082007A1 (ko)

Families Citing this family (176)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4950688B2 (ja) * 2006-03-13 2012-06-13 東京エレクトロン株式会社 載置装置
US20080259236A1 (en) * 2007-04-13 2008-10-23 Saint-Gobain Ceramics & Plastics, Inc. Electrostatic dissipative stage and effectors for use in forming lcd products
US20080257380A1 (en) * 2007-04-16 2008-10-23 Saint-Gobain Ceramics & Plastics, Inc. Process of cleaning a substrate for microelectronic applications including directing mechanical energy through a fluid bath and apparatus of same
KR101486755B1 (ko) * 2008-03-04 2015-01-28 주식회사 미코 기판 지지 장치 및 이를 포함하는 기판 처리 장치
JP4450106B1 (ja) * 2008-03-11 2010-04-14 東京エレクトロン株式会社 載置台構造及び処理装置
US20090274590A1 (en) * 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma reactor electrostatic chuck having a coaxial rf feed and multizone ac heater power transmission through the coaxial feed
US20100018648A1 (en) * 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US8734664B2 (en) 2008-07-23 2014-05-27 Applied Materials, Inc. Method of differential counter electrode tuning in an RF plasma reactor
US9543181B2 (en) * 2008-07-30 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Replaceable electrostatic chuck sidewall shield
TWM361113U (en) * 2008-12-03 2009-07-11 Wistron Neweb Corp Assembly of satellite receiver and filter, and connector to reinforce the bonding tightness of the two electronic components and to function as the grounding medium of the two electronic components
JP5250408B2 (ja) * 2008-12-24 2013-07-31 新光電気工業株式会社 基板温調固定装置
WO2010101191A1 (ja) * 2009-03-03 2010-09-10 東京エレクトロン株式会社 載置台構造、成膜装置、及び、原料回収方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
TWI534940B (zh) * 2010-09-08 2016-05-21 恩特格林斯公司 高傳導靜電夾盤
US9123762B2 (en) * 2010-10-22 2015-09-01 Applied Materials, Inc. Substrate support with symmetrical feed structure
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9153463B2 (en) * 2011-11-25 2015-10-06 Nhk Spring Co., Ltd. Substrate support device
US10276410B2 (en) 2011-11-25 2019-04-30 Nhk Spring Co., Ltd. Substrate support device
JP5905735B2 (ja) * 2012-02-21 2016-04-20 東京エレクトロン株式会社 基板処理装置、基板処理方法及び基板温度の設定可能帯域の変更方法
US9706605B2 (en) * 2012-03-30 2017-07-11 Applied Materials, Inc. Substrate support with feedthrough structure
US9404176B2 (en) 2012-06-05 2016-08-02 Applied Materials, Inc. Substrate support with radio frequency (RF) return path
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
JP5807160B2 (ja) * 2012-12-13 2015-11-10 パナソニックIpマネジメント株式会社 ノンプラズマドライエッチング装置
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
JP6224366B2 (ja) * 2013-07-12 2017-11-01 東京エレクトロン株式会社 支持部材及び基板処理装置
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US20150083042A1 (en) * 2013-09-26 2015-03-26 Applied Materials, Inc. Rotatable substrate support having radio frequency applicator
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
CN103757595B (zh) * 2014-01-17 2015-10-28 北京交通大学 一种磁控溅射镀膜机传热装置
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US20150221481A1 (en) * 2014-01-31 2015-08-06 Michael D. Willwerth Electrostatic chuck with magnetic cathode liner for critical dimension (cd) tuning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
JP2015162266A (ja) * 2014-02-26 2015-09-07 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
JP5962833B2 (ja) 2015-01-16 2016-08-03 Toto株式会社 静電チャック
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) * 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP6350380B2 (ja) * 2015-04-28 2018-07-04 信越化学工業株式会社 希土類磁石の製造方法
JP6483546B2 (ja) * 2015-06-24 2019-03-13 トヨタ自動車株式会社 プラズマ化学気相成長装置
US20160379806A1 (en) * 2015-06-25 2016-12-29 Lam Research Corporation Use of plasma-resistant atomic layer deposition coatings to extend the lifetime of polymer components in etch chambers
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6552429B2 (ja) * 2016-02-05 2019-07-31 東京エレクトロン株式会社 プラズマ処理装置
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
KR101694754B1 (ko) * 2016-09-08 2017-01-11 (주)브이앤아이솔루션 정전척 및 그 제조방법
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
KR101958636B1 (ko) * 2016-10-31 2019-03-18 세메스 주식회사 기판 지지 장치 및 이를 가지는 기판 처리 설비, 그리고 기판 처리 방법
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
JP6858656B2 (ja) * 2017-06-26 2021-04-14 東京エレクトロン株式会社 給電部材及び基板処理装置
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
JP6865128B2 (ja) * 2017-07-19 2021-04-28 東京エレクトロン株式会社 プラズマ処理装置
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
CN110402481B (zh) * 2017-10-17 2023-07-21 株式会社爱发科 被处理体的处理装置
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US20210043490A1 (en) * 2018-01-31 2021-02-11 Lam Research Corporation Electrostatic chuck (esc) pedestal voltage isolation
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11086233B2 (en) 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
JP7333346B2 (ja) * 2018-06-08 2023-08-24 アプライド マテリアルズ インコーポレイテッド プラズマ化学気相堆積チャンバ内の寄生プラズマを抑制する装置
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
KR102608957B1 (ko) * 2018-08-27 2023-12-01 삼성전자주식회사 플라즈마 처리 장치
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN111383882B (zh) * 2018-12-27 2023-03-10 中微半导体设备(上海)股份有限公司 等离子体处理装置及用于该处理装置的基片支座
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7254542B2 (ja) * 2019-02-01 2023-04-10 東京エレクトロン株式会社 載置台及び基板処理装置
JP2021026855A (ja) * 2019-08-01 2021-02-22 東京エレクトロン株式会社 プラズマ処理装置及び制御方法
US20210210355A1 (en) * 2020-01-08 2021-07-08 Tokyo Electron Limited Methods of Plasma Processing Using a Pulsed Electron Beam
US11615966B2 (en) 2020-07-19 2023-03-28 Applied Materials, Inc. Flowable film formation and treatments
US11887811B2 (en) * 2020-09-08 2024-01-30 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
US11699571B2 (en) * 2020-09-08 2023-07-11 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
US11863089B2 (en) 2021-03-26 2024-01-02 Applied Materials, Inc. Live measurement of high voltage power supply output
US20220319896A1 (en) * 2021-04-02 2022-10-06 Applied Materials, Inc. Rotating biasable pedestal and electrostatic chuck in semiconductor process chamber

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000286235A (ja) * 1999-03-30 2000-10-13 Tokyo Electron Ltd プラズマ処理装置
US20010021571A1 (en) * 2000-03-13 2001-09-13 Yutaka Koma Semiconductor wafer processing apparatus
JP2001308077A (ja) * 2000-04-27 2001-11-02 Toshiba Corp 半導体製造装置
WO2002023609A1 (fr) * 2000-09-14 2002-03-21 Tokyo Electron Limited Procede de gravure de silicium a vitesse elevee

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2601170A1 (de) * 1976-01-14 1977-07-28 Hoechst Ag Verfahren und vorrichtung zur umwandlung von sonnenenergie in nutzwaerme
JPS57149734A (en) * 1981-03-12 1982-09-16 Anelva Corp Plasma applying working device
JPS6060060A (ja) * 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
US5673750A (en) * 1990-05-19 1997-10-07 Hitachi, Ltd. Vacuum processing method and apparatus
US5234527A (en) * 1990-07-20 1993-08-10 Tokyo Electron Limited Liquid level detecting device and a processing apparatus
JP3260168B2 (ja) 1991-07-23 2002-02-25 東京エレクトロン株式会社 プラズマ処理装置
JP3205878B2 (ja) 1991-10-22 2001-09-04 アネルバ株式会社 ドライエッチング装置
US5803977A (en) 1992-09-30 1998-09-08 Applied Materials, Inc. Apparatus for full wafer deposition
JP3204836B2 (ja) 1994-03-25 2001-09-04 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
JPH07335732A (ja) 1994-06-14 1995-12-22 Tokyo Electron Ltd 静電チャック、これを用いたプラズマ処理装置及びこの製造方法
JP3216960B2 (ja) * 1994-09-19 2001-10-09 株式会社日立製作所 空気調和機の室外機、室内機及びそれらに用いられる冷媒分配器
JP3162955B2 (ja) 1995-06-13 2001-05-08 東京エレクトロン株式会社 プラズマ処理装置
JPH09191003A (ja) 1996-01-12 1997-07-22 Matsushita Electric Ind Co Ltd ドライエッチング方法とその装置
JP3320605B2 (ja) 1996-01-29 2002-09-03 東京エレクトロン株式会社 プラズマ処理装置
JPH09275132A (ja) 1996-04-03 1997-10-21 Hitachi Ltd 静電吸着装置,ウエハ脱離方法、及びウエハ処理装置
JP3454023B2 (ja) 1996-06-28 2003-10-06 ソニー株式会社 ホログラフィックステレオグラム用記録媒体、ホログラフィックステレオグラムおよびその作製方法ならびにこれに用いるホログラフィックステレオグラム作製装置
US6529362B2 (en) * 1997-03-06 2003-03-04 Applied Materials Inc. Monocrystalline ceramic electrostatic chuck
US5861086A (en) 1997-03-10 1999-01-19 Applied Materials, Inc. Method and apparatus for sputter etch conditioning a ceramic body
JP3779080B2 (ja) 1998-12-14 2006-05-24 東京エレクトロン株式会社 処理装置及び処理システム
US6402848B1 (en) * 1999-04-23 2002-06-11 Tokyo Electron Limited Single-substrate-treating apparatus for semiconductor processing system
JP2001068538A (ja) 1999-06-21 2001-03-16 Tokyo Electron Ltd 電極構造、載置台構造、プラズマ処理装置及び処理装置
JP3411539B2 (ja) * 2000-03-06 2003-06-03 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
JP2001257247A (ja) 2000-03-13 2001-09-21 Disco Abrasive Syst Ltd 半導体ウェハの加工装置
JP4744671B2 (ja) 2000-05-22 2011-08-10 東京エレクトロン株式会社 枚葉式処理装置
JP4660926B2 (ja) * 2001-01-09 2011-03-30 東京エレクトロン株式会社 枚葉式の処理装置
US20020170677A1 (en) * 2001-04-07 2002-11-21 Tucker Steven D. RF power process apparatus and methods
JP2003045952A (ja) 2001-05-25 2003-02-14 Tokyo Electron Ltd 載置装置及びその製造方法並びにプラズマ処理装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000286235A (ja) * 1999-03-30 2000-10-13 Tokyo Electron Ltd プラズマ処理装置
US20010021571A1 (en) * 2000-03-13 2001-09-13 Yutaka Koma Semiconductor wafer processing apparatus
JP2001308077A (ja) * 2000-04-27 2001-11-02 Toshiba Corp 半導体製造装置
WO2002023609A1 (fr) * 2000-09-14 2002-03-21 Tokyo Electron Limited Procede de gravure de silicium a vitesse elevee

Also Published As

Publication number Publication date
US20060005930A1 (en) 2006-01-12
US7837828B2 (en) 2010-11-23
WO2004082007A1 (ja) 2004-09-23
CN100388434C (zh) 2008-05-14
CN1759473A (zh) 2006-04-12
KR20050106506A (ko) 2005-11-09

Similar Documents

Publication Publication Date Title
KR100752800B1 (ko) 반도체처리용의 기판유지구조 및 플라즈마 처리장치
KR0159178B1 (ko) 플라즈마처리 장치와 플라즈마처리 방법
US5683537A (en) Plasma processing apparatus
US6149760A (en) Plasma processing apparatus
US5494522A (en) Plasma process system and method
US8152925B2 (en) Baffle plate and substrate processing apparatus
US7767055B2 (en) Capacitive coupling plasma processing apparatus
US8592712B2 (en) Mounting table structure and plasma film forming apparatus
US8191505B2 (en) Process gas introducing mechanism and plasma processing device
US5346578A (en) Induction plasma source
KR0151769B1 (ko) 플라즈마 에칭장치
US8513563B2 (en) Plasma processing apparatus and plasma processing method
US9324600B2 (en) Mounting table structure and plasma film forming apparatus
TWI408744B (zh) Plasma processing device and plasma processing method
TW201931428A (zh) 具有低頻射頻功率分佈調節功能的等離子反應器
US8261691B2 (en) Plasma processing apparatus
JPH1055983A (ja) ファラデー・スパッタ・シールドを有する誘導結合されたプラズマリアクタ
KR20010006005A (ko) 처리장치
KR102218686B1 (ko) 플라스마 처리 장치
JP4219734B2 (ja) 基板保持機構およびプラズマ処理装置
WO2019244631A1 (ja) 載置台及び基板処理装置
JP4137419B2 (ja) プラズマ処理装置
US11923228B2 (en) Stage and plasma processing apparatus
JP2000331996A (ja) プラズマ処理装置
KR100889433B1 (ko) 플라즈마 처리 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120802

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20130801

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140808

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150716

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20160721

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20170720

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20180801

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20190730

Year of fee payment: 13