KR0151769B1 - 플라즈마 에칭장치 - Google Patents

플라즈마 에칭장치 Download PDF

Info

Publication number
KR0151769B1
KR0151769B1 KR1019950004361A KR19950004361A KR0151769B1 KR 0151769 B1 KR0151769 B1 KR 0151769B1 KR 1019950004361 A KR1019950004361 A KR 1019950004361A KR 19950004361 A KR19950004361 A KR 19950004361A KR 0151769 B1 KR0151769 B1 KR 0151769B1
Authority
KR
South Korea
Prior art keywords
substrate
etching
plasma
gas
main surface
Prior art date
Application number
KR1019950004361A
Other languages
English (en)
Other versions
KR950027985A (ko
Inventor
마고또 하세가와
히로미 사기마
히로미쓰 간바라
요시오 이시가와
야스오 이마무라
마고또 아오키
Original Assignee
이노우에 아끼라
도꾜일렉트론 가부시끼가이샤
사또우 후미오
가부시끼가이샤 도시바
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 이노우에 아끼라, 도꾜일렉트론 가부시끼가이샤, 사또우 후미오, 가부시끼가이샤 도시바 filed Critical 이노우에 아끼라
Publication of KR950027985A publication Critical patent/KR950027985A/ko
Application granted granted Critical
Publication of KR0151769B1 publication Critical patent/KR0151769B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/915Differential etching apparatus including focus ring surrounding a wafer for plasma apparatus

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

본 발명은 피처리기판의 표면 전체에 걸쳐서 균일한 에칭비율 및 에칭이방성이 얻어지는 플라스마 에칭장치를 제공하기 위한 것으로, 할로겐원소를 함유하는 가스의 플라스마를 이용하여 웨이퍼상의 WSi막을 에칭하는 장치는 상하대향전극(42,14)이 배설된 진공처리실(12)을 포함하고, 하측전극인 서셉터(14) 중앙의 테이블(18)상에 정전척(22)이 배설되고 이 위에 웨이퍼가 유지되며, 서셉터의 플랜지(16)상에는 웨이퍼를 상보상태로 포위하는 포커스링(102)이 배치되고, 플라스마 생성중 웨이퍼표면의 온도는 포커스링(102)의 표면 온도보다 낮아지도록 설정되고, 포커스링(102)은 비정질카본제의 내측부품(104)과 텅스텐제의 외측부품(106)으로 구성되며, 플라스마 생성중 외측부품(106)에서 발생하는 텅스텐의 할로겐화물이 웨이퍼 표며상에 확산하고, 웨이퍼 표면상에 있어서 텅스텐의 할로겐화물의 양의 분포를 보정하는 것에 의해 에칭비율 및 에칭이방성의 웨이퍼 균일성이 향상한다.

Description

플라스마 에칭장치
제1도는 본 발명의 플라스마 에칭장치의 제1실시예를 도시하는 개략단면도.
제2도는 제1도에 도시하는 장치의 웨이퍼와 포커스링과의 관계를 도시하는 평면도.
제3도는 제1도에 도시하는 장치를 내장한 에칭 시스템을 도시하는 개략단면도.
제4도는 제1도에 도시하는 장치의 서셉터 및 포커스링의 부분확대 단면도.
제5도는 각종 포커스링 샘플을 이용하여 실행한 에칭처리의 실험결과를 도시하는 그래프.
제6도는 포커스링의 변경예를 도시하는 부분확대단면도.
제7도는 포커스링의 다른 변경예를 도시하는 부분확대단면도.
제8도는 본 발명의 플라스마 에칭장치의 제2실시예를 도시하는 개략단면도.
제9a도~제9c도는 종래의 방법 및 제2실시예에 관한 방법에 있어서 에칭형상을 도시하는 도면.
제10도는 종래의 방법 및 제2실시에에 관한 방법에 있어서 에칭비율의 면내균일성을 도시하는 도면.
제11도는 제2실시에에 관한 방법에 있어서 에칭비율과 에칭의 선택비를 도시하는 그래프.
제12a도, 제12b도는 언더컷(undercut)량 및 CD로스(loss)의 측정 방법을 도시하는 도면.
제13도는 제2실시예에 관한 방법에 있어서 N2유량변화와 언더컷량 및 CD로스와의 관계를 도시하는 그래프.
제14도는 제2실시예에 관한 방법에 있어서 N2유량변화의 에칭비율의 면내균일성과의 관계를 도시하는 그래프.
* 도면의 주요부분에 대한 부호의 설명
12,204 : 처리실 14 : 서셉터
16 : 플랜지부 18 : 테이블
22 : 정전척 26 : 열교환원
28 : 제어기 42,14 : 상하대향전극
102,112,208d : 포커스링 104 : 내측부품
106 : 외측부품 205 : 공급계
206 : 배기계
본 발명은 반도체 웨이퍼 등의 피처리기판을 플라스마를 이용하여 에칭처리하는 플라스마 에칭장치에 관한 것이다.
반도체 웨이퍼, LCD기판 등의 기판상에 형성된 배선용 도전막을 패터닝하기 위해 플라스마 에칭장치가 사용된다. 플라스마 에칭장치는 피처리기판을 수납하고 또 처리하는 처리공간을 규정하기 위한 진공처리실(프로세스 챔버)을 갖는다. 처리실내에는 대향하는 한쌍의 상하부전극이 배설되고 서셉터로서 기능하는 하부전극상에 피처리기판(substrate to be processed), 예를 들면 반도체 웨이퍼가 재치된다. 처리실내에 처리가스(에칭가스)가 도입되고, 상하부전극간에 고주파전력되면 처리가스가 플라스마화(made into plasma)된다. 플라스마 중 반응성 이온은 웨이퍼의 자기 바이어스전위에 의해 인출되고, 웨이퍼상에 형성된 도전막이 에칭되고, 패터닝된다.
반응성이온을 효과적으로 웨이퍼에 입사시키기 위해 하부전극상에서 웨이퍼를 포위하도록 포커스링(전계보상링)이 배설된다. 포커스링은 내부식성(에칭가스에 강한 내약품성), 내플라스마성, 내열성등과 함께 도전성을 갖는 것이 필요하게 된다. 이러한 관점에서 일반적으로는 포커스링으로서 비정질 카본에 의해 일체성형된 링이 사용된다.
상술한 바와 같은 포커스링을 이용한 플라스마 에칭장치에서는 그러나, 프로세스조건에 의해 에칭비율이나 에칭이방성의 면내균일성이 악화하는 경우가 있다. 보다 구체적으로는 웨이퍼주변부에서는 에칭 비율이 높고, 중앙부에서는 늦어진다. 특히, 웨이퍼 주표면의 온도가 높게 설정되면 이 경향이 강해지고, 웨이퍼 주변부의 에칭비율이 매우 높아진다. 또, 이러한 상황하에서는 웨이퍼 주변부에서 에칭이방성이 악화하고, 도전막의 패터닝에 있어서, 사이드에칭이 생기고, 형성된 배선이 설정폭보다 좁아진다.
이러한 에칭비율이나 에칭이방성의 면내균일의 주요인으로서는 에칭중에 실행되는 처리실의 배기에 의해 생기는 기류의 영향을 생각할수 있다. 기류는 하부전극상에서 그 주위를 통하여 하방으로 당겨지므로 웨이퍼주변부에는 신선한 처리가스가 많이 유도되는 한편, 중앙부에서는 기류가 정체하고, 신선한 처리가스의 도달이 적어진다. 이 때문에 웨이퍼주변부와 중앙부에서 에칭의 메카니즘에 차이가 생기고, 에칭비율이나 에칭이방성이 불균일하게 된다.
본 발명은 피처리기판의 표면전체에 걸쳐서 균일한 에칭비율 및 에칭이방성이 얻어지는 플라스마 에칭장치를 제공하는 것을 목적으로 한다.
본 발명의 제1관점에 있어서, 플라스마를 이용하여 기판의 주표면상의 에칭대상물을 에칭하는 장치는, 상기 기판을 수납하고 또 처리하기 위한 진공처리공간을 규정하는 처리실과, 상기 처리실내에 플라스마화되는 에칭가스를 도입하기 위한 공급계와, 상기 처리실내를 배기하기 위한 배기계와, 상기 처리실내에 배설된 서로 대향하는 한쌍의 대향전극과, 상기 처리실내에 배설되고 상기 주표면이 상기 처리공간내에 노출하도록 상기 기판을 지지하기 위한 지지부재와, 상기 에칭가스를 플라스마화하기 위한 전계를 발생시키도록 상기 대향전극간에 전압을 부여하기 위한 전원과, 상기 처리공간에 노출하고 또 상기 기판의 주표면을 포위하는 포위표면을 갖는 소스부재와, 상기 소스부재가 상기 에칭대상물의 주성분으로서 상기 에칭가스와의 접촉에 의해 상기 에칭대상물에 실질적으로 흡착하는 반응생성물을 생기게 하는 성분을 포함하는 재료로 형성되는 것과, 상기 플라스마의 생성중, 상기 포위표면에서 발생하는 상기 반응생성물이 상기 기판의 상기 주표면상에 확산하고, 상기 주표면상에 있어서 상기 반응생성물 양의 분포를 보정하는 것, 을 구비한다.
본 발명의 제2시점에 있어서, 플라스마를 이용하여 기판의 주표면상의 에칭대상물을 에칭하는 장치는, 상기 기판을 수납하고 또 처리하기 위한 진공처리공간을 규정하는 처리실과, 상기 처리실내에 플라스마화되는 에칭가스를 도입하기 위한 공급계와, 상기 처리실내를 배기하기 위한 배기계와, 상기 처리실내에 배설된 서로 대향하는 한쌍의 대향전극과, 상기 처리실내에 배설되고 상기 주표면이 상기 처리공간내에 노출하도록 상기 기판을 지지하기 위한 지지부재와, 상기 에칭가스를 플라스마화하기 위한 전계를 발생시키도록 상기 대향전극간에 전압을 부여하기 위한 전원과, 상기 기판의 상기 주표면을 포위하는 표면을 갖는 포커스링과, 상기 포커스링의 상기 표면이 상기 처리공간에 노출하고 또 각각 내측 및 외측에 위치하는 제1 및 제2포위표면으로 구성되는 것과, 상기 제1포위표면이 상기 에칭가스와의 접촉에 의해 상기 에칭대상물에 실질적으로 흡착하는 반응생성물이 생기지 않는 도전성재료로 형성되는것과, 상기 제2포위표면이 상기 에칭대상물의 주성분으로서 상기 에칭가스와의 접촉에 의해 상기 에칭대상물에 실질적으로 흡착하는 반응생성물을 생기게하는 성분을 포함하는 재료로 형성되는 것과, 상기 플라스마의 생성중, 상기 제2포위표면에서 발생하는 상기 반응생성물이 상기 기판의 상기 주표면상에 확산하고, 상기 주표면상에 있어서 상기 반응생성물 양의 분포를 보정하는 것, 을 구비한다.
제1도는 본 발명의 제1실시예에 관한 플라스마 에칭장치를 도시하는 개략단면도이다.
이 플라스마 에칭장치(10)는 피처리기판인 웨이퍼(S)를 수납하고, 또 처리하는 처리공간을 규정하는 도전성이며 기밀한 진공처리실(프로세스 챔버)(12)을 갖는다. 처리실(12)의 벽부는 도전성의 재료, 예를 들면 표면이 알루마이트처리된 알미늄 등으로 형성된다. 처리실(12)내 중앙에는 웨이퍼(S)를 배치하기 위해 도전성의 재료, 예를 들면 표면이 알루마이트처리된 알미늄 등으로 형성된 서셉터(14)가 배설된다.
서셉터(14)는 원형인 평면형상을 이루고, 주변의 플랜지부(16)와 그 중앙에서 상방으로 돌출하는 원주형의 테이블(18)을 갖는다. 테이블(18)의 상면은 평탄하고, 여기에서 웨이퍼(S)를 쿨롬력으로 흡인유지하기 위한 정전척(22)이 배설된다. 정전척(22)은 예를 들면, 전해박동(電解箔銅)으로 구성되는 도전층을 상하양측에서 폴리이미드·필름등의 절연층으로 끼운 구조를 이룬다. 도전층은 처리실(12)외부에 배설된 직류전원(24)에 접속되고, 예를 들면 2.0㎸의 직류전압이 도전층에 인가된다. 서셉터(14)의 플랜지부(16)상에는 제2도에 도시하는 바와 같이 웨이퍼(S)를 실질적으로 동심원상으로 상보상태(相補狀態)에서 포위하는 포커스링(102)이 재치된다. 포커스링(102)에 대해서는 나중에 상술한다.
서셉터(14)에는 웨이퍼(S)의 온도를 설정하기 위한 열교환원(26)이 내장된다. 열교환원(26)은 처리실(12)외부에 배설된 제어기(28)에 접속되고, 열교환원(26)에 의한 웨이퍼의 온도설정은 이에 의해 제어된다. 열교환원(26)으로서는 후술하는 바와 같이 서셉터(14)내에 형성된 빈공간내에 액체질소 등의 냉매를 통과시키는 쿨러와, 세라믹히터와 같은 히터와의 조합을 사용할 수 있다.
웨이퍼(S)이면과 정전척(22)상면과의 사이에 형성되는 간격에는 처리실(12)외부에 배설된 가스소스(32)에서 헬륨 등의 불활성가스가 선택적으로 공급가능하게 된다. 동(同)불활성가스는 열전달매체로서 기능하고, 진공분위기에서 실행되는 에칭중, 서셉터(14)와 웨이퍼(S)사이의 열 전달에 기여한다.
처리실(12)내에서 서셉터(14)의 상방에는 도전성의 재료 예를 들면 비정질 카본, SiC, 표면이 알루마이트처리된 알미늄 등으로 형성된 가스공급헤드(34)가 배설된다. 헤드(34)는 에칭가스 등의 처리가스를 일시적으로 저장하기 위한 빈공간(36)을 구비하고, 이것은 도입관(38)을 통하여 가스원(복(複))에 접속된다. 헤드(34)의 하부는 다수의 확산구멍(44)을 갖는 확산판(42)으로서 형성되고, 확산구멍(44)을 통하여 빈공간(36)내에 처리가스가 웨이퍼(S)를 향하여 균등하게 공급된다.
헤드(34)의 확산판(42)과 서셉터(14)는 평행평판형의 상하부전극으로서 기능한다. 하부전극인 서셉터(14)에는 콘덴서, 매칭회로를 통하여 고주파전원(46)이 접속되고, 상부전극인 확산판(42)은 접지된다. 에칭시에 있어서, 상하부전극(42,14)간의 간격은 약 15~20㎜로 설정된다. 전원(46)에 의해 상하부전극(42,14) 간에 예를 들면 13.56㎒주파수의 고주파전압이 인가되고, 이에 의해 상하부전극(42,14)간에는 전계가 형성된다.
제3도는 제1도에 도시하는 플라스마 에칭장치(10)를 내장한 에칭시스템(50)의 개요를 도시하는 종단측면도이다.
에칭시스템(50)은 감압분위기로 설정가능한 반송실(52)을 구비하고, 여기에 전술한 에칭장치(10)의 처리실(12)과, 웨이퍼를 카세트(54)의 단위로 수납하기 위한 카세트실(56)이 접속된다. 반송실(52)과 처리실(12)의 사이의 접속통로는 게이트밸브(58)에 의해 개폐된다. 반송실(52)과 카세트실(56)의 사이의 접속통로는 게이트밸브(62)에 의해 개폐된다.
게이트밸브(58,62)를 폐쇄함으로써 반송실(52), 처리실(12) 및 카세트실(56)은 각각 독립한 기밀공간을 형성가능하게 된다. 반송실(52), 처리실(12) 및 카세트실(56)은 공통의 배기장치(64), 예를 들면 터보분자펌프, 드라이펌프에 의해 각각 독립적을 배기되고 또, 감압분위기, 예를 들면 10-5Torr~10-1Torr에 설정가능하게 된다. 반송실(52)의 배기라인(66)은 밸브(68)에 의해 개폐된다. 처리실(12)의 배기라인(72)은 밸브(74)에 의해 개폐된다. 카세트실(56)의 배기라인(76)은 밸브(78)에 의해 개폐된다.
반송실(52)내에는 웨이퍼를 반송하기위한 반송장치(82)가 배설된다. 본 실시에에 있어서 반송장치(82)는 승강 및 선회 자유로운 기대(84)와, 이 위에 설치된 압축동작 자유로윤 반송암(86)을 갖는 관절암형 장치로 구성된다. 반송암(86)의 표면에는 도전성 테프론등이 코팅되어 정전대책이 이루어져 있다.
카세트실(56)은 반송실(52)측의 접속통로와 대향하는 측벽에 형성된 웨이퍼 카세트(54)를 출입하기 위한 열림구와, 이것을 기밀하게 폐쇄하는 게이트밸브(88)를 구비한다. 또, 카세트실(56)내에는 또, 카세트(54)를 배치하기 위한 턴테이블(92)이 배설된다. 이러한 구성은 카세트(54)를 반송로봇(도시없음)에 의해 카세트실(56)내에 반입하는데에 적합한 것이 된다. 카세트(54)내에는 피처리기판인 웨이퍼(S)가 소정 매수 예를 들면 25매, 상하방향으로 간격을 두고 수납된다. 카세트(54)에서의 웨이퍼(S)의 인출 및 카세트(54)로의 웨이퍼(S)의 삽입은 반송실(52)내의 반송장치(82)에 의해 1매씩 실행된다.
다음에 제3도에 도시하는 에칭의 시스템에 의해 웨이퍼(S)상의 텅스텐막의 에칭을 실행하는 프로세스의 개략을 설명한다.
우선, 웨이퍼(S)를 25매 수납한 카세트(54)가 카세트실(56)내에 도입되고 게이트밸브(88)가 폐쇄된다. 다음에 카세트실(56)의 배기밸브(78)가 개방되고, 배기장치(64)에 의해 카세트실(56)내가 예를 들면 10-1Torr까지 감압된다.
다음에 카세트실(56)의 게이트밸브(62)가 개방되고, 반송장치(82)에 의해 카세트(54)에서 소정매수의 웨이퍼(S)가 1매씩 인출되고, 반송실(52)내에 반송된다. 다음에 반송실(52)의 배기밸브(68)가 개방되고, 배기장치(64)에 의해 반송카세트실(52)내가 예를 들면 10-2Torr까지 감압된다.
다음에 처리실(12)의 게이트밸브(58)가 개방되고, 처리실(12)내에 1매의 웨이퍼(S)가 반송장치(82)에 의해 도입된다. 포커스링(102)은 웨이퍼(S)에 앞서서 미리 소정 위치에 배치해 둔다. 웨이퍼(S)(단(單))가 정전척(22)상에 배치되고, 반송장치(82)가 반송실(52)내로 후퇴한 후, 게이트밸브(58)가 폐쇄된다. 그리고 전원(24)에서의 직류전압이 인가되고, 웨이퍼(S)가 정전척(22)상에 흡착유지된다.
다음에 처리실(12)의 배기밸브(74)가 개방되고, 배기장치(64)에 의해 처리실(12)내가 감압된다. 또, 이와 함께 처리가스, 예를 들면 에칭가스인 Cl2/SF6가스가 도입관(38)에서 헤드 빈공간(36), 확산구멍(44)을 통하여 처리실(12)내에 공급된다. 이에 의해 처리실(12)내는 예를 들면 10-3Torr의 압력으로 설정 및 유지된다.
다음에 전원(46)에서 13.56㎒의 고주파전력이 상하부전극(34,14)간에 인가된다. 이에 의해 상하부전극(34,14)간에서 처리가스가 플라스마화되고, 플라스마내의 반응성이온이 가속된 상태에서 웨이퍼(S)상의 텅스텐막에 충돌하고, 이방성에칭이 실행된다.
소정시간의 에칭처리후, 플라스마의 생성이 정지되고, 처리실(12)내가 불활성가스로 치환된다. 그리고 상술한 것과는 반대의 순서로 반송장치(82)에 의해 처리후의 웨이퍼(S)가 처리실(12)에서 인출되고, 카세트실(56)내의 카세트(54)에 반입된다.
다음에 서셉터(14)와 웨이퍼(S)와 포커스링(102)의 관계에 대하여 상술한다.
제4도에 도시하는 바와 같이 서셉터(14)의 테이블(18)의 상면 및 정전척(22)의 직경은 웨이퍼(S)의 직경보다 작게 설정된다. 따라서 웨이퍼(S)가 테이블(18)상의 소정위치에 배치된 상태에서 웨이퍼(S)의 에지는 테이블(18)의 상면에 둘레로 이어나온 상태가 된다. 예를 들면 8인치의 웨이퍼(S)(직경200㎜)에 대해서는 테이블(18) 및 그상면의 직경은 195㎜~198㎜로 설정된다.
포커스링(102)은 서셉터(14)의 플랜지(16)상에 배치되고, 실질적으로 상보상태에서 웨이퍼(S)를 포위한다. 포커스링(102)의 열림구(108)의 직경 즉, 내경(D1)은 테이블(18)의 직경보다 약간 크게 설정된다. 예를 들면, 8인치의 웨이퍼(S)에 대해서는 직경(D1)은 196㎜~199㎜로 설정된다. 포커스링(102)의 외경(D2)은 처리에 따라 230㎜~300㎜로 설정된다. 포커스링(102)의 하나의 기능을 플라스마의 확산을 방지하여 처리가스의 반응성 이온을 웨이퍼(S)에 대하여 효과적으로 입사시키는 것이다. 제2도에 도시하는 바와 같이 웨이퍼(S)에 접힘부분이 존재하는 경우는 포커스링(102)의 상측의 내측에지도 이것과 유사한 형상을 가지도록 형성하는 것이 바람직하다.
포커스링(102)은 내외 2개의 링 형상의 부품(104,106)을 조합시킨구조를 이룬다. 포커스링(102)의 내경(D1) 및 외경(D2)은 링 형상의 내외부품(104,106)에 의해 각각 규정된다. 내외부품(104,106)간에는 제4도에 도시하는 바와 같이 서로의 열팽창을 고려하여 반경방향으로 약 1㎜의 간격(G)이 형성된다. 또, 내외부품(104,106)은 간격(G)에서 플라스마가 서셉터(14)상에 돌아들어가지 않도록 단면이 L자형의 보상형상으로 형성되고, 겹친 상태에서 접합된다. 내외부품(104,106)은 웨이퍼(S)의 주표면과 일치하는 상면을 갖는다. 이들 상면의 표면정도는 평균조도로 1.6㎛이하로 설정된다. 이 이상으로 거칠면 먼지가 부착되기 쉽고 또 취급하기 어려워진다.
내측부품(104)은 카본을 기초로 한 재료, 예를 들면 종래의 포커스링과 마찬가지로 비정질 카본 혹은 SiC로 구성된다. 내측부품(104)은 원형열림구(108)의 상부에지가 스텝으로서 형성되고 따라서 웨이퍼(S)의 주표면과 일치하는 상면을 갖는 제1부분(112)과 웨이퍼(S)의 이면에서 몇부분, 예를 들면 약 1~2㎜ 낮은 레벨의 상면을 갖는 제2부분(114)을 갖는다.
내측부품(104)의 제1부분(112)의 내경(D3)은 웨이퍼(S)의 직경보다 약1~2㎜크게, 예를 들면 8인치의 웨이퍼(S)에 대해서는 직경(D2)은 201~202㎜로 설정된다. 한편, 내측부품(104)의 제2부분(114)은 테이블(18)의 상면에서 둘레로 퍼져나오는 웨이퍼(S)와 서셉터(14)의 플랜지(16)사이의 간격에 개입한다. 이 제2부분(114)의 인출에 의해 웨이퍼(S) 주변부에서의 국부적인 챠지 업(Charge up)현상을 억제할수 있다.
외측부품(106)은 구체적인 에칭대상물(etching target)과 주성분이 적어도 부분적으로 공통하는 재료로 구성된다. 예를 들면 W(텅스텐)이나 WSi(텅스텐실리사이드)로 구성되는 배선용 도전막을 에칭할 때에는 외측부품(106)은 텅스텐으로 구성되는 것이 바람직하다. 이것은 에칭처리중에 있어서 웨이퍼(S)의 주변부와 중앙부에서 반응생성물의 단위면적당 잔류량을 근사시키고, 에칭비율이나 에칭이방성등 에칭특성의 면내균일성을 향상시키는 것을 의도한다.
전술한 바와 같이 에칭처리중에 처리실(12)내에 형성되는 기류의 영향으로 웨이퍼(S)의 주변부와 중앙부에서 반응생성물의 배제율이 다르다. 반응생성물은 에칭대상물의 표면에 흡착하고, 일시적인 보호막 또는 에칭방지막으로서 기능한다. 따라서, 반응생성물의 단위면적당 잔류량은 에칭비율이나 에칭이방성을 결정하는 중요한 요소가 된다.
이러한 관점에 의거하여 8인치의 실리콘 웨이퍼(S)(직경200㎜)상에 형성된 WSi막을 에칭대상물로 하여 각종 포커스링의 샘플(F1~F4)을 이용하여 실험했다.
사용된 모든 샘플(F1~F4)은 내경 196㎜, 외경260㎜, 폭32㎜이며, 상면은 웨이퍼의 이면보다 어느정도 아래에 위치하도록 설정했다. 샘플(F1)은 전체가 비정질 카본으로 구성되며, 심플(F2)은 전체가 텅스텐으로 구성되는 것으로 했다. 샘플(F3,F4)은 본 발명에 관한 것으로, 모두 비정질 카본제 링으로 구성되는 내측부품과 텅스텐제 링으로 구성되는 외측부품으로 구성된다. 샘플(F3,F4)의 외측부품의 내경은 각각 230㎜, 246㎜로 했다.
샘플(F1~F4)을 사용하고 웨이퍼설정온도 60℃, 처리압력 9mTorr, RF파워 250w의 조건하에서 처리가스 Cl2/SF6을 55/13SCCM의 유량으로 공급하고 웨이퍼(S)의 전면에 형성된 WSi막의 에칭을 실행했다. 제5도에 실험결과를 나타낸다.
제5도에 도시하는 바와 같이 종래의 전형적인 재료만으로 구성되는 샘플(F1)을 사용한 경우, 에칭비율은 웨이퍼(S)의 주변부에서 매우 높아졌다. 샘플(F2)을 사용한 경우, 반대로 에칭비율은 웨이퍼(S)의 주변부에서 낮아졌지만 에칭비율의 면내균일성이 향상되었다. 이들에 대하여 본 발명에 관한 샘플(F3,F4)은 샘플(F2)에서 보여진 문제가 개선되고, 에칭비율의 면내균일성은 더욱 향상되었다.
샘플(F2,F3,F4)에 있어서 에칭비율의 면내균일성이 향상된 이유는 다음과 같은 것이라고 생각된다. W막이나 WSi막을 할로겐원소를 포함하는 NF3, SF6, Cl2등의 처리가스로 에칭하면 반응생성물로서 텅스텐의 할로겐화물이 생성된다. 마찬가지로 포커스링의 표면에 노출하는 W부분도 처리가스와 반응하고, 반응생성물로서 텅스텐의 할로겐화물이 생성된다. 이들 반응생성물은 에칭대상물의 표면에 흡착하고, 에칭비율을 저하시킴과 동시에 에칭의 이방성을 높이도록 작용한다.
즉, 기류의 영향으로 반응생성물의 배제율이 높은 웨이퍼(S)의 주변부에 포커스링에서 같은 반응생성물을 확산공급함으로써 웨이퍼의 주변부와 중앙부 사이의 에칭비율 및 에칭 이방성의 면내균일성을 높일수 있다.
그러나 샘플(F2, F3, F4)에 있어서 에칭비율의 면내균일성이 다르다. 이 이유를 조사하기 위해 다시 실험을 실행했다. 그 결과, 제1도 및 제4도에 도시하는 바와 같은 에칭장치에 있어서는 에칭처리중 웨이퍼(S)와 포커스링(102)사이에 온도차가 존재하고, 이것이 에칭의 메카니즘에 영향하고 있는 것이 발견되었다. 플라스마 발생후도 웨이퍼(S)는 제어기(28)에 의해 제어되는 열교환원(26)에 의해 냉각되고 온도상승이 억제되는 데에 대하여 포커스링(102)은 열교환원(26)에 의한 냉각작용을 실질적으로 받지 않고 플라스마의 영향에 의해 온도가 급상승한다.
보다 구체적으로는 제4도에 도시하는 바와 같이 서셉터(14)중의 열교환원(26)과 웨이퍼(S)의 사이에는 진공분위기에 있어서도 열전달경로(HTP1)가 확보된다. 이 때문에 에칭처리중, 정전척(22)과 웨이퍼(S)의 이면 사이에 형성되는 건격에는 가스원(32)에서 열전달매체로서 기능하는 불활성가스가 공급된다. 또, 서셉터(14)가 복수의 부분으로 구성되고, 이들 부분의 사이에 간격이 존재하는 경우도 이들간격에도 열전달매체가스가 공급된다.
이에 대하여 서셉터(14)중의 열교환원(26)과 포커스링(102)사이의 열전달경로(HTP2)는 에칭처리가 실행되는 진공분위기에서 실질적으로 절단된다. 즉, 서셉터(14)의 플랜지(16)와, 그 위에 배치된 포커스링(102)의 사이에는 간격이 존재하고, 이 간격은 에칭처리가 실행되는 진공분위기와 실질적으로 같은 감압상태가 된다. 즉, 에칭처리중 플랜지(16)와 포커스링(102)의 사이에서 열전달경로(HTP2)는 점접촉부를 제외하고 절단된 상태가 된다.
전술한 전체가 텅스텐으로 구성되는 포커스링의 샘플(F2)에 있어서, 에칭비율이 웨이퍼(S)의 주변부에서 낮아진 이유는 이러한 포커스링 샘플(F2)의 고열에 의한 것이라고 생각된다. 즉, 과열된 포커스링 샘플(F2)에서 다량의 반응생성물이 발생하고, 이것이 인접하는 웨이퍼 주변부의 에칭을 과도하게 억제한 결과라고 생각된다.
이에 대하여 샘플(F3,F4)에서는 웨이퍼(S)와 텅스텐제 링으로 구성되는 외측부품과의 사이에는 거리가 있다. 이 때문에 과열된 외측부품에서 생성된 반응생성물의 일부는 웨이퍼(S)의 주변부에 도달하지 않고 배기되게 된다. 또, 비정질 카본성의 내측부품에서는 에칭대상물에 흡착하는 반응생성물은 생기지 않는다. 이러한 이유에서 샘플(F3,F4)에서는 웨이퍼 주변부와 중앙부에서 반응생성물의 단위면적당 잔류량이 근사하고, 에칭특성의 면내균일성이 향상된 것으로 생각된다.
이러한 관점에서 제1도에 도시하는 에칭장치를 이용하여 표1에 도시하는 바와 같은 배선용 도전성 금속막을 할로겐원소를 포함하는 에칭가스로 처리하는 각종 프로세스에 대하여 실험을 하고, 포커스링의 바람직한 형태를 조사했다. 현실의 프로세스를 고려하여 실험에서 이용한 웨이퍼(S)의 주표면의 설정온도는 실온(예를 들면 25℃~150℃)이며, 이 범위에 있어서 웨이퍼(S)의 주표면과 포커스링(102)상면과의 온도차 △T(℃)는 50℃~100℃였다.
상술한 온도조건에서 에칭특성의 양호한 면내균일성이 얻어지는 조건은 웨이퍼(S)의 에지에서 포커스링(102)의 상면 즉, 노출면에 있어서, 외측부품(106)의 내측에지까지의 거리(L1)가 5㎜~30㎜, 바람직하게는 15㎜~25㎜, 외측부품(106)의 노출표면의 폭(E2)이 5㎜ 이상이었다. 또, 본 발명의 포커스링(102)은 에칭대상물이 웨이퍼(S)의 실직적으로 균등하게 분포하고 있는 경우에 특히 효과적인 것이 판명되었다.
거리(L1)은 온도차(△T(℃))에 존재하여 변화하고, △T가 클수록 거리(L1)의 바람직한 값도 커졌다. 그러나 거리(L1)는 웨이퍼의 치수에는 거의 영향을 받지 않았다. 폭(E2)의 상한은 에칭특성보다는 오히려 처리실(12)이나 서셉터(14)의 치수를 고려하여 결정되었다. 예를 들면 8인치의 웨이퍼에 대해서는 폭(E2)은 50㎜이하인 것이 바람직하다고 생각된다.
다시 포커스링(102)의 상면 즉, 노출면에 있어서 포커스링(102) 및 외측부품(106)의 폭(E1,E2)의 비(E2/E1)에 대해서도 검토했다. 그 결과, 8인치의 웨이퍼에 대해서는 E2/E1=0.15~0.75, 바람직하게는 E2/E1=0.25~0.75인 것이 판명되었다.
상술한 바와 같이 포커스링(102)의 내외부품(104,106)으로 구성되는 복합구조로 하고, 내외부품의 재료로서 특정한 재룔ㄹ 선택하므로써 에칭비율이나 에칭이방성 등 에칭특성의 면내균일성을 향상시킬수 있다. 여기에서 내측부품은 에칭가스와의 접촉에 의해 반응생성물이 실질적으로 전혀 생기지 않는 도전성재료, 예를 들면 비정질 카본, 혹은 적어도 에칭대상물에 실질적으로 흡착하는 반응생성물이 생기지 않는 도전성재료로 형성된다. 또, 외측부품은 에칭대상물의 주성분으로서 에칭가스와의 접촉에 의해 에칭대상물에 실질적으로 흡착하는 반응생성물을 생기게 하는 성분을 포함하는 재료, 바람직하게는 금속재료로 형성된다.
제6도는 포커스링(102)의 변경예를 도시하고, 제6도의 포커스링(112)에 있어서, 제4도에 도시하는 포커스링(102)의 내측부품(104)에 대응하는 비정질 카본 등의 재료로 구성되는 링형상의 베이스부품(114)은 포커스링(112)의 전폭에 걸쳐서 존재한다. 제4도에 도시하는 포커스링(102)의 외측부품(106)에 대응하는 텅스텐등의 재료로 구성되는 링형상의 박판부품(116)은 베이스부품(114)의 바깥둘레측 표면상에 배치되고 또 여기에 접착된다. 베이스부품(114)의 상면은 웨이퍼(S)의 주표면과 일치하도록 설정된다. 베이스부품(114)의 내측상부에지는 스텝형으로 낮아지고, 일체의 연장부(115)가 웨이퍼(S)의 아래에 잠입하여 웨이퍼(S)주변부의 차지업 현상을 방지한다.
제7도는 포커스링(102)의 다른 변경예를 도시하며, 제7도의 포커스링(122)에 있어서, 제4도에 도시하는 포커스링(102)의 외측부품(106)에 대응하는 텅스텐 등의 재료로 구성되는 링형상의 베이스부품(126)은 포커스링(122)의 전폭에 걸쳐서 연재한다. 제4도에 도시하는 포커스링(102)의 내측부품(104)에 대응하는 비정질 카본 등의 재료로 구성되는 링 형상의 박판부품(124)은 베이스부품(126)의 내주측 표면상에 배치되고 또 여기에 접착된다. 박판부품(124)의 상면은 웨이퍼(S)주표면과 일치하도록 설정된다. 베이스부품(126)의 내단부(127)가 웨이퍼(S)의 아래에 잠입하여 웨이퍼(S)의 주변부의 차지 업 현상을 방지한다.
또, 제4도, 제6도 및 제7도에서는 포커스링의 외측부품을 링 형상으로 형성했지만 외측부품을 복수의 세그멘트로 형성하고, 이들 세그멘트를 둘레방향으로 간헐적으로 배설할 수도 있다.
제8도는 본 발명의 제2실시에에 관한 플라스마 에칭장치를 도시하는 단면도이다. 제1실시예에서는 실온, 예를 들면 25℃에서 150℃온도범위의 프로세스에 주목한 것에 대해, 제2실시예에서는 -100℃에서 60℃온도범위의 프로세스에 주목하고 있다.
제8도에 도시하는 플라스마 에칭장치(201)는 알루미늄 등의 재료로 구성되는 내측틀(202)과 외측틀(203)로 구성되는 처리실(204)을 구비한다. 내측틀(202)은 원통벽부(202a), 원통벽부(202a)의 하단에서 상방으로 약간의 간격을 두고 설치된 저부(202b), 및 원통벽부(202a)의 하단외주에 설치된 외방접힘가장자리부(202c)로 구성된다. 한편 외측틀(203)은 원통벽부(203a) 및 천정부(203b)로 구성되고, 내측틀(202)을 기밀하게 덮도록 외방접힘가장자리부(202c)상에 배치된다.
외측틀(4)의 원통벽부(203a) 상방에는 처리가스원, 즉 SF가스원(205a) 및 N가스원(205b)에서 SF과 N의 혼합가스를 매스플로제어기(205c)를 통해 처리실(204)내에 도입가능한 가스공급계(205)가 접속된다. 원통벽부(203a)의 다른쪽 하방에는 가스배기계(206)가 설치되고, 도시하지 않은 진공펌프에 의해 처리실(204)내를 진공당김이 가능하게된다.
외측틀(203)의 천정부(203b)상방에는 피처리기판인 반도체 웨이퍼(S)의 표면에 수평자계를 형성하기 위한 자계발생장치, 예를 들면 영구자석(7)이 회전자유롭게 설치된다. 자석에 의힌 수평자계와, 이것에 직교하는 전계를 형성함으로써 마그네트론방전을 발생시킬 수 있다.
제8도에 도시하는 바와 같이 처리실(204)내에는 웨이퍼(S)를 배치하기 위한 서셉터어셈블리(208)가 배치된다. 서셉터어셈블리(208)는 복수의 절연부재(209)를 통해서 내측틀(202)의 저부(202b)상에 배치된다. 또 서셉터어셈블리(208)의 측면과 내측틀(202)의 원통벽부(202a)사이에는 예를 들면 ○링형상의 절연부재(210)가 개장된다. 따라서 서셉터어셈블리(208)는 외부에서 접지되는 내측틀(202) 및 외측틀(203)에서 절연상태로 유지된다.
서셉터어셈블리(208)는 3층구조를 갖는다. 제1서브서셉터(208a)상에는 정전척시트(212)가 배치되고, 이 위에 웨이퍼(S)가 유지된다. 제1서브서셉터(208a)의 배치면에는 정전척시트(212) 및 웨이퍼(S)를 둘러싸도록, 예를 들면 비정질카본제의 포커스링(208d)이 배치되고, 발생한 플라스마를 효율적으로 피처리면에 조사시킨다. 정전척시트는 한쌍의 폴리이미드수지필름(213,214)을 붙인 것으로, 그 안에는 동박등의 얇은 도전막(215)이 봉입된다. 이 도전막(215)은 도전선을 통해서 직류전원(217)에 접속되고, 직류전원(217)에 의해 전원을 인가함으로써 쿨롬력에 의해 웨이퍼(S)를 서셉터상에 고정할 수 있다.
서셉터어셈블리(208)의 중간층인 제2서브서셉터(208b)에는 웨이퍼(S)의 온도를 조절하기 위한 히터(222)가 설치된다. 히터(222)는 도시하지 않은 히터제어기에 접속되어 있고, 서셉터어셈블리(208)의 온도를 감시하는 도시하지 않은 온도모니터로부터의 신호에 따라 온도제어를 실시한다.
제1서브서셉터(208a)는 제2서스서셉터(208b)에 대해 볼트(223)등의 연결부재를 이용하여 부착이탈 자유롭게 고정된다. 이것에 의해 제1서스서셉터(208a)가 오염된 경우에 고정주파원(224)에 접속되는 제2서스서셉터(208b)와는 별개로 제1서스서셉터(208a)부분만을 교환할 수 있고, 장치의 보수가 용이해진다.
제1서브서셉터(208a)의 측벽과 내측틀(202)의 원통벽부(202a)내면 사이에는 ○링 등의 절연부재(210)가 개장되므로, 처리실내에 도입된 처리가스는 제2서브서셉터(208b)보다 하방에는 도달하지 않고, 서셉터어셈블리(208)의 중간층 및 하층(208b 및 208c)의 오염이 방지된다.
서셉터어셈블리(208)의 하층인 제3서브서셉터(208c) 내부에는 예를 들면 액체질소 등의 냉매(225)를 저장하기 위한 냉매류(226)가 설치된다. 이 냉매류(226)는 파이프(227)에 의해 밸브(228)를 통해 냉매원(229)에 통과한다. 냉매류(226)내에는 도시하지 않는 액면(液面)모니터가 배치되어 있고, 그 액면모니터로부터의 신호에 응답하여 밸브(228)를 개폐함으로서 냉매류(226)내 냉매(225)의 공급량을 제어하도록 구성된다.
제1, 제2 및 제3서브서셉터(208a,208b,208c) 및 포커스링(208d)으로 구성되는 서셉터어셈블리(208)는 절연부재(209,210)에 의해 처리실(204)을 구성하는 내측틀(202) 및 외측틀(203)에서 절연된다. 서셉터어셈블리(208)는 전기적으로 동일극성인 음극커플링을 구성하고, 중간층인 제2서브서셉터(208b)에는 매칭장치(230)를 통해서 고주파전원(224)이 접속된다. 이렇게 하여 서셉터어셈블리(208)와 접지되는 외측틀(203)에 의해 대향전극이 구성되고, 고주파전력의 인가에 의해 전극간에 플라스마방전을 발생시키는 것이 가능해진다.
다음에 제2실시예에 의거하여 에칭의 실험을 실시한 결과에 의거하여 설명한다.
실험에 있어서 제9a도에 도시하는 90㎚ 질화티타늄막(TiN)상에 300㎚의 텅스텐막(WF), 그리고 1000㎚의 포토레지스트막(PR)이 적층된 것을 에칭대상으로 했다. 처리가스로서 SF와 N의 혼합가스를 사용하고, 웨이퍼 피처리면의 온도를 저온, 예를 들면 0℃부근, 처리실내의 압력을 수+mTorr, 고주파전원의 전력을 300w정도의 낮은 전력으로 했다. 그 에칭결과를 제11도, 제13도 및 제14도에 도시한다.
먼저 N유량을 변수로서 텅스텐의 에칭비율(ER) 및 포토레지스트에 대한 텅스텐에칭(SR)의 선택비의 변화를 조사했다. 그 결과 제11도에 도시하는 바와 같이 SF에 대해 N를 다량으로 첨가하면 텅스텐의 에칭비율(ER) 및 포토레지스트에 대한 텅스텐에칭의 선택비(SR)는 저하해 가는 것이 판명되었다. 즉, 처리가스로서 SF에 대한 N의 첨가량이 1%~10%, 보다 바람직하게는 2%~10%의 혼합가스를 사용함으로써 높은 텅스텐의 에칭비율 및 포토레지스트에 대한 텅스텐에칭의 선택비를 얻을 수 있었다.
그리고 제12a도, 제12b도에 도시하는 기준으로 측정한 텅스텐 에칭후의 언더컷량(UCR)과, 치수변환차(CD로스)와, N유량변화의 관계에 대해서 조사했다. 여기서 UCR=b/a×100%(wp12a도), 또는 UCR=d/c×100%(제12b도)이다. 제13도에 도시하는 바와 같이 1%이상, 더욱 바람직하게는 2%이상의 N를 SF에 첨가함으로써 제9c도에 도시하는 바와 같은 스텝테이퍼형상 내지 수직형상의 양호한 형상으로 텅스텐에칭을 실시할 수 있음이 판명되었다. 같은 조건으로 SF만으로 에칭을 실시한 경우는 제9b도에 도시하는 바와 같은 언더컷이 발생했다.
계속해서 다음식에 의거하여 텅스텐 에칭후 에칭비율의 웨이퍼 면내균일성을 측정하고, N유량변화의 관계에 대해서 설명했다. 여기서 UNI는 에칭비율의 면내 균일성(±%), MxER은 에칭비율, MiER은 최소에칭율을 나타낸다.
UNI=(MxER-MiER)/(Mxer+Mier)×100
그 결과 제2실시예에 의하면 1%이상, 더욱 바람직하게는 2% 이상의 N를 SF에 첨가함으로써 면내균일성을 향상시키고, 제10도에 도시하는 바와 같은 양호한 에칭비율의 웨이퍼 면내분포를 얻을 수 있음이 판명되었다.

Claims (20)

  1. 플라스마를 이용하여 기판의 주표면상의 에칭대상물을 에칭하는 장치에 있어서, 상기 기판을 수납하고 또, 처리하기 위한 진공처리공간을 규정하는 처리실(12,204)과, 상기 처리실(12,204)내에 플라스마화되는 에칭가스를 도입하기 위한 공급계(205)와, 상기 처리실(12,204)내를 배기하기 위한 배기계(206)와, 상기 처리실(12,204)내에 배설된 서로 대향하는 한쌍의 대향전극과, 상기 처리실(12,204)내에 설치되고, 상기 주표면이 상기 처리공간내에 노출하도록 상기 기판을 지지하기 위한 지지부재와, 상기 에칭가스를 플라스마화하기 위한 전계를 발생시키도록 상기 대향전극간에 전압을 부여하기 위한 전원과, 상기 처리공간에 노출하고 또, 상기 기판의 주표면을 포위하는 포위표면을 갖는 소스부재와, 상기 소스부재가 상기 에칭대상물의 주성분으로서, 상기 에칭가스와의 접촉에 의해 상기 에칭대상물에 실질적으로 흡착하는 반응생성물을 생기게 하는 성분을 포함하는 재료로 형성되는 것과, 상기 플라스마의 생성중 상기 포위표면에서 발생하는 상기 반응생성물이 상기 기판의 상기 주표면상에 확산하고, 상기 주표면상에 있어서의 상기 반응생성물 양의 분포를 보정하는 것을 구비하는 것을 특징으로 하는 플라스마 에칭장치.
  2. 제1항에 있어서, 상기 에칭대상물이 상기 기판상에 형성된 금속막으로 구성되고, 상기 에칭가스가 할로겐원소를 포함하는 가스로 구성되는 것을 특징으로 하는 플라스마 에칭장치.
  3. 제2항에 있어서, 상기 에칭대상물이 W,WSi에서 선택된 1개로 구성되고, 상기 가스가 NF3, SF6, Cl2에서 선택된 1개로 구성되고, 상기 소스부재가 W로 형성되는 것을 특징으로 하는 플라스마 에칭장치.
  4. 제2항에 있어서, 상기 에칭대상물이 Ti,TiSi,TiN에서 선택된 1개로 구성되고, 상기 가스가 Cl2, HBr에서 선택된 1개로 구성되고, 상기 소스부재가 Ti로 형성되는 것을 특징으로 하는 플라스마 에칭장치.
  5. 제2항에 있어서, 상기 에칭대상물이 Al,Al-Si-Cu에서 선택된 1개로 구성되고 상기 가스가 Cl2, BCl3에서 선택된 1개로 구성되고, 상기 소스부재가 Al로 형성되는 것을 특징으로 하는 플라스마 에칭장치.
  6. 제1항에 있어서, 상기 기판과 열교환을 하기 위해 상기 지지부재에 내장된 열교환원(26)과, 상기 열교환원(26)의 온도를 제어함으로써, 상기 기판의 상기 주표면의 온도를 설정하기 위한 제어기(28)와, 진공분위기에 있어서, 상기 열교환원(26)에서 상기 기판의 주표면까지의 열전달경로를 유지하기 위해 상기 지지부재와 상기 기판과의 사이에 형성되는 간격에 열전달매체가스를 공급하는 부재와, 상기 플라스마의 생성중, 상기 기판의 상기 주표면의 온도가 상기소스부재의 상기 포위표면보다 낮은 온도가 되도록 설정되는 것과, 상기 플라스마의 생성중, 상기 포위표면에서 상기 기판의 상기 주표면상에 이르는 상기 반응생성물의 확산량이 억제되도록 상기 포위표면과 상기 기판의 상기 주표면 간의 거리가 설정되는 것, 을 구비하는 것을 특징으로 하는 플라스마 에칭장치.
  7. 제6항에 있어서, 상기 기판의 상기 주표면과 상기 소스부재의 상기 포위표면간의 거리가 5㎜~30㎜로 설정되고, 여기서 상기 플라스마의 생성중, 상기 기판의 상기 주표면 온도가 25℃~150℃로 설정되고, 상기 포위표면의 온도와 상기 기판의 상기 주표면의 온도 차가 50℃~100℃인 것을 특징으로 하는 플라스마 에칭장치.
  8. 제7항에 있어서, 상기 기판의 상기 주표면과 상기 소스부재의 상기 포위표면간의 상기 거리가 상기 기판의 상기 주표면의 전주위에 걸쳐 실질적으로 일정한 것을 특징으로 하는 플라스마 에칭장치.
  9. 제8항에 있어서, 상기 에칭대상물이 상기 기판상에 형성된 금속막으로 구성되고, 상기 에칭가스가 할로겐원소를 포함하는 가스로 구성되는 것을 특징으로 하는 플라스마 에칭장치.
  10. 플라스마를 이용하여 기판의 주표면상의 에칭대상물을 에칭하는 장치에 있어서, 상기 기판을 수납하고 또 처리하기 위한 진공처리공간을 규정하는 처리실(12,204)과, 상기 처리실(12,204)내에 플라스마화되는 에칭가스를 도입하기 위한 공급계(205)와, 상기 처리실(12,204)내를 배기하기 위한 배기계(206)와, 상기 처리실(12,204)내에 배설된 서로 대향하는 한쌍의 대향전극과, 상기 처리실(12,204)내에 배설되고, 상기 주표면이 상기 처리공간내에 노출하도록 상기 기판을 지지하기 위한 지지부재와, 상기 에칭가스를 플라스마화하기 위한 전계를 발생시키도록 상기 대향전극간에 전압을 부여하기 위한 전원과, 상기 기판의 상기 주표면을 포위하는 표면을 갖는 포커스링(102,122,208d)과, 상기 포커스링(102,122,208d)의 상기 표면이 상기 처리 공간에 노출하고 또, 각각 내측 및 외측에 위치하는 제1 및 제2포위표면으로 구성되는 것과, 상기 제1포위표면이 상기 에칭가스와의 접촉에 의해 상기 에칭대상물에 실질적으로 흡착하는 반응생성물이 생기지 않는 도전성재료로 형성되는 것과, 상기 제2포위표면이 상기 에칭대상물의 주성분으로서, 상기 에칭가스와의 접촉에 의해 상기 에칭대상물에 실질적으로 흡착하는 반응생성물을 발생시키는 성분을 포함하는 재료로 형성되는 것과, 상기 플라스마의 생성중, 상기 제2포위표면에서 발생하는 상기 반응생성물이 상기 기판의 상기 주표면상에 확산하고, 상기 주표면상에 있어서 상기 반응생성물의 양의 분포를 보정하는 것, 을 구비하는 것을 특징으로 하는 플라스마 에칭장치.
  11. 제10항에 있어서, 상기 제1포위표면이 카본을 기초로 한 재료로 형성되는 것을 특징으로 하는 플라스마 에칭장치.
  12. 제10항에 있어서, 상기 지지부재의 윤곽이 상기 기판보다 작게 설정되고, 상기 지지부재상에 지지된 상기 기판의 주변부가 상기 지지부재에서 비어져 나오는 것과, 상기 포커스링(102,112,208d)이 상기 지지부재에서 비어져 나오는 상기 기판의 주변부 아래로 이어져 있는 부분을 갖는 것으로 구성되는 것을 특징으로 하는 플라스마 에칭장치.
  13. 제10항에 있어서, 상기 제1포위표면의 상기 기판의 상기 주표면과 일치하는 것을 특징으로 하는 플라스마 에칭장치.
  14. 제10항에 있어서, 상기 기판과 열교환을 하기 위해 상기 지지부재에 내장된 열교환원(26)과, 상기 열교환원(26)의 온도를 제어함으로써, 상기 기판의 상기 주표면의 온도를 설정하기 위한 제어기(28)와, 진공분위기에 있어서, 상기 열교환원(26)에서 상기 기판의 상기 주표면까지의 열전달경로를 유지하기 위해 상기 지지부재와 상기 기판과의 사이에 형성되는 간격에 열전달매체가스를 공급하는 부재와, 상기 플라스마의 생성중 상기 기판의 상기 주표면 온도가 상기 포커스링(102,112,208d)의 상기 제2포위표면보다 낮은 온도가 되도록 설정되는 것과, 상기 플라스마의 생성중 상기 제2포위표면에서 상기 기판의 상기 주표면상에 이르는 상기 반응생성물의 확산량이 억제되도록 상기 제2포위표면과 상기 기판의 주표면 간의 거리가 설정되는 것, 을 또한 구비하는 것을 특징으로 하는 플라스마 에칭장치.
  15. 제14항에 있어서, 상기 기판의 상기 주표면과 상기 포커스링(102,112,208d)의 상기 제2포위표면간의 거리가 5㎜~30㎜로 설정되고, 여기서 상기 플라스마의 생성중, 상기 기판의 상기 주표면 온도가 25℃~150℃로 설정되고, 상기 포위표면의 온도와 상기 기판의 상기 주표면의 온도 차가 50℃~100℃인 것을 특징으로 하는 플라스마 에칭장치.
  16. 제15항에 있어서, 상기 기판의 상기 주표면과 상기 포커스링(102,112,208d)의 상기 제2포위표면 간의 상기 거리가 상기 기판의 상기 주표면의 전주위에 걸쳐 실질적으로 일정한 것을 특증으로 하는 플라스마 에칭장치.
  17. 제15항에 있어서, 상기 에칭대상물이 상기 기판상에 형성된 금속막으로 구성되고, 상기 에칭가스가 할로겐원소를 포함하는 가스로 구성되는 것을 특징으로 하는 플라스마 에칭장치.
  18. 제17항에 있어서, 상기 에칭대상물이 W,WSi에서 선택된 1개로 구성되고, 상기 가스가 NF3, SF6, Cl2에서 선택된 1개로 구성되고, 상기 제2포위표면이 W로 형성되는 것을 특징으로 하는 플라스마 에칭장치.
  19. 제17항에 있어서, 상기 에칭대상물이 Ti,TiSi,TiN에서 선택된 1개로 구성되고, 상기 가스가 Cl2, HBr에서 선택된 1개로 구성되고, 상기 상기 제2포위표면이 Ti로 형성되는 것을 특징으로 하는 플라스마 에칭장치.
  20. 제17항에 있어서, 상기 에칭대상물이 Al,Al-Si-Cu에서 선택된 1개로 구성되고, 상기 가스가 Cl2, BCl3에서 선택된 1개로 구성되고, 상기 제2포위표면이 Al로 형성되는 것을 특징으로 하는 플라스마를 이용하여 기판의 주표면상의 에칭대상물을 에칭하는 장치.
KR1019950004361A 1994-03-03 1995-03-03 플라즈마 에칭장치 KR0151769B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP94-33645 1994-03-03
JP03364594A JP3257741B2 (ja) 1994-03-03 1994-03-03 プラズマエッチング装置及び方法

Publications (2)

Publication Number Publication Date
KR950027985A KR950027985A (ko) 1995-10-18
KR0151769B1 true KR0151769B1 (ko) 1998-12-01

Family

ID=12392188

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019950004361A KR0151769B1 (ko) 1994-03-03 1995-03-03 플라즈마 에칭장치

Country Status (3)

Country Link
US (1) US5556500A (ko)
JP (1) JP3257741B2 (ko)
KR (1) KR0151769B1 (ko)

Families Citing this family (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5662770A (en) * 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
US5745983A (en) * 1995-10-31 1998-05-05 Mke-Quantum Components Colorado Llc Tool for processing magnetic read/write heads
JP2713276B2 (ja) 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
JPH09172001A (ja) * 1995-12-15 1997-06-30 Sony Corp 半導体製造装置の温度制御方法および装置
US6902683B1 (en) * 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
US6214740B1 (en) * 1996-01-26 2001-04-10 Matsushita Electronics Corporation Semiconductor manufacturing apparatus
US5891348A (en) * 1996-01-26 1999-04-06 Applied Materials, Inc. Process gas focusing apparatus and method
US5748434A (en) * 1996-06-14 1998-05-05 Applied Materials, Inc. Shield for an electrostatic chuck
US5948283A (en) * 1996-06-28 1999-09-07 Lam Research Corporation Method and apparatus for enhancing outcome uniformity of direct-plasma processes
US5942041A (en) * 1996-09-16 1999-08-24 Mosel-Vitelic, Inc. Non-sticking semi-conductor wafer clamp and method of making same
US6284093B1 (en) * 1996-11-29 2001-09-04 Applied Materials, Inc. Shield or ring surrounding semiconductor workpiece in plasma chamber
US5900064A (en) * 1997-05-01 1999-05-04 Applied Materials, Inc. Plasma process chamber
KR100457497B1 (ko) * 1997-05-21 2005-02-05 삼성전자주식회사 플라즈마처리장치의엣지커버장치
DE19727857C1 (de) * 1997-06-30 1999-04-29 Fraunhofer Ges Forschung Plasmarektor mit Prallströmung zur Oberflächenbehandlung
KR100291585B1 (ko) * 1997-07-25 2001-11-30 윤종용 반도체장치의금속막식각방법
JP3265238B2 (ja) * 1997-08-01 2002-03-11 東京エレクトロン株式会社 液膜形成装置及びその方法
US6039836A (en) * 1997-12-19 2000-03-21 Lam Research Corporation Focus rings
US6482747B1 (en) * 1997-12-26 2002-11-19 Hitachi, Ltd. Plasma treatment method and plasma treatment apparatus
JPH11193470A (ja) * 1997-12-26 1999-07-21 Canon Inc 堆積膜形成装置及び堆積膜形成方法
US6220607B1 (en) 1998-04-17 2001-04-24 Applied Materials, Inc. Thermally conductive conformal media
US6080272A (en) * 1998-05-08 2000-06-27 Micron Technology, Inc. Method and apparatus for plasma etching a wafer
JP4151749B2 (ja) * 1998-07-16 2008-09-17 東京エレクトロンAt株式会社 プラズマ処理装置およびその方法
KR100292410B1 (ko) * 1998-09-23 2001-06-01 윤종용 불순물 오염이 억제된 반도체 제조용 반응 챔버
JP2000169961A (ja) * 1998-12-02 2000-06-20 Matsushita Electric Ind Co Ltd スパッタ装置
US6344105B1 (en) * 1999-06-30 2002-02-05 Lam Research Corporation Techniques for improving etch rate uniformity
US6373679B1 (en) 1999-07-02 2002-04-16 Cypress Semiconductor Corp. Electrostatic or mechanical chuck assembly conferring improved temperature uniformity onto workpieces held thereby, workpiece processing technology and/or apparatus containing the same, and method(s) for holding and/or processing a workpiece with the same
JP2001127041A (ja) * 1999-10-26 2001-05-11 Matsushita Electric Ind Co Ltd 基板のプラズマ処理装置およびプラズマ処理方法
JP2001185542A (ja) * 1999-12-27 2001-07-06 Hitachi Ltd プラズマ処理装置及びそれを用いたプラズマ処理方法
KR100635975B1 (ko) * 2000-02-14 2006-10-20 동경 엘렉트론 주식회사 플라즈마 처리 장치 및 방법과, 플라즈마 처리 장치용 링 부재
JP4417574B2 (ja) * 2000-02-14 2010-02-17 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US6514378B1 (en) * 2000-03-31 2003-02-04 Lam Research Corporation Method for improving uniformity and reducing etch rate variation of etching polysilicon
JP4592916B2 (ja) * 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
JP3792999B2 (ja) * 2000-06-28 2006-07-05 株式会社東芝 プラズマ処理装置
JP3393118B2 (ja) * 2000-12-21 2003-04-07 株式会社半導体先端テクノロジーズ プラズマエッチング装置および半導体装置の製造方法
US20020121500A1 (en) * 2000-12-22 2002-09-05 Rao Annapragada Method of etching with NH3 and fluorine chemistries
JP2002203832A (ja) * 2001-01-05 2002-07-19 Seiko Epson Corp ドライエッチング装置
US6554954B2 (en) * 2001-04-03 2003-04-29 Applied Materials Inc. Conductive collar surrounding semiconductor workpiece in plasma chamber
JP2002305179A (ja) * 2001-04-05 2002-10-18 Matsushita Electric Ind Co Ltd プラズマ処理方法
KR100714265B1 (ko) * 2001-04-18 2007-05-02 삼성전자주식회사 반도체장치 식각설비의 척 조립체
US6645344B2 (en) * 2001-05-18 2003-11-11 Tokyo Electron Limited Universal backplane assembly and methods
TWI234417B (en) 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
US6620736B2 (en) * 2001-07-24 2003-09-16 Tokyo Electron Limited Electrostatic control of deposition of, and etching by, ionized materials in semiconductor processing
JP2003100713A (ja) * 2001-09-26 2003-04-04 Kawasaki Microelectronics Kk プラズマ電極用カバー
US20030092280A1 (en) * 2001-11-09 2003-05-15 Applied Materials, Inc. Method for etching tungsten using NF3 and Cl2
US20030106646A1 (en) * 2001-12-11 2003-06-12 Applied Materials, Inc. Plasma chamber insert ring
TWI272877B (en) * 2001-12-13 2007-02-01 Tokyo Electron Ltd Ring mechanism, and plasma processing device using the ring mechanism
JP4035627B2 (ja) * 2001-12-13 2008-01-23 東京エレクトロン株式会社 プラズマ処理装置及びフォーカスリング機構
US7195693B2 (en) * 2002-06-05 2007-03-27 Advanced Thermal Sciences Lateral temperature equalizing system for large area surfaces during processing
JP4216541B2 (ja) * 2002-06-13 2009-01-28 日鉱金属株式会社 気相成長装置
JP3882141B2 (ja) 2002-06-13 2007-02-14 日鉱金属株式会社 気相成長装置および気相成長方法
US6780762B2 (en) * 2002-08-29 2004-08-24 Micron Technology, Inc. Self-aligned, integrated circuit contact and formation method
US20070051471A1 (en) * 2002-10-04 2007-03-08 Applied Materials, Inc. Methods and apparatus for stripping
KR101141488B1 (ko) * 2003-03-21 2012-05-03 도쿄엘렉트론가부시키가이샤 처리중의 기판이면(裏面) 증착 감소방법 및 장치
US20040261946A1 (en) * 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
US20040244949A1 (en) * 2003-05-30 2004-12-09 Tokyo Electron Limited Temperature controlled shield ring
US20050067098A1 (en) 2003-09-30 2005-03-31 Tokyo Electron Limited Method and system for introduction of an active material to a chemical process
US7001482B2 (en) * 2003-11-12 2006-02-21 Tokyo Electron Limited Method and apparatus for improved focus ring
US7713380B2 (en) * 2004-01-27 2010-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for backside polymer reduction in dry-etch process
JP2005303099A (ja) * 2004-04-14 2005-10-27 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
US7713431B2 (en) * 2004-06-10 2010-05-11 Tokyo Electron Limited Plasma processing method
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US7238623B2 (en) * 2004-10-06 2007-07-03 Texas Instruments Incorporated Versatile system for self-aligning deposition equipment
JP4645167B2 (ja) * 2004-11-15 2011-03-09 東京エレクトロン株式会社 フォーカスリング、プラズマエッチング装置及びプラズマエッチング方法。
JP2006173560A (ja) * 2004-11-16 2006-06-29 Sumitomo Electric Ind Ltd ウエハガイド、有機金属気相成長装置および窒化物系半導体を堆積する方法
JP3960332B2 (ja) * 2004-11-29 2007-08-15 セイコーエプソン株式会社 減圧乾燥装置
US20060151116A1 (en) * 2005-01-12 2006-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Focus rings, apparatus in chamber, contact hole and method of forming contact hole
US20060172542A1 (en) * 2005-01-28 2006-08-03 Applied Materials, Inc. Method and apparatus to confine plasma and to enhance flow conductance
JP4705816B2 (ja) 2005-07-27 2011-06-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
KR101218114B1 (ko) * 2005-08-04 2013-01-18 주성엔지니어링(주) 플라즈마 식각 장치
CN100418875C (zh) * 2005-10-11 2008-09-17 鸿富锦精密工业(深圳)有限公司 螺旋型碳纳米管制备装置及方法
TWI354320B (en) * 2006-02-21 2011-12-11 Nuflare Technology Inc Vopor phase deposition apparatus and support table
US20070234955A1 (en) * 2006-03-29 2007-10-11 Tokyo Electron Limited Method and apparatus for reducing carbon monoxide poisoning at the peripheral edge of a substrate in a thin film deposition system
JP4609669B2 (ja) * 2006-06-27 2011-01-12 モメンティブ・パフォーマンス・マテリアルズ・ジャパン合同会社 静電チャックモジュール
US20080194113A1 (en) * 2006-09-20 2008-08-14 Samsung Electronics Co., Ltd. Methods and apparatus for semiconductor etching including an electro static chuck
US7943005B2 (en) * 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7909961B2 (en) * 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US8398778B2 (en) * 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
JP5317424B2 (ja) 2007-03-28 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置
KR101207593B1 (ko) * 2007-03-28 2012-12-03 도쿄엘렉트론가부시키가이샤 Cvd 성막 장치
US20080289766A1 (en) * 2007-05-22 2008-11-27 Samsung Austin Semiconductor Lp Hot edge ring apparatus and method for increased etch rate uniformity and reduced polymer buildup
US20090151870A1 (en) * 2007-12-14 2009-06-18 Tokyo Electron Limited Silicon carbide focus ring for plasma etching system
US20090221150A1 (en) * 2008-02-29 2009-09-03 Applied Materials, Inc. Etch rate and critical dimension uniformity by selection of focus ring material
EP2342951B1 (en) 2008-10-31 2019-03-06 Lam Research Corporation Lower electrode assembly of plasma processing chamber
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
CN102341902A (zh) * 2009-03-03 2012-02-01 东京毅力科创株式会社 载置台结构、成膜装置和原料回收方法
JP2011151263A (ja) 2010-01-22 2011-08-04 Tokyo Electron Ltd エッチング方法、エッチング装置及びリング部材
US8853070B2 (en) * 2012-04-13 2014-10-07 Oti Lumionics Inc. Functionalization of a substrate
US9698386B2 (en) 2012-04-13 2017-07-04 Oti Lumionics Inc. Functionalization of a substrate
KR102044389B1 (ko) 2012-10-04 2019-11-14 세메스 주식회사 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US10727092B2 (en) * 2012-10-17 2020-07-28 Applied Materials, Inc. Heated substrate support ring
JP5621142B2 (ja) * 2013-04-02 2014-11-05 独立行政法人産業技術総合研究所 半導体プロセス用キャリア
CN104752141B (zh) * 2013-12-31 2017-02-08 中微半导体设备(上海)有限公司 一种等离子体处理装置及其运行方法
US20170002465A1 (en) * 2015-06-30 2017-01-05 Lam Research Corporation Separation of Plasma Suppression and Wafer Edge to Improve Edge Film Thickness Uniformity
US10515786B2 (en) * 2015-09-25 2019-12-24 Tokyo Electron Limited Mounting table and plasma processing apparatus
JP7098273B2 (ja) * 2016-03-04 2022-07-11 アプライド マテリアルズ インコーポレイテッド ユニバーサルプロセスキット
US11702748B2 (en) 2017-03-03 2023-07-18 Lam Research Corporation Wafer level uniformity control in remote plasma film deposition
US20190272983A1 (en) * 2018-03-01 2019-09-05 Varian Semiconductor Equipment Associates, Inc. Substrate halo arrangement for improved process uniformity
JP7357513B2 (ja) * 2019-11-12 2023-10-06 東京エレクトロン株式会社 プラズマ処理装置
US20220384156A1 (en) * 2021-05-25 2022-12-01 Applied Materials, Inc. Substrate halo arrangement for improved process uniformity

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4350578A (en) * 1981-05-11 1982-09-21 International Business Machines Corporation Cathode for etching
JPS61224423A (ja) * 1985-03-29 1986-10-06 Toshiba Corp 反応性イオンエツチング装置
US4793975A (en) * 1985-05-20 1988-12-27 Tegal Corporation Plasma Reactor with removable insert
JPS6247131A (ja) * 1985-08-27 1987-02-28 Nec Corp 反応性イオンエツチング装置
JPS6372877A (ja) * 1986-09-12 1988-04-02 Tokuda Seisakusho Ltd 真空処理装置
US4786359A (en) * 1987-06-24 1988-11-22 Tegal Corporation Xenon enhanced plasma etch
JPS6489518A (en) * 1987-09-30 1989-04-04 Nec Corp Parallel flat board electrode type plasma etching device
US5292399A (en) * 1990-04-19 1994-03-08 Applied Materials, Inc. Plasma etching apparatus with conductive means for inhibiting arcing
WO1992007377A1 (en) * 1990-10-23 1992-04-30 Genus, Inc. Sacrificial metal etchback system

Also Published As

Publication number Publication date
JPH07245292A (ja) 1995-09-19
US5556500A (en) 1996-09-17
JP3257741B2 (ja) 2002-02-18
KR950027985A (ko) 1995-10-18

Similar Documents

Publication Publication Date Title
KR0151769B1 (ko) 플라즈마 에칭장치
US6024827A (en) Plasma processing apparatus
US8152925B2 (en) Baffle plate and substrate processing apparatus
US7767055B2 (en) Capacitive coupling plasma processing apparatus
KR100234661B1 (ko) 이방성 에칭장치
US5529657A (en) Plasma processing apparatus
JP5759718B2 (ja) プラズマ処理装置
US20060081337A1 (en) Capacitive coupling plasma processing apparatus
KR20050106506A (ko) 반도체처리용의 기판유지구조 및 플라즈마 처리장치
JP2005136350A (ja) 静電吸着装置、プラズマ処理装置及びプラズマ処理方法
JPH01251735A (ja) 静電チャック装置
JPH05166757A (ja) 被処理体の温調装置
JP2000323456A (ja) プラズマ処理装置およびそれに用いられる電極
JP3121524B2 (ja) エッチング装置
JP2010183074A (ja) プラズマ処理装置、フォーカスリング及び被処理体の載置装置
JP2004342703A (ja) プラズマ処理装置及びプラズマ処理方法
EP1073779A1 (en) Reduced impedance chamber
KR100188455B1 (ko) 드라이 에칭방법
JP4286576B2 (ja) プラズマ処理装置
JP3165941B2 (ja) プラズマ処理装置及びその方法
JPH04279044A (ja) 試料保持装置
US20070227664A1 (en) Plasma processing apparatus and plasma processing method
JPH07106316A (ja) プラズマ処理装置
KR100889433B1 (ko) 플라즈마 처리 장치
JP2007258471A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20080530

Year of fee payment: 11

LAPS Lapse due to unpaid annual fee