TW202204677A - 用於高頻處理的蓋堆疊 - Google Patents

用於高頻處理的蓋堆疊 Download PDF

Info

Publication number
TW202204677A
TW202204677A TW110112500A TW110112500A TW202204677A TW 202204677 A TW202204677 A TW 202204677A TW 110112500 A TW110112500 A TW 110112500A TW 110112500 A TW110112500 A TW 110112500A TW 202204677 A TW202204677 A TW 202204677A
Authority
TW
Taiwan
Prior art keywords
air box
processing chamber
semiconductor processing
ferrite block
annular channel
Prior art date
Application number
TW110112500A
Other languages
English (en)
Other versions
TWI774308B (zh
Inventor
殊然 盛
林 張
喬瑟夫C 華納
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202204677A publication Critical patent/TW202204677A/zh
Application granted granted Critical
Publication of TWI774308B publication Critical patent/TWI774308B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/002Cooling arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Control Of Motors That Do Not Use Commutators (AREA)
  • Cookers (AREA)
  • Constitution Of High-Frequency Heating (AREA)
  • Plasma Technology (AREA)

Abstract

示例性半導體處理室可包括基板支座,基板支座設置在半導體處理室的處理區域內。腔室可包括蓋板。腔室可包括定位在蓋板和基板支座之間的氣箱。氣箱的特徵可在於第一表面和與第一表面相對的第二表面。氣箱可以限定中心孔。氣箱可在氣箱的第一表面上限定環形通道,環形通道圍繞中心孔延伸穿過氣箱。氣箱可包含環形外罩,環形外罩跨限定在氣箱第一表面中的環形通道延伸。腔室可以包括阻隔板,阻隔板位於氣箱與基板支座之間。腔室可包含鐵氧體塊,鐵氧體塊設置在蓋板與阻隔板之間。

Description

用於高頻處理的蓋堆疊
對於相關申請案的交互參照:本申請案主張對於申請於2020年4月9日、名為「LID STACK FOR HIGH FREQUENCY PROCESSING」的美國專利申請案第16/844,089號的優先權,在此仰賴且併入此美國申請案之內容以作為參考。
本技術相關於製造半導體的組件與設備。更特定而言,本技術涉及處理室分配部件和其他半導體處理設備。
藉由在基板表面上產生具有錯綜複雜圖案的材料層,而使積體電路的製造成為可能。在基板上產生圖案化材料,需要用於形成與移除材料的受控方法。腔室部件通常將處理氣體輸送到基板,以沉積薄膜或去除材料。可以將處理氣體電漿增強,以增加所產生的流出物的反應性。然而,取決於電漿增強的態樣,材料可能不能均勻地沉積在基板上。
因此需要改良的系統與方法,以產生高品質的裝置與結構。本科技解決了這些與其他的需求。
示例性半導體處理室可包括基板支座,基板支座設置在半導體處理室的處理區域內。腔室可包括蓋板。腔室可包括定位在蓋板和基板支座之間的氣箱。氣箱的特徵可在於第一表面和與第一表面相對的第二表面。氣箱可以限定中心孔。氣箱可在氣箱的第一表面上限定環形通道,環形通道圍繞中心孔延伸穿過氣箱。氣箱可以在氣箱的第一表面中限定圍繞環形通道延伸的凹入壁架。氣箱可以包括環形外罩,環形外罩位於凹入壁架上並且延伸跨過限定在氣箱的第一表面中的環形通道。腔室可以包括阻隔板,阻隔板位於氣箱與基板支座之間。腔室可包含鐵氧體塊,鐵氧體塊設置在蓋板與阻隔板之間。腔室可包括定位在阻隔板和基板支座之間的面板。
在一些具體實施例中,鐵氧體塊的特徵可在於圍繞氣箱的中心孔延伸的環形形狀。腔室可包括位於氣箱和蓋板之間的絕緣體。絕緣體可以限定中心孔,中心孔與穿過氣箱限定的中心孔軸向對準,並且鐵氧體塊可以圍繞絕緣體延伸。鐵氧體塊可以是或包括圍繞氣箱延伸的複數個塊。鐵氧體塊可以設置在介電材料內。介電材料的特徵在於介電常數低於或約為3.7。介電材料可以是或包括聚四氟乙烯或聚醚醚酮。氣箱可以在氣箱內限定第二環形通道,並且鐵氧體塊可以位於第二環形通道內。介電間隔物可以定位在位於第二環形通道內的鐵氧體塊上。介電間隔物可以在介電間隔物內限定凹部,並且外罩可以位於介電間隔物內的凹部內。介電間隔物可以在外罩和鐵氧體塊之間形成垂直間隔,並且介電間隔物可以在外罩和氣箱之間形成徑向間隙。
本技術的一些具體實施例可涵蓋半導體處理室,半導體處理室包括基板支座,基板支座設置在半導體處理室的處理區域內。腔室可包括蓋板。腔室可包括定位在蓋板和基板支座之間的氣箱。氣箱的特徵可在於第一表面和與第一表面相對的第二表面。氣箱可以限定中心孔。氣箱可在氣箱的第一表面上限定環形通道,環形通道圍繞中心孔延伸穿過氣箱。氣箱可以在氣箱的第一表面中限定圍繞環形通道延伸的凹入壁架。氣箱可以包括環形外罩,環形外罩位於凹入壁架上並且延伸跨過限定在氣箱的第一表面中的環形通道。腔室可包含鐵氧體塊,鐵氧體塊設置在蓋板與氣箱之間。腔室可以包括阻隔板,阻隔板位於氣箱與基板支座之間。腔室可包括定位在阻隔板和基板支座之間的面板。
在一些具體實施例中,鐵氧體塊的特徵可在於圍繞氣箱的中心孔延伸的環形形狀。腔室可包括位於氣箱和蓋板之間的絕緣體。絕緣體可以限定中心孔,中心孔與穿過氣箱限定的中心孔軸向對準,並且鐵氧體塊可以圍繞絕緣體延伸。鐵氧體塊可包括圍繞氣箱延伸的複數個塊。鐵氧體塊可以設置在介電材料內。介電材料可以是或包括聚四氟乙烯或聚醚醚酮。
本技術的一些具體實施例可以包含半導體處理室氣箱。氣箱的特徵可在於第一表面和與第一表面相對的第二表面。氣箱可以限定中心孔。氣箱可在氣箱的第一表面上限定環形通道,環形通道圍繞中心孔延伸穿過氣箱。氣箱可包含鐵氧體塊,鐵氧體塊安置於環形通道內。氣箱可以包括位於鐵氧體塊上的介電間隔物。氣箱可以包括位於介電間隔物上的外罩。
在一些具體實施例中,介電間隔物可以在介電間隔物的一表面中限定凹部,此表面相對於與鐵氧體塊接觸的表面。外罩可以位於凹部內。介電間隔物可以在外罩和鐵氧體塊之間形成垂直間隔,並且介電間隔物可以在外罩和氣箱之間形成徑向間隙。鐵氧體塊可以包括複數個塊,複數個塊繞氣體箱的第一表面中的環形通道延伸。
這種科技可提供優於習知系統與技術的數個益處。例如,本技術的具體實施例可以允許在電漿處理中使用更高頻率的功率。另外,基於對由高頻電漿產生的駐波效應的控制,這些腔室可以改善沉積的均勻性。這些與其他的具體實施例(以及許多他們的優點與特徵),被結合下列說明與附加圖式更詳細地說明。
電漿增強的沉積處理可以使一種或多種組分前驅物通電,以促進在基底上的膜形成。可以生產任何數量的材料膜來開發半導體結構,包括導電膜和介電膜,以及有助於材料轉移和去除的膜。例如,在3D NAND製造中,可以於在結構內形成各個記憶體單元之前首先沉積一組材料層。結構可以包括佔位材料和介電材料的交替層。這些層的形成可以在單個處理室內進行,其中在材料形成之間進行的淨化操作可以保護所形成的每個層的完整性。
隨著技術的不斷擴展,可以藉由增加最初形成的層對的數量,來增加記憶體單元的數量。例如,最初可以在基板上沉積超過20、超過50、超過100或超過150對材料層。形成最初沉積的層的這種處理量可能是耗時的,這可能會增加製造成本。在約13 MHz下執行許多電漿處理,這為每一層提供了一定的沉積速率。增加到非常高的頻率(「VHF」)處理,例如大於或大約27 MHz、大於或大約40 MHz或更大,可能會增加電漿密度,從而可能增加沉積速率。但是,基於在這些頻率下產生的電漿的不均勻性,VHF處理可能會降低所沉積材料的均勻性。例如,VHF電漿可以包括由用於形成電漿的VHF電流產生的固有駐波效應。在被處理的基板上方形成的電磁場中的駐波效應,可能導致形成的電漿向基板彎曲。這種彎曲可能發生在基板邊緣附近,這可能會導致離子軌跡和電漿上能量的差異,並且體積的某些區域可能實質上不包含電漿,因此沉積速率較低,或者沒有沉積。
在一些3D NAND堆疊中,成對的初始沉積的層可以包括例如氧化物和氮化物的交替層,儘管氧化物沉積可能受溫度或氣流的影響更大,但是氮化物對電漿密度或RF電壓可能更敏感。因此,在習知技術中折衷處理條件可能是不可行的,因為為改善一個膜沉積而實施的影響可能對要沉積的另一膜產生相反的影響。本技術藉由利用一種或多種腔室部件克服了這些挑戰,所述腔室部件可以藉由控制由VHF電漿產生的駐波效應來改善沉積的均勻性。藉由在處理室內結合鐵氧體結構,可以調節施加在電漿上的RF電流以減小電漿電壓的影響。鐵氧體可能會對RF場和電漿分佈產生影響,並且可能使通量更均勻地重新分佈在整個體積中。因此,可以發生改善的膜形成,並且可以在由VHF電漿促進的較高沉積速率下改善沉積均勻性。
儘管其餘的揭示內容將常規地利用所揭示的技術識別特定的沉積處理,但將容易理解到,系統和方法同樣適用於其他沉積與清潔腔室、以及在所述腔室中可能發生的處理。因此,不應認為技術僅限於與這些特定的沉積處理或腔室一起使用。在描述根據本技術的具體實施例的對所述系統的另外的變化和調整之前,本揭示內容將討論一種可能的系統與腔室,其可以包括根據本技術的具體實施例的蓋堆疊部件。
圖1圖示根據具體實施例的具有沈積、蝕刻、烘烤與固化腔室的處理系統100的一個具體實施例的俯視圖。在圖式中,一對前開式晶圓傳送盒102供應各種尺寸的基板,基板由機械臂104接收,並在放入位於串聯部分109a-c中的基板處理室108a-f之一者之前放入低壓固持區域106中。可使用第二機械臂110以將基板晶圓在固持區域106與基板處理室108a-f之間來回運輸。每一基板處理室108a-f可被配置以執行各種基板處理作業,包含本文所說明的乾式蝕刻處理,以及電漿增強化學氣相沉積、原子層沉積、物理氣相沉積、蝕刻、預清洗、脫氣、導向以及其他的基板處理。
基板處理室108a-f可包含一或更多個系統部件,以對基板上的介電或其他薄膜進行沈積、退火、固化及(或)蝕刻。在一個配置中,可使用兩對處理室(例如108c-d與108e-f)在基板上沈積介電材料,並可使用第三對處理室(例如108a-b)以蝕刻所沈積的介電質。在另一配置中,可配置全部三對腔室(例如108a-f)以在基板上蝕刻介電薄膜。所說明的處理的任一者或更多者,可被實行於與圖示於各種具體實施例中的製造系統分離的一或多個腔室中。將理解到系統100思及到對於介電薄膜的沈積、蝕刻、退火與固化腔室的額外配置。
圖2示出了根據本技術的一些具體實施例的示例性電漿系統200的示意性剖視圖。電漿系統200可以示出一對處理室108,處理室108可以裝配在上述串聯部分109中的一個或多個中,並且可以包括根據本技術的具體實施例的蓋堆疊部件,並且如以下進一步解釋。電漿系統200大抵可包括腔室主體202,腔室主體202具有限定一對處理區域220A和220B的側壁212、底壁216和內側壁201。每個處理區域220A-220B可以被類似地配置,並且可以包括相同的部件。
例如,處理區域220B(處理區域220B的部件也可以包括在處理區域220A中)可以包括基座228,基座228藉由形成在電漿系統200中的底壁216中的通道222設置在處理區域中。基座228可提供加熱器,加熱器適於將基板229支撐在基座的暴露表面(例如,主體部分)上。基座228可包括加熱元件232,例如電阻加熱元件,加熱元件232可以在期望的處理溫度下加熱並控制基板溫度。也可以由諸如燈組件或任何其他加熱裝置的遠端加熱元件加熱基座228。
基座228的主體可以藉由凸緣233耦接至桿226。桿226可將基座228與電源插座或電源箱203電耦合。電源箱203可以包括驅動系統,驅動系統控制基座228在處理區域220B內的升高和移動。桿226還可包括電力介面,以向基座228提供電力。電源盒203還可包括用於電功率和溫度指示器的介面,例如熱電偶介面。桿226可包括適於與電源箱203可拆卸地耦接的基座組件238。在電源箱203上方示出了圓周環235。在一些具體實施例中,圓周環235可以是適於作為機械止擋件或平台的肩部,肩部被配置為在基座組件238和電源箱203的上表面之間提供機械介面。
桿230可藉由形成在處理區域220B的底壁216中的通道224被包括在內,並可用於定位穿過基座228的主體設置的基板升舉銷261。基板升舉銷261可以選擇性地將基板229與基座間隔開,以利於利用機器人進行基板229的更換,機器人用於藉由基板傳送端口260將基板229傳送到處理區域220B中以及從處理區域220B傳送出。
腔室蓋204可與腔室主體202的頂部耦接。蓋204可容納耦接至蓋204的一個或多個前驅物分配系統208。前驅物分配系統208可以包括前驅物入口通道240,前驅物入口通道240可以將反應物和清潔前驅物藉由雙通道噴淋頭218輸送到處理區域220B中。雙通道噴淋頭218可包括環形基底板248,環形基底板248具有阻隔板244,阻隔板244設置在環形基底板248與面板246中間。射頻(「RF」)源265可以與雙通道噴頭218耦合,射頻(RF)源265可以為雙通道噴頭218供電,以促進在雙通道噴頭218的面板246和基座228之間產生電漿區域。在一些具體實施例中,RF源可以與腔室主體202的其他部分(諸如基座228)耦合,以促進電漿的產生。介電隔離器258可以設置在蓋204和雙通道噴頭218之間,以防止向蓋204傳導射頻功率。陰影環206可以設置在與基座228接合的基座228的外圍上。
可以在前驅物分配系統208的環形基底板248中形成可選的冷卻通道247,以在操作期間冷卻環形基底板248。傳熱流體,諸如水、乙二醇、氣體等等,可被循環通過冷卻通道247,使得基底板248被維持在預定的溫度下。腔室襯墊組件227被設置在處理區域220B內,且非常靠近腔室主體202的側壁201、212,以防止側壁201、212暴露至處理區域220B內的處理環境。襯墊組件227可包含耦接至幫浦系統264的圓周幫浦空腔225,圓周幫浦空腔225經配置以將氣體與副產物排出處理區域220B,並控制處理區域220B內的壓力。可在腔室襯墊組件227上形成複數個排氣口231。排氣口231經配置以允許氣體以增進系統200內的處理的方式,從處理區域220B流到圓周幫浦空腔225。
圖3示出了根據本技術的一些具體實施例的示例性基板處理室300的部分截面示意圖。圖3可以包括以上關於圖2討論的一個或多個組件,並且可以示出與腔室有關的更多細節。在一些具體實施例中,腔室300被理解為包括系統200的任何特徵或態樣。腔室300可用於執行半導體處理操作,包括如先前描述的材料的沉積(例如3D NAND堆疊)、以及其他沉積、去除和清潔操作。腔室300可以示出半導體處理系統的處理區域的局部視圖,並且可以不包括所有部件,例如先前描述的遠端電漿單元,這些部件應理解為併入腔室300的一些具體實施例中。
如上所述,圖3可以示出處理室300的一部分。腔室300可以包括多個蓋堆疊部件,蓋堆疊部件可以促進材料通過處理室的傳送或分佈到處理區域305中,例如基板306可以定位在基座310上的區域中。腔室蓋板315可以跨蓋疊層的一個或多個板延伸,並且可以為部件提供結構支撐,例如先前為系統200所示的遠端電漿單元。蓋板315可提供諸如通過孔的通路至處理室300的內部空間。入口歧管320可以定位在蓋板上並且可以提供與遠端電漿單元的耦合,這可以提供用於腔室清潔或其他處理操作的前驅物或電漿流出物。入口歧管320可限定中心孔322,中心孔322可繞腔室或入口歧管的中心軸線延伸。處理室300還可包括絕緣體325,絕緣體可將入口歧管與其他蓋堆疊部件電隔離和/或熱隔離。絕緣體325還可限定中心孔327,中心孔可與入口歧管320的中心孔322軸向對準。處理室300還可包括氣箱330,絕緣體可定位在氣箱330上。
氣箱330的特徵在於第一表面331和可與第一表面相對的第二表面332。氣箱可以限定中心孔333,中心孔可以從第一表面完全延伸通過氣箱到第二表面。中心孔333可以與入口歧管320的中心孔軸向對準,並且可以與絕緣體325的中心孔軸向對準。孔可以限定通道,通道可以至少部分地用於從位於入口歧管上的遠程電漿單元輸送電漿流出物。
氣箱330可包括附加特徵。例如,氣箱330可以限定環形通道340,環形通道340可以允許冷卻流體繞氣箱流動,並且可以允許附加的溫度控制。如圖所示,環形通道340可以限定在氣箱330的第一表面331中。環形通道340可以圍繞中心孔333延伸,並且也可以與中心孔同心。外罩342可繞冷卻通道延伸以形成氣密密封。例如,氣箱可以在氣箱的第一表面331中限定圍繞環形通道延伸的凹入壁架341。可以環形或其他幾何形狀為特徵的外罩342,可以圍繞環形通道安置在凹入壁架上。
在一些具體實施例中,半導體處理室300還可以包括附加部件,例如阻隔板350和面板355。阻隔板350可以限定多個孔,這些孔可以用作節流閥以增加徑向擴散以改善輸送的均勻性。如圖所示,可以在氣箱330和阻隔板350之間形成或限定空間352,空間352可以允許在延伸穿過阻隔板之前前驅物的一定量的橫向或徑向擴散。然後,面板355可以將前驅物遞送到處理區域,處理區域可以至少部分地由面板從上方限定。
如圖所示,氣箱330可以與可以用作電漿產生電極的阻隔板350和面板355電耦合。在一些具體實施例中,蓋堆疊的部件可以用作產生電漿的電極,例如熱電極。諸如先前描述的RF源265之類的電源可以將功率傳遞到蓋堆疊組件,蓋堆疊組件可以彼此電耦合。例如,在一些具體實施例中,RF源可以與氣箱耦合,氣箱可以將功率分配給面板355,在面板355上可以在處理區域305中產生電漿。在一些具體實施例中,RF源可以以任何數量的頻率來輸送功率,並且在一些具體實施例中可以以高頻或非常高的頻率來輸送功率,例如大於或大約20MHz、例如27MHz、大於或等於40 MHz、大於或大約60 MHz,或更高。與在這些頻率下操作時可能會產生不均勻的電漿的習知技術不同,本技術可以併入部件或被配置為改善VHF電漿功率的效果,與常規技術相比,VHF電漿功率可以產生更均勻的電漿和沈積。
例如,在一些具體實施例中,本技術可以將鐵氧體塊360結合在蓋堆疊中。在一些具體實施例中,鐵氧體塊可以包括在氣箱中或與氣箱相關聯。例如,在一些具體實施例中,鐵氧體塊360可以定位在蓋板315和阻隔板350之間,並且可以定位成與氣箱330相關聯。鐵氧體塊360可以與氣箱330直接接觸,例如直接坐落在第一表面331和/或蓋342上,或者可以被包括在介電材料362內,如圖所示。如將在下面進一步描述的,鐵氧體塊也可以結合在氣箱內。鐵氧體塊360的特徵可以是環形,並且也可以如圖所示圍繞氣箱330的中心孔333延伸。鐵氧體塊也可以定位成圍繞絕緣體325延伸。在所示的其他具體實施例中,也可以將鐵氧體塊放置在面板上方或下方,例如,將鐵氧體塊365a圍繞阻隔板放置在面板上方,或者將鐵氧體塊365b放置在面板下方並與面板接觸。儘管在一些具體實施例中可以不包括塊365,但是在本技術的具體實施例中可以將鐵氧體塊365a、365b中的一個或兩個與鐵氧體塊360一起併入。
介電材料362可以是其中可以結合有鐵氧體塊360的任何介電材料。例如,介電材料362可以改善較易碎的鐵氧體材料的結構完整性,並且可以影響穿過材料的場的分佈。因此,可以基於材料的介電常數或基於材料的機械性質來選擇介電材料362。例如,儘管在一些具體實施例中可以使用陶瓷或石英材料,但是這些材料類似地可能更易碎並且易於損壞。另外,這些材料的特徵可以在於較高的介電常數,較高的介電常數可以過補償對電漿的影響,這可以藉由進一步降低中心輪廓來進一步調節場效應並降低電漿的均勻性,而增加沉積的不均勻性。因此,在一些具體實施例中,介電材料可以是或包括由以下介電常數為特徵的材料:小於或約為3.8,並且可以小於或約為3.7,小於或約為3.6,小於或約為3.5,小於或大約3.4,小於或大約3.3,小於或大約3.2,小於或大約3.1,小於或大約3.0,小於或大約2.9,小於或大約2.8,小於或大約2.7,小於或大約2.6,小於或約2.5,小於或約2.4,小於或約2.3,小於或約2.2,小於或約2.1,或更少。可以提供對鐵氧體的機械支撐以及較低的介電常數的示例性材料可以是聚四氟乙烯、聚醚醚酮或其他聚芳基醚酮、以及提供相似特性的任何其他材料。
鐵氧體塊360可以是或包括鐵氧體材料,材料可以是或包括鐵、鋼、鎳和/或鋅合金、以及用於高頻應用的包括鐵磁陶瓷氧化物的任何其他數量的材料或材料組合。鐵氧體塊360可具有鐵磁特性,此鐵磁特性可調變由流過蓋堆疊組件的RF電流感應的電磁場的磁場分量。鐵氧體塊可以沿穿過腔室的垂直方向減小或消除電磁場的磁場分量。然後,在此垂直方向上的駐波圖案可以在鐵氧體塊的外部延伸,並且可以在鐵氧體塊的內部變窄。這可以促進電漿通量的重新分佈,這可以影響腔室的處理區域內的電漿輪廓。例如,如前所述,由於較強的電漿電壓效應,氮化物沉積可能會以更大的不均勻性發生,這可能會導致沉積物的中心厚度可能大得多,並且在整個基板上都具有徑向輪廓。藉由如貫穿本揭示內容所述的摻入鐵氧體來調變VHF電漿,電場可以從中心位置散開,這可以導致電漿類似地從中心位置散開,並且可以減少中心高沉積。隨著在處理區域305內電漿徑向均勻性的增加,沉積的不均勻性也可以減少,從而改善了整個沉積過程。
圖4示出了根據本技術的一些具體實施例的示例性氣箱330的示意性剖視圖。此圖可以顯示氣箱的其他細節,以說明在氣箱330內併入了鐵氧體塊。如前所述,氣箱330的特徵可以在於第一表面331,例如面對進氣歧管。氣箱330的特徵還可以在於與第一表面相對的第二表面332,第二表面332例如可以面對處理區域。氣箱330可以限定中心孔333,並且可以限定環形通道340,如先前所描述的,環形通道可以限定在第一表面331中。外罩342可以與氣箱耦接以密封環形通道340,在一些具體實施例中,環形通道340可以允許冷卻流體流過通道。氣箱330還可在第一表面331內限定第二環形通道405,鐵氧體塊360可位於第二環形通道405內。第二環形通道405可以圍繞中心孔333形成,並且可以如圖所示從第一環形通道340徑向向內,儘管通道的位置可以顛倒。然而,在一些具體實施例中,藉由在第二環形通道的徑向外側包括環形通道340,可以在氣箱上提供改進的冷卻。
在一些具體實施例中,氣箱330可以是或包括導電材料,導電材料可以促進作為供電電極的一部分的氣箱的電操作。因此,在一些具體實施例中,可以包括位於鐵氧體塊360上方的介電間隔物410。如果鐵氧體完全容納在氣箱中,則氣箱可以有效地屏蔽鐵氧體並限制或防止與RF場的任何相互作用。在一些具體實施例中,外罩415可以位於介電間隔物上方。在一些具體實施例中,介電間隔物410可以在外罩415和氣箱之間形成間隙,以及在蓋415和鐵氧體塊360之間形成間隙。例如,介電間隔物410可以限定凹部,外罩415可以被安置在凹部中。然後可以在氣箱與外罩415的內環形表面和/或外環形表面之間形成徑向間隙。因此,在一些具體實施例中,外罩415可以不直接接觸鐵氧體塊360或氣箱330。另外,在一些具體實施例中,外罩415可包括突片或可沿一側徑向延伸到氣箱,以允許部件的耦接。介電間隔物410可以是先前描述的任何材料,並且在一些具體實施例中,產生的間隙可以是至少約1mm,並且可以大於或約2mm,大於或約3mm,大於或約4mm,大於或約5mm,大於或約6mm,大於或約7mm,或更大。儘管示出了在介電間隔物下方的鐵氧體塊,但是在一些具體實施例中,如先前所述,間隔物可以是包圍鐵氧體塊的介電材料的一部分。介電材料的第一表面可以從鐵氧體表面進一步延伸以形成凹部,外罩415可以被安置在凹部中。
圖5A與圖5B示出了根據本技術的一些具體實施例的示例性鐵氧體塊360a與鐵氧體塊360b的示意性俯視圖。這些塊可以包括先前描述的鐵氧體塊的任何特徵、部件或特性,並且可以示出根據本技術的一些具體實施例的可以與處理室結合的示例性鐵氧體塊。鐵氧體塊360a示出了利用單個環形鐵氧體塊的具體實施例,環形鐵氧體塊可以被包括在介電材料362內,如先前所描述的。鐵氧體塊360b示出了利用複數個鐵氧體塊的具體實施例,並且可以被包括在介電材料362內,例如形成為環形。應當理解,在本技術的具體實施例中可以利用任何數量的鐵氧體塊,並且可以以任意數量的形狀為特徵。
圖6示出了根據本技術的一些具體實施例的半導體處理的示例性方法600中的操作。方法可以在各種處理室中執行,包括上述處理系統200,或腔室300,系統或腔室可以包括根據本技術的具體實施例的氣箱,例如氣箱330。方法600可以包括多個可選操作,這些可選操作可以與或可以不與根據本技術的方法的一些具體實施例具體相關。
方法600可以包括一種處理方法,處理方法可以包括用於以高頻率形成材料膜的操作或其他沉積操作,諸如產生3D NAND膜的堆疊,相對於習知處理,此可由更高沉積速率形成並且沉積的均勻性更高。方法可以包括在方法600啟動之前的可選操作,或者方法可以包括附加操作。例如,方法600可以包括以與所示出的順序不同的順序執行的操作。在一些具體實施例中,方法600可以包括在操作605處將一種或多種前驅物流入處理室。例如,在將前驅物輸送到腔室(例如包括在系統200中)的處理區域中之前,可以使前驅物流過如前所述的氣箱、阻隔板或面板中的一個或多個。
在一些具體實施例中,前驅物可以是或包括用於沉積氮化物層的含氮前驅物,諸如連同利用含氧前驅物來沉積氧化物層的操作一起。在一些具體實施例中,可以包括鐵氧體塊為與氣箱相關聯,或者如前所述被結合在氣箱內。也可以包括前述的氣箱的任何其他特徵,包括氣箱330的任何態樣。在操作610,可以例如藉由向面板提供RF功率以產生電漿來在處理區域內產生前驅物的電漿。電漿可以以先前描述的任何頻率生成,例如大於或大約27 MHz,大於或大約40 MHz或更高。在操作615中,可以將在電漿中形成的材料沉積在基板上。在一些具體實施例中,取決於所沉積的材料的厚度,所沉積的材料的特徵可以在於:基板中心處的厚度比沿著基板半徑的中部或邊緣區域的厚度大約10%或小於10%。
另外,基板中心處的厚度可比沿著基板半徑的中部或邊緣區域附近的厚度大約9%或小於9%、大約8%或小於8%、大約7%或小於7%、大約6%或小於6%、大約5%或小於5%、大約4%或小於4%、大約3%或小於3%、大約2%或小於2%、大約1%或小於1%、或可實質類似或均勻,在沿著基板的各個位置。根據本技術的一些具體實施例,藉由利用具有高頻電漿沉積處理的鐵氧體塊,沉積速率可以比在較低頻率下執行的沉積高50%以上,同時與習知處理相比,可以改善電漿和沈積的均勻性。
在上文說明中,為了解釋的目的,闡述了多種細節,以期通透瞭解本科技的各種具體實施例。然而在本發明技術領域中具有通常知識者將顯然瞭解到,特定具體實施例的實作可並不需要這些特定細節的一些(或是需要額外的細節)。
在已揭示了數種具體實施例之後,在本發明技術領域中具有通常知識者將理解到,可使用各種修改、替代性結構與均等範圍,而不脫離所揭示具體實施例的精神。此外,並未說明一些為人熟知的處理與要素,以避免不必要地遮蔽本科技。因此,上文的說明不應被視為限制科技的範圍。
在提供一系列值的情況下,應當理解,除非上下文另有明確規定,否則還具體公開了此範圍的上限和下限之間的每個中間值,至下限單位的最小部分。在所述範圍內的任何陳述值或未陳述的介入值與所述範圍內的任何其他陳述或介入值之間的任何較窄範圍都包括在內。這些較小範圍的上限和下限可以獨立地包括在此範圍內或排除在此範圍內,且包含上下限之一者、兩者、或皆不包含的較小範圍中的每一範圍也被包含在本技術內,且受制於所陳述範圍中任何特別排除的限制。在所陳述的範圍包含上下限之一者或兩者時,也包含了排除了這些上下限之任一者或兩者的範圍。
說明書與附加申請專利範圍中所使用的單數形式「一(a)」、「一(an)」以及「該」,包含複數的參照物,除非背景內容清楚表示並非如此。因此,例如,對「一塊」的參照,包含複數個此種塊,且對於「此前驅物」的參照,包含對於一或更多種前驅物的參照以及在本發明技術領域中具有通常知識者所能知的均等範圍,諸如此類。
此外,本說明書和下列申請專利範圍中使用的詞語「包含(comprise(s))」、「包含(comprising)」、「含有(contain(s))」、「含有(containing)」、「包括(include(s))」和「具有(including)」,意為指明所陳述的特徵、整數、部件、或作業的存在,但他們不排除存在或添加一個或多個其他特徵、整數、部件、作業、步驟、或組。
100:處理系統 102:前開式晶圓傳送盒 104:機械臂 106:低壓固持區域 110:第二機械臂 200:電漿系統 201:內側壁 202:腔室主體 203:電源插座或電源箱 204:腔室蓋 206:陰影環 208:前驅物分配系統 212:側壁 216:底壁 218:雙通道噴淋頭 222:通道 224:通道 225:圓周幫浦空腔 226:桿 227:腔室襯墊組件 228:基座 229:基板 230:桿 231:排氣口 232:加熱元件 233:凸緣 235:圓周環 238:基座組件 240:前驅物入口通道 244:阻隔板 246:面板 247:冷卻通道 248:環形基底板 258:介電隔離器 260:基板傳送端口 261:基板升舉銷 264:幫浦系統 265:RF源 300:腔室 305:處理區域 306:基板 310:基座 315:腔室蓋板 320:入口歧管 322:中心孔 325:絕緣體 327:中心孔 330:氣箱 331:第一表面 332:第二表面 333:中心孔 340:環形通道 341:凹入壁架 342:外罩 350:阻隔板 352:空間 355:面板 360:鐵氧體塊 362:介電材料 405:第二環形通道 410:介電間隔物 415:外罩 600:方法 605:操作 610:操作 615:操作 108a:基板處理室 108b:基板處理室 108c:基板處理室 108d:基板處理室 108e:基板處理室 108f:基板處理室 109a:串聯部分 109b:串聯部分 109c:串聯部分 220A:處理區域 220B:處理區域 360a:鐵氧體塊 360b:鐵氧體塊 365a:鐵氧體塊 365b:鐵氧體塊
參照說明書的其餘部分與圖式,可進一步理解所揭示技術的本質與優點。
圖1示出了根據本技術的一些具體實施例的示例性處理系統的俯視圖。
圖2示出了根據本技術的一些具體實施例的示例性電漿系統的示意性剖視圖。
圖3示出了根據本技術的具體實施例的示例性處理室的部分截面示意圖。
圖4示出了根據本技術的一些具體實施例的示例性氣箱的示意性剖視圖。
圖5A示出了根據本技術的一些具體實施例的示例性鐵氧體塊的示意性俯視圖。
圖5B示出了根據本技術的一些具體實施例的示例性鐵氧體塊的示意性俯視圖。
圖6示出了根據本技術的一些具體實施例的半導體處理的示例性方法中的操作。
數個圖式被包含以作為示意圖。應瞭解到圖示係用於說明,且不應被視為具有實際尺寸比例,除非特定說明其為實際尺寸比例。此外,作為示意圖,圖式被提供以幫助理解,且可不包含相較於實際呈現的所有態樣或資訊,並可包含誇大的內容以供說明。
在附加圖式中,類似的組件及(或)特徵可具有相同的元件符號。再者,相同類型的各個組件,可由元件符號之後的字母來分辨,此字母分辨類似的組件。若說明書中僅使用了首個元件符號,則其說明可適用於具有相同的首個元件符號的類似組件之任意者,不論其字尾字母為何。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
300:腔室
305:處理區域
306:基板
310:基座
315:腔室蓋板
320:入口歧管
322:中心孔
325:絕緣體
327:中心孔
330:氣箱
331:第一表面
332:第二表面
333:中心孔
340:環形通道
341:凹入壁架
342:外罩
350:阻隔板
352:空間
355:面板
360:鐵氧體塊
362:介電材料

Claims (20)

  1. 一種半導體處理室,包含: 一基板支座,該基板支座設置在該半導體處理室的一處理區域內; 一蓋板; 一氣箱,該氣箱設置在該蓋板與該基板支座之間,該氣箱的特徵在於一第一表面和與該第一表面相對的一第二表面,其中該氣箱限定一中心孔,其中該氣箱在該氣箱的該第一表面中限定一環形通道,該環形通道圍繞該中心孔延伸通過該氣箱,其中該氣箱在該氣箱的該第一表面中限定一凹入壁架,該凹入壁架圍繞該環形通道延伸,且其中該氣箱包含一環形外罩,該環形外罩安置在該凹入壁架上並延伸跨過限定在該氣箱的該第一表面中的該環形通道; 一阻隔板,該阻隔板位於該氣箱和該基板支座之間; 一鐵氧體塊,該鐵氧體塊設置在該蓋板與該阻隔板之間;以及 一面板,該面板位於該阻隔板和該基板支座之間。
  2. 如請求項1所述的半導體處理室,其中該鐵氧體塊的特徵在於圍繞該氣箱的該中心孔延伸的一環形形狀。
  3. 如請求項2所述之半導體處理室,該半導體處理室進一步包含: 一絕緣體,該絕緣體位於該氣箱和該蓋板之間,其中該絕緣體限定一中心孔,該中心孔與穿過該氣箱的該中心孔軸向對齊,其中該鐵氧體塊圍繞該絕緣體延伸。
  4. 如請求項1所述的半導體處理室,其中該鐵氧體塊包含圍繞該氣箱延伸的複數個塊。
  5. 如請求項1所述的半導體處理室,其中該鐵氧體塊佈置在一介電材料內。
  6. 如請求項5所述的半導體處理室,其中該介電材料的特徵在於低於或約為3.7的一介電常數。
  7. 如請求項6所述的半導體處理室,其中該介電材料包括聚四氟乙烯或聚醚醚酮。
  8. 如請求項1所述的半導體處理室,其中該氣箱在該氣箱內限定一第二環形通道,並且其中該鐵氧體塊安置於該第二環形通道內。
  9. 如請求項8所述的半導體處理室,其中一介電間隔物設置於安置於該第二環形通道內的該鐵氧體塊上。
  10. 如請求項9所述的半導體處理室,其中該介電間隔物在該介電間隔物內限定一凹部,且其中一外罩安置於該介電間隔物內的該凹部內。
  11. 如請求項10所述的半導體處理室,其中該介電間隔物在該外罩和該鐵氧體塊之間形成一垂直間隔,並且其中該介電間隔物在該外罩和該氣箱之間形成一徑向間隙。
  12. 一種半導體處理室,包含: 一基板支座,該基板支座設置在該半導體處理室的一處理區域內; 一蓋板; 一氣箱,該氣箱設置在該蓋板與該基板支座之間,該氣箱的特徵在於一第一表面和與該第一表面相對的一第二表面,其中該氣箱限定一中心孔,其中該氣箱在該氣箱的該第一表面中限定一環形通道,該環形通道圍繞該中心孔延伸通過該氣箱,其中該氣箱在該氣箱的該第一表面中限定一凹入壁架,該凹入壁架圍繞該環形通道延伸,且其中該氣箱包含一環形外罩,該環形外罩安置在該凹入壁架上並延伸跨過限定在該氣箱的該第一表面中的該環形通道; 一鐵氧體塊,該鐵氧體塊設置在該蓋板與該氣箱之間; 一阻隔板,該阻隔板位於該氣箱和該基板支座之間;以及 一面板,該面板位於該阻隔板和該基板支座之間。
  13. 如請求項12所述的半導體處理室,其中該鐵氧體塊的特徵在於圍繞該氣箱的該中心孔延伸的一環形形狀。
  14. 如請求項13所述的半導體處理室,該半導體處理室進一步包含: 一絕緣體,該絕緣體位於該氣箱和該蓋板之間,其中該絕緣體限定一中心孔,該中心孔與穿過該氣箱的該中心孔軸向對齊,其中該鐵氧體塊圍繞該絕緣體延伸。
  15. 如請求項13所述的半導體處理室,其中該鐵氧體塊包含圍繞該氣箱延伸的複數個塊。
  16. 如請求項12所述的半導體處理室,其中該鐵氧體塊設置於一介電材料內,且其中該介電材料包括聚四氟乙烯或聚醚醚酮。
  17. 一種半導體處理室氣箱,包含: 一第一表面與一第二表面,該第二表面相對於該第一表面,其中: 該氣箱限定一中心孔, 該氣箱在該氣箱的該第一表面上限定一環形通道,該環形通道圍繞該中心孔延伸穿過該氣箱, 一鐵氧體塊安置於該環形通道內, 一介電間隔物安置於該鐵氧體塊上,並且 一外罩安置於該介電間隔物上。
  18. 如請求項17所述的半導體處理室氣箱,其中該介電間隔物在該介電間隔物的一表面內限定一凹部,該表面相對於接觸該鐵氧體塊的一表面,且其中該外罩安置於該凹部內。
  19. 如請求項18所述的半導體處理室氣箱,其中該介電間隔物在該外罩和該鐵氧體塊之間形成一垂直間隔,並且其中該介電間隔物在該外罩和該氣箱之間形成一徑向間隙。
  20. 如請求項17所述的半導體處理室氣箱,其中該鐵氧體塊包含圍繞該氣箱的該第一表面中的該環形通道延伸的複數個塊。
TW110112500A 2020-04-09 2021-04-07 用於高頻處理的蓋堆疊 TWI774308B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/844,089 US11499231B2 (en) 2020-04-09 2020-04-09 Lid stack for high frequency processing
US16/844,089 2020-04-09

Publications (2)

Publication Number Publication Date
TW202204677A true TW202204677A (zh) 2022-02-01
TWI774308B TWI774308B (zh) 2022-08-11

Family

ID=78006049

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110112500A TWI774308B (zh) 2020-04-09 2021-04-07 用於高頻處理的蓋堆疊

Country Status (6)

Country Link
US (2) US11499231B2 (zh)
JP (1) JP2023520909A (zh)
KR (1) KR20220164797A (zh)
CN (1) CN115398031A (zh)
TW (1) TWI774308B (zh)
WO (1) WO2021206998A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11499231B2 (en) 2020-04-09 2022-11-15 Applied Materials, Inc. Lid stack for high frequency processing
US11515176B2 (en) * 2020-04-14 2022-11-29 Applied Materials, Inc. Thermally controlled lid stack components

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US6204607B1 (en) * 1998-05-28 2001-03-20 Applied Komatsu Technology, Inc. Plasma source with multiple magnetic flux sources each having a ferromagnetic core
USRE40195E1 (en) * 1998-12-30 2008-04-01 Tokyo Electron Limited Large area plasma source
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6418874B1 (en) * 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
KR100731994B1 (ko) 2006-02-23 2007-06-27 주식회사 뉴파워 프라즈마 매설된 외부 페라이트 코어를 구비하는 플라즈마 처리 챔버
KR100886240B1 (ko) 2006-10-27 2009-03-02 주식회사 뉴파워 프라즈마 다중 안테나를 갖는 유도 결합 플라즈마 반응기 및 이를위한 다중 안테나 구동 시스템
WO2010048084A2 (en) 2008-10-24 2010-04-29 Applied Materials, Inc. Electrode and power coupling scheme for uniform process in a large-area pecvd chamber
JP5642181B2 (ja) * 2009-08-21 2014-12-17 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. 基体を処理する装置及び基体の処理方法
US20110278260A1 (en) 2010-05-14 2011-11-17 Applied Materials, Inc. Inductive plasma source with metallic shower head using b-field concentrator
US20130034666A1 (en) * 2011-08-01 2013-02-07 Applied Materials, Inc. Inductive plasma sources for wafer processing and chamber cleaning
US20210280389A1 (en) 2016-08-01 2021-09-09 Applied Materials, Inc. Large-area vhf pecvd chamber for low-damage and high-throughput plasma processing
US11532464B2 (en) 2018-02-15 2022-12-20 Applied Materials, Inc. Reactor design for large-area VHF plasma processing with improved uniformity
US11499231B2 (en) 2020-04-09 2022-11-15 Applied Materials, Inc. Lid stack for high frequency processing

Also Published As

Publication number Publication date
US11499231B2 (en) 2022-11-15
US20210317578A1 (en) 2021-10-14
US11846011B2 (en) 2023-12-19
CN115398031A (zh) 2022-11-25
JP2023520909A (ja) 2023-05-22
KR20220164797A (ko) 2022-12-13
WO2021206998A1 (en) 2021-10-14
US20230049431A1 (en) 2023-02-16
TWI774308B (zh) 2022-08-11

Similar Documents

Publication Publication Date Title
KR102343829B1 (ko) 이중 매립 전극들을 갖는 기판 지지부
US9252001B2 (en) Plasma processing apparatus, plasma processing method and storage medium
US5942075A (en) Plasma processing apparatus
TWI566296B (zh) 電漿處理裝置
US11846011B2 (en) Lid stack for high frequency processing
US11430636B2 (en) Plasma processing apparatus and cleaning method
WO2004082007A1 (ja) 半導体処理用の基板保持構造及びプラズマ処理装置
TW202139790A (zh) 用於產生派形加工的對稱電漿源
JP7002655B2 (ja) 低周波バイアスを利用した誘電体膜の形状選択的な堆積
US10577689B2 (en) Sputtering showerhead
JP7382329B2 (ja) 基板支持体のためのプロセスキット
WO2021257318A1 (en) Asymmetric exhaust pumping plate design for a semiconductor processing chamber
CN112166490A (zh) 基板处理装置及喷淋头
US20220344134A1 (en) Process kit for a substrate support
WO2022203946A1 (en) Uniform in situ cleaning and deposition
JP2023551182A (ja) 堆積残留物制御のためのシステム及び方法
TW202224061A (zh) 處理腔室沉積限制
CN116134582A (zh) 多阶段泵送衬垫
TWI810683B (zh) 半導體處理腔室的覆蓋晶圓
TWI840341B (zh) 用於基板支撐件的處理套組
TWI798856B (zh) 用於斜面背部沉積消除的半導體處理系統及方法
US11810792B2 (en) Etching method and substrate processing apparatus
TW202226414A (zh) 用於半導體加工的高熱量損失加熱器與靜電卡盤
WO2024015187A1 (en) Process kit for a substrate support
TW202310684A (zh) 具有電感耦合電漿源的反應器