CN110112053A - 组合处理腔室和处置腔室 - Google Patents

组合处理腔室和处置腔室 Download PDF

Info

Publication number
CN110112053A
CN110112053A CN201910130024.1A CN201910130024A CN110112053A CN 110112053 A CN110112053 A CN 110112053A CN 201910130024 A CN201910130024 A CN 201910130024A CN 110112053 A CN110112053 A CN 110112053A
Authority
CN
China
Prior art keywords
chamber
substrate
region
plasma
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201910130024.1A
Other languages
English (en)
Other versions
CN110112053B (zh
Inventor
王安川
X·陈
Z·李
H·哈玛纳
Z·陈
C-M·徐
J·黄
N·K·英格尔
D·卢博米尔斯基
S·文卡特拉马
R·撒库尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN201910130024.1A priority Critical patent/CN110112053B/zh
Publication of CN110112053A publication Critical patent/CN110112053A/zh
Application granted granted Critical
Publication of CN110112053B publication Critical patent/CN110112053B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • H01L21/2686Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation using incoherent radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Robotics (AREA)
  • Electromagnetism (AREA)
  • Optics & Photonics (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明涉及组合处理腔室和处置腔室。提供用于控制湿气污染物造成的工艺缺陷的系统、腔室及工艺。系统可提供用于腔室的配置以在真空或受控环境中施行多项操作。腔室可包括组合腔室设计中的配置以提供附加处理功能。方法可提供对老化缺陷的限制、防止及修正,该等老化缺陷可由系统工具施行的蚀刻工艺造成。

Description

组合处理腔室和处置腔室
本申请是申请日为2014年3月6日、申请号为201480018007.4、名称为“用于卤化物驱气的处理系统及方法”的中国专利申请(PCT申请号为PCT/US2014/021246)的分案申请。
根据35U.S.C§119的优先权要求
本申请主张2014年2月24日提交的第14/188,344号美国临时专利申请案的优先权,第14/188,344号美国临时专利申请主张2013年3月15日提交的第第61/789,259号美国临时专利申请案的权益,该等申请案出于所有目的以全文引用的方式并入本文中。
技术领域
本发明技术是关于半导体系统、工艺及设备。更特定言之,本发明技术是关于减少膜污染物及提高装置品质的系统及方法。
背景技术
通过工艺使集成电路成为可能,该工艺于基板表面上生产出错综复杂的图案化材料层。于基板上生产图案化材料要求受控方法以移除暴露材料。化学蚀刻用于多种用途,包括将光阻剂中的图案转移进入底层、使层变薄或减小表面上既有特征的侧向尺寸。通常期望有一蚀刻工艺,该蚀刻工艺蚀刻一种材料的速度快于另一材料,促进例如一种图案转移工艺。据称此类蚀刻工艺对第一材料具有选择性。由于材料、电路及工艺的多样性,蚀刻工艺已发展为对各种材料具有选择性。
基于工艺中使用的材料,蚀刻工艺可分为湿式或干式。一种湿式HF蚀刻相对于其他介电质及材料优先移除氧化硅。然而,湿式工艺可能不易渗入一些受限壕沟,且有时亦会使余下材料变形。形成于基板处理区域内的局部等离子体中产生的干式蚀刻可渗入更受限的壕沟,并展示余下细微结构的较少变形。然而,局部等离子体可经由放电时产生的电弧损坏基板。
因此,需要可用于生产高品质装置及结构的改良系统及方法。本发明技术解决了该等及其它需要。
发明内容
提供用于控制湿气污染物造成的工艺缺陷的系统、腔室及工艺。系统可提供用于腔室的配置以在真空或受控环境中施行多项操作。腔室可包括组合腔室设计中的配置以提供附加处理功能。方法可提供对老化缺陷的限制、防止及修正,该等老化缺陷可由系统工具施行的蚀刻工艺造成。
根据本发明技术的基板处理系统可包括数个固持腔室;数个装载腔室,该等数个装载腔室经配置以接收基板进入真空环境;及具有至少两个界面转移装置的界面段,该等界面转移装置经配置以在该等数个固持腔室与该等数个装载腔室间输送基板,该等数个固持腔室在界面段的第一位置处与界面段耦接,该等数个装载腔室在界面段的第二位置处与界面段耦接,与该等数个固持腔室相对。系统亦可包括一处置腔室,该处置腔室定位为与数个装载腔室中的至少一者垂直对齐且与数个装载腔室中的该至少一者耦接。系统可包括数个处理腔室以及一工艺转移装置,该工艺转移装置经配置以在该等数个装载腔室中的任一者与该等数个处理腔室中的任一者之间输送基板,同时将基板保持在真空条件下。该工艺转移装置亦可经配置以垂直于该处置腔室输送基板。
处理系统可具有均处于系统的第一海拔平面上的装载腔室和处理腔室;及处于基板处理系统的第二海拔平面上的处置腔室,该第二海拔平面处于基板处理系统的第一海拔平面之上。转移装置可经配置以维持真空条件同时垂直于处置腔室输送基板。系统可包括数个处置腔室,其中每个处置腔室与数个装载腔室中的一者垂直对齐且耦接。系统亦可包括两个装载腔室及两个处置腔室,且该等装载腔室可相互水平地布置。
系统可进一步包括一处置等离子体产生装置,该处置等离子体产生装置与两个处置腔室分开并与该等处置腔室耦接。该系统亦可包括两个处置等离子体产生装置,其中处置等离子体产生装置中的一者可与处置腔室中的一者耦接,处置等离子体产生装置中的第二者可与处置腔室中的第二者耦接。处置腔室可包括经配置以在处置腔室内产生一直接等离子体的组件,且该直接等离子体可包括一电容耦合等离子体。处置腔室亦可包括经配置以在处置腔室内产生一紫外光处置的组件。系统的固持腔室可包括至少一入口端口,且可经配置以经由该入口端口接收一流体以及引导该流体穿过该固持腔室并进入界面段。固持腔室亦可包括至少一内部扩散器,该内部扩散器经配置以引导该接收的流体贯穿固持腔室。系统装载腔室可包括至少一个加热装置,该加热装置经配置以加热该装载腔室达300℃左右。
处理系统可进一步包括一湿式蚀刻腔室,该湿式蚀刻腔室在界面段的第三位置处与界面段耦接,界面段的第三位置与界面段的第一及第二位置相邻。储存腔室亦可在界面段的第四位置处与界面段耦接,该第四位置与第三位置相对。
处理系统的处理腔室可耦接成基板处理系统内的成对串联处理腔室。处理腔室可包括至少两对串联处理腔室,其中至少两对串联处理腔室中的第一对可经配置以施行氧化硅蚀刻操作,且至少两对串联处理腔室中的第二对可经配置以施行硅蚀刻操作。
亦描述一种基板处理的方法,该方法可包括以下步骤:使用第一转移装置从固持腔室转移基板至装载腔室。方法亦可包括以下步骤:抽空该装载腔室以使得基板维持在真空环境中。该方法可包括以下步骤:使用第二转移装置从抽空的装载腔室转移基板至处理腔室,及然后使用第二转移装置从处理腔室转移基板至装载腔室。一旦回到装载腔室中,该方法可包括以下步骤:移除装载腔室的真空条件,及最后可包括以下步骤:使用第一转移装置从装载腔室转移基板至储存腔室。
该方法亦可包括以下步骤:在将基板转移至固持腔室之前,使用第一转移装置转移基板至湿式蚀刻站。该方法可进一步包括以下步骤:在将基板转移至装载腔室之前,使用第二转移装置从处理腔室转移基板至与装载腔室垂直对齐且耦接的处置腔室。该等方法的处置腔室可经配置以施行驱气操作以将卤化物种从氧化硅材料中移除。驱气操作可包括等离子体工艺,且在所揭示实施例中亦可包括紫外线处置或电子束处置。
储存腔室可用惰性流体连续净化,以使得储存腔室包含惰性环境,且在所揭示实施例中,储存腔室可为固持腔室,但储存腔室亦可为与固持腔室分开的腔室。该方法亦可包括以下步骤:继将基板至转移装载腔室之后,加热基板至第一温度达第一段时间。处理腔室可包括第一处理腔室,且该第一处理腔室可经配置以施行氧化物蚀刻工艺。方法亦可包括以下步骤:在将基板转移至装载腔室之前,从第一处理腔室转移基板至第二处理腔室,且该第二处理腔室可经配置以施行硅蚀刻工艺。
亦描述一种计算系统,该计算系统电耦接基板处理系统且经配置以提供操作指令至该基板处理系统。该计算系统可包括一或多个处理器及存储器装置,该存储器装置以通信方式耦接该一或多个处理器且具有用于施行操作的指令集。当指令集由一或多个处理器执行时,该等指令集可促使基板处理系统及/或气体输送系统及个别腔室可经受命令以使用第一转移装置将基板从固持腔室转移至装载腔室。该等指令可进一步促使该装载腔室被抽空,以使得基板可维持在真空环境中。该等指令亦可促使基板通过第二转移装置从抽空的装载腔室转移至处理腔室,亦可促使基板通过第二转移装置从处理腔室转移至与装载腔室垂直对齐且耦接的处置腔室。该等执行的指令可进一步促使基板通过第二转移装置从处置腔室转移至装载腔室,之后移除装载腔室的真空条件。该等执行的指令可另外促使基板通过第一转移装置从装载腔室转移至固持腔室。计算系统亦可电耦接气体输送系统并经配置以提供指令至气体输送系统,以及可促使气体输送系统提供至少一种前体至处理腔室,该计算系统可为控制器。当基板转移回到装载腔室时,该等指令可促使处理系统将装载腔室从第一温度加热达到高于或在200℃左右的第二温度。
亦描述一种组合处理腔室,该组合处理腔室可包括下部腔室外壳及上部腔室外壳。该下部腔室外壳可界定或包括位于下部腔室外壳的第一侧上的第一入口,及位于下部腔室外壳的第二侧上的第二入口,下部腔室外壳的第二侧与该下部腔室外壳的第一侧相对。该上部腔室外壳可与下部腔室外壳耦接,且可包括位于上部腔室外壳的第一侧上的第三入口,该上部腔室外壳的第一侧与下部腔室外壳的第一侧一致;及上部处理区域,该上部处理区域至少部分地由面板从上界定,该面板安置于该上部腔室外壳之内。
下部腔室外壳可界定下部基板区域,并且该下部基板区域亦可包括或至少部分地由加热器从下界定,该加热器经配置以加热下部基板区域达300℃左右。该下部基板区域亦可经配置以从大气压力抽空至低于大气压力的第二压力,并且该下部腔室外壳可经配置以在结构上支援压力循环每10分钟从大气压力降至小于或约5毫托并回升。
上处理腔室亦可包括温度控制装置,该温度控制装置经配置以维持安置在该装置上的基板的温度在约0℃与约600℃之间。温度控制装置可包括加热板,该加热板安置于上部腔室外壳之内以从下至少部分地界定该上部处理区域。该上部处理区域亦可包括基板支撑装置,该基板支撑装置经配置以沿着边缘区域支撑基板并在上部处理区域内悬挂该基板。该腔室可具有远端等离子体单元,该远端等离子体单元与上部腔室外壳的上部耦接。亦可包括上部分布区域,该上部分布区域至少部分地界定在上部腔室外壳的上部与面板之间。上部分布区域可包括中心分布区域及边缘分布区域,该边缘分布区域与该中心分布区域分离且位于该中心分布区域的径向远端。
组合腔室可进一步包括气体入口组件,该气体入口组件安置于上部腔室外壳的上部内且经配置以输送前体至上部分布区域中。气体入口组件的至少部分特征在于圆柱形状,并且气体入口组件的下部可界定数个径向分布在气体入口组件下部周围的气体输送孔。该气体入口组件亦可包括旁路流体通道,该旁路流体通道经配置以围绕气体入口组件的圆柱形部分输送至少一种前体。该旁路流体通道可包括第一旁路段,该第一旁路段经配置以引导至少一种前体至该中心分布区域,且该旁路流体通道可包括第二旁路段,该第二旁路段经配置以引导至少一种前体至该边缘分布区域。
组合腔室的面板可与多位置开关耦接,该多位置开关可操作以在交替开关位置处将面板连接至电源及接地源。上部腔室外壳的下部可接地,使得当面板连接至电源时,上部处理区域中产生等离子体。上部腔室外壳的下部亦可与上部腔室外壳的其他部分电气隔离。
所揭示技术亦可包括处置腔室。该处置腔室可包括底部与基板装载闭锁腔室耦接的腔室外壳。该处置腔室亦可包括入口组件,该入口组件经配置以将流体接收至腔室内界定的内部区域中;面板,该面板安置在内部区域内且在腔室内从下界定分布区域并从上界定处理区域。
面板可包括介电材料(诸如,石英)且亦可包括导电材料以使得面板可作为电极进行操作。在此配置中,腔室可经配置以在处理区域内产生等离子体。腔室的组件可经内衬或经其他处置,且入口组件可包括例如石英衬。处置腔室亦可具备与入口组件耦接的能量生产单元。该入口组件亦可包括窗户,且该能量生产单元可包括经配置以提供紫外光至腔室的光源。
所揭示技术亦可包括防止已处置基板上发生表面反应的方法。该等方法可包括以下步骤:在第一蚀刻工艺中蚀刻基板,且该第一蚀刻工艺对氧化硅的选择性可胜过硅。该等方法亦可包括以下步骤:在第二蚀刻工艺中蚀刻基板,且该第二蚀刻工艺对硅的选择性可胜过氧化硅。该等方法可包括以下步骤:加热基板至第一处置温度,及然后转移基板至干燥环境。
在加热基板后可将基板转移至一腔室,且一流体可连续流动穿过该腔室以维持干燥环境。就蚀刻工艺而言,第二蚀刻工艺可包括含氟前体及含氧前体。第一蚀刻工艺可利用含氟前体及含氢前体。在蚀刻工艺中,氧化硅区域可暴露至第二蚀刻工艺,且第二蚀刻工艺可产生自由基氟物种。该等自由基氟物种可并入氧化硅或其他暴露材料(诸如,氮化硅)。加热操作的第一温度可大于或约150℃。操作可类似地包括加热基板至高于或低于第一温度的第二温度。可将基板维持于第一温度达第一段时间,且其中第一段时间大于或约2分钟。如果施行第二加热操作,则可将基板维持于第二温度达第二段时间,该第二段时间可大于或小于第一段时间。
亦包括蚀刻基板的方法,该等方法可包括以下步骤:提供包括硅的基板及使氧化硅层覆盖于硅上。该等方法可包括以下步骤:在第一蚀刻工艺中蚀刻基板;其中第一蚀刻工艺对氧化硅的选择性可胜过硅。可在第二蚀刻工艺中蚀刻基板,其中第二蚀刻工艺对硅的选择性可胜过氧化硅。该等方法亦可包括以下步骤:在第三蚀刻工艺中蚀刻基板,其中第三蚀刻工艺对氧化硅的选择性可胜过硅。在所揭示实施例中,第一蚀刻工艺及第三蚀刻工艺为类似蚀刻工艺。可在第一处理腔室中施行第一蚀刻工艺及第三蚀刻工艺,且可在第二处理腔室中施行第二蚀刻工艺。第三蚀刻工艺可蚀刻氧化硅层以移除深度至少约5埃的可包括残余卤化物物种的材料。第一蚀刻工艺及第三蚀刻工艺可包括使基板暴露于含氮前体及含氟前体,其中该含氟前体流经等离子体以产生等离子体流出物。第二蚀刻工艺包括使基板暴露于含氟前体及含氧前体,其中该含氟前体流经等离子体以产生等离子体流出物。该氧化硅层可暴露于第二蚀刻工艺,且剩余氟物种可并入氧化硅层。
包括蚀刻基板的附加方法,该等方法亦可包括以下步骤:提供包括硅的基板及使氧化硅层覆盖于硅上。该工艺可包括在第一蚀刻工艺中蚀刻基板,且该第一蚀刻工艺对氧化硅的选择性可胜过硅。该等方法可包括以下步骤:在第二蚀刻工艺中蚀刻基板,其中第二蚀刻工艺对硅的选择性可胜过氧化硅。该等方法亦可包括以下步骤:使用第三工艺处置基板。在处理期间,氧化硅层可暴露于第二蚀刻工艺。第二蚀刻工艺可产生自由基氟物种,且在某些工艺中残余氟物种可并入氧化硅层。
所描述方法的第三工艺可包括以下步骤:在基板表面处引导等离子体流出物。等离子体流出物可自惰性前体产生,且可从氧化硅层移除顶表面。在所揭示实施例中,第三工艺可包括湿式蚀刻工艺。在某些配置中,第一工艺、第二工艺及第三工艺中的每一者可在不同处理腔室中施行。湿式蚀刻可包括氢氟酸(诸如在某些实施例中可具有200:1、150:1、100:1、50:1等比例或在上述所列出的比例之内、高于或低于上述比例的其他比例的DHF)。该湿式蚀刻可移除高达12埃左右的氧化硅层。在所揭示实施例中,第三工艺可包括使氧化硅层暴露于去离子水。该去离子水不用蚀刻该氧化硅层即可从氧化硅层中移除至少一部分残余氟物种。
本发明技术亦包括从具有暴露的硅表面及氧化硅表面的已处理基板移除污染物的方法。该等方法可包括以下步骤:在蚀刻工艺中蚀刻基板,且该蚀刻工艺对硅的选择性可胜过氧化硅。蚀刻工艺可产生自由基物种,且自由基物种的残余物种可并入氧化硅层。该等方法亦可包括以下步骤:处置基板以从氧化硅表面移除至少一部分残余物种。所执行的蚀刻工艺可包括使基板暴露于含氟前体及含氧前体。该含氟前体可流经等离子体以产生可包括自由基氟物种的至少一部分自由基物种。
蚀刻工艺可不蚀刻或实质上不蚀刻该氧化硅层。举例而言,可仅移除少量氧化硅材料。自由基氟物种可并入一剖面中的氧化硅层,以使得并入程度随着氧化硅膜深度渐增而降低。可施行的处置可选自由热处置、UV处置、电子束处置、微波处置、固化处置及等离子体处置组成的群组。可施行处置的温度可为介于约0℃与约800℃之间的温度。另外,可施行处置的压力可为介于约1毫托与约700托之间的压力。基板的处置可包括减少氧化硅层中的残余物种量至低于或约20%。基板的处置亦可包括减少氧化硅层中的残余物种量至低于或约10%,或低于或约5%。在所揭示实施例中,处置可实质或基本上移除氧化硅材料的上表面处的残余卤化物。在此情况中,残余卤化物物种仍可在氧化硅表面较小深度处存在。
该等方法亦可包括以下步骤:转移基板至处置腔室以用于处置工艺。在转移至处置腔室期间,基板可维持在真空下,且基板的处置可包括使处置物种暴露于能量源以产生经配置以与自由基物种相互作用的激励处置物种。在所揭示实施例中,该等处置物种可选自由含氢前体、含氧前体、含氮前体及惰性前体组成的群组;且在一实例中,用于激励处置物种的能量源可包括等离子体,该等离子体可形成于处置腔室之内或之外以输送激励物种至基板。内部或外部利用的等离子体可包括等离子体,该等离子体选自由电容耦合等离子体、感应耦合等离子体、微波等离子体及环形等离子体组成的群组。所利用的处置物种可包括一或多种前体,该一或多种前体包括与残余物种键接的含氢前体。该等方法亦可包括以下步骤:转移基板至钝化腔室以用于钝化工艺。在转移至发生钝化的腔室期间,基板可维持在真空下或惰性环境中。施行的钝化可包括加热基板至大于或约150℃的温度达大于两分钟或约两分钟的时间段。
所揭示的技术亦可包括移除具有暴露的氧化硅区域及暴露的非氧化物区域的基板上的污染物的方法。该等方法可包括以下步骤:使含氟前体流入基板处理腔室的远端等离子体区域中,同时在远端等离子体区域中形成等离子体以产生含氟等离子体流出物,该远端等离子体区域与基板处理腔室的基板处理区域流体耦接。该等方法可包括以下步骤:利用等离子体流出物蚀刻暴露的非氧化物区域,且包含一部分含氟等离子体流出物的氟物种可并入在蚀刻工艺期间可暴露的氧化硅区域。该等方法可包括以下步骤:使第一处置前体流入基板处理腔室的远端等离子体区域中以产生处置等离子体流出物,并使至少一种附加处置前体流入可与处置等离子体流出物相互作用的基板处理区域中。该等方法可进一步包括以下步骤:使氧化硅区域暴露于包括处置等离子体流出物的处置前体以从氧化硅区域移除残余等离子体流出物。
在所揭示实施例中,基板的经暴露的非氧化区域可包括硅,氮化硅或金属。可使用的处置等离子体流出物可至少部分地解离基板处理区域内的至少一种附加处置前体。通过至少部分地解离前体,部分解离物种的组合间可形成完全解离的物种。被至少部分解离的至少一种附加处置前体可相互发生物理或化学作用,且可键接并入氧化硅区域的氟物种。该处置前体可包括一种惰性或稀有物种,且可包括选自由氮、氦、氩及氙组成的群组之前体。
所利用的至少一个附加处置前体可包括含氢前体及其他前体。对氧化硅材料施行的暴露可促使移除一部分氧化硅材料。该暴露亦可移除至少一部分氟物种,同时维持或基本维持氧化硅材料。据此,无意中少量移除氧化硅物种仍可由该等方法完成。可在单一腔室环境中施行该等方法,如此可允许该等方法在一实质抽空的环境中发生,以及在腔室内的稳定或恒定环境中发生。该暴露可在约0℃与约800℃之间的温度以及在该范围内的许多温度下施行;该暴露亦可在约1毫托至约700托之间的压力下施行。
本发明技术仍可进一步包括移除具有暴露的氧化硅区域及暴露的非氧化物区域的基板上的污染物的方法。该等方法可包括以下步骤:使含氟前体流入基板处理腔室的远端等离子体区域中,同时在远端等离子体区域中形成等离子体以产生含氟等离子体流出物,该远端等离子体区域与基板处理腔室的基板处理区域流体耦接。该等方法可进一步包括以下步骤:利用等离子体流出物蚀刻暴露的非氧化区域,其中残余氟物种可并入氧化硅区域内。该等方法可进一步包括以下步骤:使至少一种处置前体流入基板处理区域种,以及使氧化硅区域暴露于至少一种处置前体以移除至少一部分残余氟物种。
在使至少一种处置前体流入基板处理区域中之前,该处置前体可不通过等离子体,且在所揭示实施例中,可在暴露期间使处理区域维持不含等离子体。据此,在所揭示实施例中,处置操作可完全或实质上于无等离子体状态下施行。该流入操作可包括多个工艺,该等多个工艺可包括凝结氧化硅区域的表面上的水蒸气,以及使含氮前体流入基板处理区域中。该等步骤可顺次施行,且可按直接顺序施行或于特定系统操作的操控后施行,该等特定系统操作包括步骤间的温度及压力。处置工艺中使用的含氮前体可包括氨。
在处置期间,水蒸气可与残余氟物种相互作用。此举可破坏表面内的残余物种的键接或并入,且可与凝结水组分直接键接。氨可随后与材料或经组合材料相互作用亦沿着氧化硅区域产生副产物。该等方法可进一步包括以下步骤:升高腔室内的温度高于可能导致副产物蒸发的临界温度。在所揭示实施例中,该临界温度可高于例如100℃左右。在所揭示实施例中,虽然工艺可最低程度减少氧化硅层,但工艺亦可实质或基本维持氧化硅材料,以使得材料厚度不会减少,或减少到特定水准之下(诸如小于或约50%、40%、30%、25%、20%、15%、10%、5%、1%等),或根本不会移除厚度。贯穿本揭示案描述的其他方法及技术可类似地减少材料的总厚度达到本文定义水准的任一者。该工艺亦可减少氧化硅的表面层内氟浓度低于约10%左右;该操作可包括减少包括残余氟物种的氧化硅材料的量,或从氧化硅材料移除氟物种。
与习知技术相比,此技术可提供无数益处。举例而言,该等系统及工艺可向新腔室提供附加功能性,允许施行处置工艺的同时维持干燥环境。该等工艺及系统亦可提供改良的工艺,该等改良工艺限制基板上的老化缺陷,及/或去除潜在诱因。结合以下描述及附随图式来更详细描述该等及其他实施例连同该等及其他实施例的优点及特征。
附图说明
通过参考说明书及图式的剩余部分可实现对该揭示技术的性质及优点的进一步理解。
图1A绘示根据所揭示技术的示例处理系统的俯视平面图。
图1B绘示根据所揭示技术的另一示例处理系统的俯视平面图。
图2绘示根据所揭示技术的示例处理系统的后部透视图。
图3绘示根据所揭示技术的于系统中使用的示例处理腔室的横截面示意图。
图4A绘示根据所揭示技术的示例处理腔室的另一横截面示意图。
图4B绘示如图4A所示的处理腔室组件的部分细节图。
图5绘示根据所揭示技术的示例处理腔室的另一横截面示意图。
图6绘示根据所揭示技术的示例处理腔室的另一横截面示意图。
图7绘示根据所揭示技术的喷头的仰视平面图。
图8绘示根据所揭示技术的另一喷头的仰视平面图。
图9绘示根据所揭示技术的示例处理系统的后部透视图。
图10绘示根据所揭示技术的示例处理系统的后部透视图。
图11绘示根据所揭示技术的示例处理系统的后部透视图。
图12A绘示根据所揭示技术的可与装载腔室耦接的示例处理腔室。
图12B绘示沿着线A-A的图12A中所示的处理腔室的横截面部分的平面图。
图12C绘示沿着线A-A的图12A中所示的处理腔室的横截面部分的另一平面图。
图13绘示根据所揭示技术的示例组合处理及装载腔室。
图14绘示根据所揭示技术的晶圆运输的示例工艺。
图15绘示根据所揭示技术的阐明晶圆运输的示例系统的俯视平面图。
图16绘示根据所揭示技术的沉积工艺及蚀刻工艺的示例方法。
图17绘示根据所揭示技术的蚀刻工艺及处置工艺的示例方法。
图18绘示根据所揭示技术的蚀刻工艺及移除工艺的示例方法。
图19绘示根据所揭示技术的蚀刻工艺及处置工艺的示例方法。
图20绘示根据所揭示技术的蚀刻工艺及暴露工艺的示例方法。
图21绘示可用于施行一个或多个所论述操作的简化电脑系统。
在附图中,类似组件及/或特征可具有相同元件符号。而且,相同类型的各种组件可通过元件符号后加区分类似组件及/或特征的字母进行区分。如果第一元件符号仅在说明书中使用,则描述适用于第一元件符号相同的类似组件及/或特征中的任一者而不论字母后缀。
具体实施方式
本发明技术包括用于减少半导体基板膜的卤化物污染物的改良系统及方法。该等系统及方法亦提供改良的工艺结构以限制与已处理基板发生相互作用的湿气。各种干式蚀刻工艺利用工艺中的含卤前体。当施行选择性蚀刻工艺时,非选择性材料或蚀刻较慢、较逊色或根本无法蚀刻的材料仍可暴露于蚀刻工艺中所使用的前体及化学物质。举例而言,比起氧化物材料,利用自由基化含氟前体的某些蚀刻工艺对硅、氮化硅及各种金属具有选择性。当施行蚀刻工艺时,尽管未移除材料,但氧化物材料仍可暴露于化学蚀刻剂。在某些情况下,工艺中产生的自由基氟或其他自由基卤化物可与氧化物材料(诸如,氧化硅材料)发生相互作用及/或组合。当工艺完成时,氟仍可并入氧化硅或与氧化硅键接。工艺可在真空下或惰性环境中施行,然而,在工艺完成后,基板可从真空环境移除并暴露于大气条件。如此,不仅在处理系统内转移基板,而且转移基板至交替处理系统以用于额外操作。
当暴露于大气条件时,老化缺陷可形成以至于影响介电质的品质及强度。在不希望被任何特殊理论束缚的情况下,发明者认为空气中的湿气可与保留在氧化物表面内的氟或其他卤化物发生相互作用。举例而言,存在于氧化基质之内或附着于氧化基质的氟可产生或类似于氟化氧化硅(诸如,化学式为SiOFx的氟化氧化硅)。当暴露于湿气时,根据如下化学式,水有可能会与氧化物发生相互作用:
SiOFx+H2O→HxSiO4+HF
此举可沿着氧化物材料表面产生硅酸,从而产生材料缺陷或老化缺陷。虽然水可从硅酸移除以重组氧化硅,但是膜品质可能受影响,此举可对随后的整个晶圆工艺有影响。在一个实例中,此类老化问题已通过原生氧化膜得到证实,该等原生氧化膜通常为覆盖基板的第一层中的一者。同样地,当该等膜受老化缺陷影响时,整个基板的品质可会受影响或损害。
据此,本文描述的系统及方法提供晶圆处理弹性以允许通过移除材料、污染物驱气及/或其他操作减少老化缺陷。下文将详细描述该等及其它益处。
一.系统及组件
为了更好地理解并了解该技术,现请参考图1A,该图绘示示例处理系统100A的俯视图,该示例处理系统100A经配置以施行蚀刻操作同时限制老化缺陷。在该图中,一对前开式晶圆盒(Front Opening Unified Pods;FOUP)102供应不同尺寸的基板,该基板由机械臂104接收并置放于低压装载腔室106中,随后置放于基板处理腔室108a-f中的一者,该等基板处理腔室108a-f中的一者定位于串联区109a-c中。在替代布置中,系统100A可具有附加FOUP,且可具有例如3、4、5、6等或更多个FOUP。处理腔室可包括如本揭示案其他地方描述的腔室中的任一者。在所揭示实施例中,处理系统包括数个FOUP或固持腔室。第二机械臂或一套机械臂111可用于从装载腔室106运输基板晶圆至基板处理腔室108a-f,并通过转移段110返回。虽然阐明两个装载腔室106,但是系统可包括数个装载腔室,该等数个装载腔室各自经配置以接收基板至真空环境中进行处理。每一基板处理腔室108a-f可经配备以施行若干基板处理操作,除循环层沉积(CLD)、原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻、预清洁、脱气、定向及其它基板工艺之外,包括本文描述的干式蚀刻工艺。在所揭示实施例中,举例而言,系统可包括至少两对串联处理腔室。至少两对串联处理腔室中的第一者可经配置以施行氧化硅蚀刻操作,且至少两对串联处理腔室中的第二者可经配置以施行硅或氮化硅蚀刻操作。
基板处理腔室108a-f可包括用于在基板晶圆上沉积、退火、固化及/或蚀刻介电膜的一个或多个系统组件。在一配置中,两对处理腔室例如108c-d及108e-f可用于在基板上施行第一蚀刻操作,且第三对处理腔室例如108a-b可用于在基板上施行第二蚀刻操作。在另一配置中,三对腔室例如108a-f可全部经配置以蚀刻基板上的介电膜。在另一配置中,第一对处理腔室例如108a-b可施行沉积操作(诸如,沉积可流动膜、原生氧化物或附加材料)。第二对处理腔室例如108c-d可施行第一蚀刻操作,且第三对处理腔室例如108e-f可施行第二蚀刻操作。在不同实施例中,与制造系统分开的腔室中,可或者实行所描述的工艺中任一或多者。在以下进一步详述的所揭示实施例中,装载区106可经配置以施行附加蚀刻、固化或处置进程。将了解,系统100A涵盖用于介电膜的沉积、蚀刻、退火及固化腔室的附加配置。
安装在位置108中的一者的处理腔室可施行任何数目的工艺(诸如,PVD、CVD(例如介电CVD、MCVD、MOCVD及EPI)、ALD、退耦等离子体氮化(DPN)、快速热处理(RTP)或干式蚀刻工艺),以在基板表面形成各种装置特征。各种装置特征可包括但不限于:形成及/或蚀刻中间介电层、闸极介电层及多晶硅闸极、形成通孔及沟槽、平面化步骤及或沉积接触或通孔水平互联线。在一实施例中,某些位置可由适合脱气、定向、冷却、分析等的检修腔室占据。举例而言,一个腔室可包括计量腔室,该计量腔室适合于按照一处理顺序施行处理步骤前或后施行准备/分析步骤及/或后处理/分析步骤以分析基板的性质。总之,在计量腔室中可测量基板的性质可包括但不限于:沉积于基板表面上的一或多层中的内源或外源应力的测量、一或多个沉积层的膜组成、基板表面上的颗粒数量,及发现于基层表面上的一或多层的厚度。从计量腔室收集的数据然后可由系统控制器使用以调节一或多个处理步骤中的一或多个工艺变量,以产生随后处理的基板上的有利处理结果。
系统100A可包括在界面103的相对侧上的附加腔室105、107。界面段103可包括至少两个界面转移装置,诸如机械臂104,该等机械臂经配置在该等数个固持腔室或FOUP 102与该等数个装载腔室104间输送基板。固持腔室102可在界面段的第一位置处与界面段103耦接,且装载腔室可在界面段103的第二位置处与界面段103耦接,界面段103的第二位置与该等数个固持腔室102相对。附加腔室可由界面机械臂104接入,并可配置用于经由界面103转移基板。举例而言,腔室105可提供例如湿式蚀刻功能,并可由界面机械臂104a经由工厂界面103的侧接入。湿式站可在界面段103的第三位置处与界面段103耦接,该第三位置在第一位置与第二位置之间。在所揭示实施例中,第三位置可相邻界面段103的第一位置或第二位置。另外,腔室107可提供例如附加储存,且可由界面机械臂104b经由工厂界面103的相对侧自腔室105接入。储存腔室107可在界面段的第四位置处与界面103耦接,该第四位置与第三位置相对。界面103可包括允许在机械臂104之间转移基板的附加结构,包括定位于机械臂104之间的转移段112。转移段112可经配置以固持一或多个基板,且可经配置以于任何给定时间固持2、5、10、15、20、25、50、100个等或更多基板,用于输送以进行处理。
转移段112可包括附加功能,包括冷却基板至大气条件以下,以及例如于大气环境中清洁晶圆。在一个实例中,基板可由界面机械臂104a从装载腔室106a或侧站105取回。如果要将基板载入例如腔室107,可命令机械臂104a运输并置放基板至转移段112。机械臂104b然后可取回基板以输送至腔室107。或者,从FOUPs102或从装载腔室106取回的基板可放置或输送至界面转移段112用于冷却、清洁等操作,正如可在界面转移段112处所施行。固持腔室或FOUP 102可包括经配置以经由入口端口接收流体的至少一个入口端口。FOUP可进一步经配置以引导流体通过固持腔室且进入界面段103。固持腔室103可额外包括经配置以引导已接收流体通过固持腔室的至少一个内部扩散器。举例而言,氮或其他惰性流体可经由入口端口流进FOUP。当任一个别FOUP可容纳10、25、50等或更多个基板时,内部扩散器可引导氮或其他流体通过FOUP以确保净化FOUP整个内部环境的空气。扩散器可引导或经配置以引导流体处于容纳于FOUP中的基板间并围绕每一基板。
系统100A可适合于大气环境与真空环境之间及穿过大气环境及真空环境运输基板。举例而言,界面103可提供来自大力压力下的FOUP 102及装载腔室106的接入,该等FOUP102及装载腔室106可经配置为被抽空。据此,包括腔室108及转移站110的所有系统均可经配置以在真空条件下操作,且装载腔室106可提供大气环境与真空环境间的接入。装载腔室106可包括转移区域(诸如,位于界面103侧及转移腔室110侧两者上的狭缝阀)。可关闭两个阀门以维持交替环境。可打开界面103侧的狭缝阀以允许机械臂104输送基板。然后可关闭该阀,并将装载腔室抽空至真空环境,其他腔也可维持在该真空环境下。然后可打开装载腔室106的转移站侧的狭缝阀以提供接入至真空环境。或者,处理腔室108及转移腔室110可维持在惰性环境中,诸如通过氮气净化,该氮气净化可连续流过腔室中的每一者以维持该惰性气氛。为了在相似环境中提供基板至处理段,装载腔室106可经类似配置以在接收基板后用氮气净化。系统100A可另外包括为施行各种处理操作而提供前体及指令的气体输送系统及系统控制器(未图示)。
图1B阐明另一示例处理系统,在各种实施例中,各种腔室及特征可耦接至该示例处理系统。图1B中描绘的处理工具100B可包含数个处理室114A-D、转移室110、维修腔室116A-B及一对装载闭锁腔室106A-B。处理腔室可包括本揭示案的其他地方描述的腔室中任一者,本揭示案包括上文与系统100A相关论述的腔室及下文特定腔室。此外,系统100B可包括与串联腔室相反的单一腔室,且其他地方揭示的腔室中任一者可适合作为单个腔室或串联腔室。为了在腔室间运输基板,转移腔室110可包含机械运输机构113。该运输机构113可具备一对基板运输刀片113A,该等刀片分别附着于可伸长臂113B的远端。刀片113A可用于运载个别基板至处理腔室并从处理腔室运载个别基板。在操作中,基板运输刀片中的一者(诸如,运输机构113的刀片113A)可从装载闭锁腔室(诸如腔室106A-B)中的一者取回基板W,并运载该基板W至第一处理阶段,例如下文所述的发生在腔室114A-D中的蚀刻工艺。如果该腔室被占据,机器人可等到处理完成,然后再用一个刀片113A从腔室中移除该已处理基板,并可用第二刀片(未图示)插入新基板。一旦处理基板,则可将基板移动至第二处理阶段。对于每一次移动,运输机构113大体可使一个刀片运载基板且使一个刀片空置以便执行基板交换。运输机构113可在每一个腔室中等到可进行交换。
一旦处理腔室内完成处理,运输机构113可从最后一个处理室移动该基板W,并运输该基板W至装载闭锁腔室106A-B内的匣子。从装载闭锁腔室106A-B开始,基板可移至工厂界面104中。工厂界面104大体可操作以在处于大气压力洁净环境中在晶圆盒装载器105A-D与装载闭锁腔室106A-B之间转移基板。经由例如空气过滤工艺(诸如,HEPA过滤)可大体提供工厂界面104中的清洁环境。工厂界面104亦可包括可用于在处理前正确对齐基板的基板定向器/对准器(未图示)。至少一个基板机器人(诸如,机器人108A-B)可定位于工厂界面104中以便在工厂界面104内的不同地点/位置间运输基板,并把基板运输至与其连通的其他地点。机器人108A-B可经配置以沿着机架104内的轨道系统从工厂界面104的第一端行进至第二端。
转向图2,绘示根据所揭示技术的示例处理系统200的后部透视图。系统200可包括图1A中起始于无尘室或界面真空侧的系统的替代视图。如图解所示,界面203可由装载腔室206接入,该等装载腔室206可经配置以提供接入至经由可密封开口207进行处理的已抽空环境,该等可密封开口207可为狭缝阀。装载室206可包括经配置以作用于基板的附加组件。装载腔室206可包括加热装置,该加热装置经配置以将装载腔室或该装载腔室内的基板从低于或约0℃加热至高于或约800℃。举例而言,在所揭示实施例中,装载腔室加热装置可经配置以加热基板至300℃或300℃以上。此外,侧腔室205可位于界面203的侧。虽然未图示,但附加侧腔室定位于界面与腔室205相对的侧,如图1A关于腔室107所示。两个侧腔室均可如前文所述经由工厂界面203接入。系统主体213可界定处理腔室208、装载腔室206及转移站210定位的位置。各种处理腔室208可并入系统200,且可包括下文图3-6所示的处理站的组合。虽然下图中的每一者阐明单一腔室,但是该等图式可绘示串联处理腔室的一半,该串联处理腔室适合与腔室间共享的单一分配系统一起每次处理两个晶圆。在所揭示实施例中,该等数个处理腔室耦接成基板处理系统内的成对串联处理腔室。
转向图3,绘示根据所揭示技术的示例处理腔室的横截面示意图。腔室300可用于例如前文论述的系统200的处理腔室段208中的一或多者。远端等离子体系统(“RemotePlasmas System;RPS”)310可处理经由气体入口组件311行进的气体。在气体入口组件311内,可存在两个不同气体供应通道。第一通道312可经由RPS310运载气体,而第二通道313可绕开该RPS 310。在所揭示实施例中,第一通道312可用于处理气体,且第二通道313可用于处置气体。图示盖或导电顶部321及穿孔分区(诸如喷头353)具有安置在中间的绝缘环324,此情况可允许交流电位相对于喷头353施加至盖321上。处理气体可经由第一通道312行进至腔室等离子体区域320中,且可单独由腔室等离子体区域320里的等离子体激发,或与RPS310组合而激发。本文可将腔室等离子体区域320及/或RPS 310的组合称作远端等离子体系统。穿孔分区或喷头353可将腔室等离子体区域320与喷头353下的基板处理区域370分开。喷头353可允许等离子体存在于腔室等离子体区域320中以避免在基板处理区域370中直接激励气体,同时仍允许激励物种从腔室等离子体区域320行进至基板处理区域370中。
喷头353可定位在腔室等离子体区域320与基板处理区域370之间,且允许等离子体流出物或前体的激发衍生物或腔室等离子体区域320内生成的其他气体穿过数个通孔356,该等通孔横穿喷头中包括的单块板或多块板的厚度。为了产生可沉积于基板380上的膜,前体及/或等离子体衍生物可在处理区域370组合,该基板380定位于基板支架375上。喷头353亦可具备一或多个可填充有蒸汽或气体形式之前体(诸如,含硅前体)的中空容积351,并可穿过小孔355进入基板处理区域370,但无法直接进入腔室等离子体区域320。在所揭示实施例中,喷头353的厚度可大于通孔356的最小直径350的长度。为了维持从腔室等离子体区域320渗入至基板处理区域370的激励物种的显著浓度,可通过部分穿过喷头353形成通孔356的较大直径部分来限制通孔的最小直径350的长度326。在所揭示实施例中,通孔356的最小直径350的长度可与通孔356的最小直径处于同一数量级或更小。
在所示的实施例中,喷头353可经由通孔356分配例如含有等离子体蒸汽/气体(诸如,氩)的处理气体。此外,喷头353可经由较小孔355分配与等离子体区域320保持分离的含硅前体。一或多种处理气体及含硅前体可经由喷头353保持流动分离直到前体分别进入处理区域370。前体一旦进入处理区域就可接触另一前体且发生反应以形成例如基板380上的可流动介电材料。
腔室300可用于将氧化层沉积于例如硅基板或氮化硅层或预先图案化的基板上,该预先图案化的基板可包括硅或氮化物区域。于2011年6月3日提交的标题为“Oxide RichLiner Layer for Flowable CVD Gapfill”的共同让与的申请案第13/153,016号中描述有沉积腔室及工艺的附加实例,该沉积腔室及工艺可结合所揭示技术使用,该申请案的全部内容以引用的方式出于所有目的在不与本揭示相冲突的程度上并入本文中。
图4A绘示带有处理腔室内分割等离子体生成区域的示例处理腔室400的另一横截面示意图。腔室400可用于例如前文所论述系统200的一或多个处理腔室段208中。在例如氮化钛、氮化钽、钨、硅、多晶硅、氧化硅、氮化硅、氮氧化硅、碳氧化硅等膜蚀刻期间,处理气体可经由气体入口组件405从气体输送系统410流进第一等离子体区域415。腔室内的等离子体区域415可与前文论述的第二远端等离子体区域类似,且可如下所论述远离处理区域433。远端等离子体系统(“RPS”)401可包含在该系统中,且可处理经由气体入口组件405行进的第一气体。RPS单元401可与前文论述的第一远端等离子体区域类似。入口组件405可包括两个或两个以上的不同气体供应通道,其中第二通道可绕开RPS 401。据此,在所揭示实施例中,前体气体中的至少一者可在非激发状态下(诸如含氟前体)输送至处理腔室。在另一实例中,经由RPS提供的第一通道可用于含氧前体,且绕过RPS的第二通道在所揭示实施例中可用于含氟前体。在进入第一等离子体区域415之前,在RPS401内可激发含氧前体。据此,例如上文论述的含氟前体及/或含氧前体在所揭示实施例中可通过RPS 401或绕过RPS单元。类似理解此布置所涵盖的其他不同实例。
图示且各自可根据所揭示实施包括冷却板403、面板417、离子抑制器423、喷头425及其上安置基板455的基板支架465。基座465可具备换热通道,换热流体流过该通道以控制基板的温度。此配置可允许基板455温度冷却或加热以维持相对较低温度(诸如约-20℃至约200℃,或此范围之间)。换热流体可包含乙二醇及/或水。为了获得相对较高的温度(例如,100℃或其左右至超过或约1100℃),可包含铝、陶瓷或以上各者的组合的基座465的晶圆支撑母板亦可使用嵌入式电阻加热元件进行电阻加热。加热元件可作为一或多个线圈形成于基座内,且加热器元件的外部可靠近支撑母板的周边延伸,而内部则在半径较小的同心圆路径上延伸。连接至加热器元件的布线可穿过基座465的主干,该主干可经进一步经配置以旋转。
面板417可为角锥形、圆锥形或具有窄顶部扩展至宽底部的另一类似形状。面板417可如图所示另外为平坦的,且包括分布处理气体的数个贯穿通道。为了更均匀输送至第一等离子体区域415中,等离子体产生气体及/或等离子体激励物种取决于RPS 401的使用可穿过面板417上如图4B所示的数个孔眼。
示例配置可包括使气体入口组件405敞开至气体供应区域458,以使得气体/物种流过面板417上的孔眼进入第一等离子体区域415,该气体供应区域458通过面板417与第一等离子体区域415隔开。面板417或腔室的导电顶部及喷头425如图所示具有绝缘环420位于特征之间,如此允许相对于喷头425及/或离子抑制器423将交流电位施加到面板417上,离子抑制器423可与喷头425电耦接或被类似绝缘。绝缘环420可定位于面板417与喷头425及/或离子抑制器423之间,从而使得电容耦合的等离子体(“CCP”)能够形成于第一等离子体区域中。挡板(未图示)可另外位于第一等离子体区域415中,或另外与气体入口组件405耦接,以影响经由气体入口组件405进入区域的流体的流动。
离子抑制器423可包含板或界定数个贯穿结构的孔径的其他几何形状,该等孔径经配置以抑制离子带电物种迁移出等离子体激发区域415,同时允许无电荷中性或自由基物种经由离子抑制器423进入抑制器与喷头之间的活性气体输送区。在所揭示实施例中,离子抑制器423可包含具有各种孔径配置的穿孔板。与离子抑制器423组合的喷头425可允许腔室等离子体区域415中的等离子体避免在基板处理区域433中直接激发气体,同时仍允许激励物种从腔室等离子体区域415行进至基板处理区域433中。以此方式,腔室可经配置以防止等离子体接触正在蚀刻的基板455。
处理系统可进一步包括电源440,该电源440与处理腔室电耦接以为面板417、离子抑制器423、喷头425及/或基座465提供电力以在第一等离子体区域415或处理区域433中产生等离子体。电源可经配置以基于施行的工艺输送可调节电量至腔室。此设置可允许用于施行中工艺的可调谐等离子体。与通常拥有开或关功能的远端等离子体单元不同,可调谐等离子体可经配置以输送特定电量至等离子体区域415。此举又可允许产生特殊等离子体特征,以使得前体可以一特定方式解离,以提升由该等前体产生的蚀刻轮廓。举例而言,此举如前文的解释可部分解离三氟化氮。
可在喷头425以上的腔室等离子体区域415中或喷头425以下的基板处理区域433中点燃等离子体。在腔室等离子体区域415中可存在等离子体以便从含氟前体流入中产生含自由基氟前体。通常射频(“RF”)范围内的AC电压可施加在处理腔室的导电顶部(诸如,面板417)与喷头425及/或离子抑制器423之间以在沉积期间在腔室等离子体区域415点燃等离子体。RF电源可产生13.56MHz的高射频,但是亦可单独或与13.56MHz频率组合产生其他频率。
等离子体功率可具有各种频率或内部等离子体区域或RPS单元中的多个频率的组合。在示例处理系统中,等离子体可由相对于离子抑制器423及/或喷头425输送至面板417的RF电源提供。在不同实施例中,该RF功率可在约10瓦特与约2000瓦特之间、约100瓦特与约2000瓦特之间、约200瓦特与约1500瓦特之间、约0瓦特与约500瓦特之间或约200瓦特与约1000瓦特之间。在不同实施例中,施加于示例处理系统中的RF频率可为小于约200kHz的低RF频率、约10MHz与约15MHz之间的高RF频率或大于或约1GHz的微波频率。等离子体功率可电容耦合或感应耦合至远端等离子体区域中。在替代设置中,腔室可经配置以利用UV或电子束源激励或激发反应物种。该等功能可结合或替代等离子体使用。
喷头425可包含上板414及下板416。该等板可相互耦接以界定板之间的容积418。板的耦接可提供穿过上板及下板的第一流体通道419及穿过下板416的第二流体通道421。所形成通道可经配置以独自提供经由第二流体通道421从容积418穿过下板416的流体接入,且第一流体通道419可与板及第二流体通道421之间的容积418流体隔离。容积418可经由气体分布组件425的一侧流体接入。举例而言,不与前文描述的活性前体相互作用的附加前体可经由第二流体通道421输送至处理区域,以使得只有活性前体及附加前体单独进入处理区域433时才相互作用。虽然图4A的示例系统包含双通道喷头,但是应理解,可使用替代分布组件,该等分布组件维持处理区域433之前流体隔离的第一前体和第二前体。举例而言,虽然其他配置可以降低的效率进行操作或无法像所述的双通道喷头一样提供均匀处理,但是可利用穿孔板及该板以下的管道。或者,在仅所利用之前体将经由入口组件405输送,且所有前体将从等离子体区域415流动时,可不再需要双通道,而是可利用单板歧管或穿孔板进一步混合前体,同时直接输送前体至该处理区域433。
图4B绘示如图4A所示的处理腔室组件的部分细节图。如图4A及图4B所示,面板417、冷却板403及气体入口组件405相交以界定气体供应区域458,处理气体可从气体入口405输送至该气体供应区域458中。气体可填充该气体供应区域458,并经由面板417中的孔径459流至第一等离子体区域415。孔径459可经配置以引导流体以实质上为单向的方式流动,以使得处理气体可流进处理区域433中,但在横穿面板417后,可部分或完全地防止回流至气体供应区域458中。
腔室400可用于蚀刻硅或氮化硅膜,同时不蚀刻或最低程度蚀刻例如暴露的氧化硅膜。于2013年3月1日提交的标题为“Enhanced Etching Processes Using RemotePlasma Sources”的共同让与申请案第61/771264号中描述蚀刻工艺及腔室的附加实例,该等蚀刻工艺及腔室可结合所揭示技术及腔室400使用,该申请案的全部内容在此以引用的方式出于所有目的在不与本揭示案相冲突的情况下并入本文中。于2013年3月8日提交的标题为“Semiconductor Processing Systems Having Multiple Plasma Configurations”的共同让与申请案第13/791,074号中描述工艺及包括多个RPS单元的腔室的附加实例,该等工艺及腔室可结合所揭示技术及腔室400使用,该申请案的全部内容在此以引用的方式出于所有目的在不与本揭示案相冲突的情况下并入本文中。
图5绘示根据所揭示技术的示例处理腔室500的另一横截面示意图。腔室500可用于例如前文论述的系统200的一或多个处理腔室段208。处理腔室500可包含腔室主体512、盖组件502及支撑组件510。盖组件502安置在腔室主体512的上端,且支撑组件510至少部分地安置在腔室主体512内。处理腔室500及关联硬件较佳地由一或多种与工艺相容的材料(诸如,铝、不锈钢等)制成。
腔室主体512包含狭缝阀开口560,该开口形成于该腔室主体的侧壁中以提供接入至处理腔室500的内部。狭缝阀开口560选择性打开及关闭以允许由晶圆搬运机器人(未图示)进入腔室主体512的内部。在一实施例中,晶圆可经由狭缝阀开口560运进及运出该处理腔室500至邻近转移腔室及/或装载闭锁腔室或系统(诸如,前文所述的系统100A或100B)内的另一腔室。
在一或多个实施例中,腔室主体512包括腔室主体通道513,该通道用于使传热流体流过腔室主体512。该传热流体可为加热流体或冷却剂,且用于在处理及基板转移期间控制腔室主体512的温度。加热该腔室主体512可帮助防止气体或腔室壁上的副产物的不当冷凝。示例传热流体包含水、乙二醇或以上各者的混合物。示例传热流体亦可包含氮气。支撑组件510可具备支撑组件通道504,该通道用于使传热流体流过支撑组件510,因此影响基板温度。
腔室主体512可进一步包含围绕该支撑组件510的内衬533。内衬533为了保养及清洁而较佳地可移除。内衬533可由金属(诸如,铝或陶瓷材料)制成。然而,内衬533可为任一能与工艺相容的材料。内衬533可经珠粒喷击以增加该内衬上沉积的任何材料的黏附力,从而防止导致处理腔室500污染的材料剥落。在一或多个实施例中,内衬533包括一或多个孔径535及形成于孔径中的泵送通道,该泵送通道与真空系统流体连通。孔径535提供使气体进入泵送通道529的流动路径,该路径为处理腔室500内的气体提供出气口。
真空系统可包括真空泵525及节流阀527以调节通过处理腔室500的气体的流量。真空泵525耦接至安置于腔室主体512上的真空端口531,且因此,与形成于内衬533内的泵送通道529流体连通。
孔径535允许泵送通道529与腔室主体512内的基板处理区域540流体连通。基板处理区域540由盖组件502的下表面及支撑组件510的上表面界定,并由内衬533围绕。孔径535可尺寸均匀并围绕内衬533均匀间隔。然而,可使用任何数目、位置、尺寸或形状的孔径,且彼等设计参数中的每一者可根据横穿过基板接收表面的气体的所需流量图案变化,如下文更详细所论述。另外,孔径535的尺寸、数目及位置经配置以使离开处理腔室500的气体流量变得均匀。而且,孔径尺寸及位置可经配置以提供快速或高容量泵送以促进气体从腔室500快速排出。举例而言,非常接近真空端口531的孔径的数目及尺寸可小于远离真空端口531的孔径535的尺寸。
气体供应面板(未图示)通常用于经由一或多个孔径551提供一种或多种处理气体至处理腔室500。一种或多种所使用的特殊气体取决于待于腔室500内施行的一或多个工艺。说明性气体可包括但不限于:一或多种前体、还原剂、催化剂、载气、净化、清洁或以上各者的任一混合物或组合。通常,引入处理腔室500的一或多种气体经由顶板550上的一或多个孔径551流进等离子体容积561。替代地或组合地,处理气体可经由一或多个孔径552更直接地引入基板处理区域540。一或多个孔径552绕过远端等离子体激发,且有助于涉及气体的工艺,该等气体不需要等离子体激发或不从气体额外激发中受益的工艺。电动阀及/或流量控制机构(未图示)可用于控制从气体供应进入处理腔室500的气体的流量。任何数目的气体可根据工艺输送至处理腔室500,并可在处理腔室500中混合,或在输送至处理腔室500之前混合。
盖组件502可进一步包括电极545以在盖组件502内产生反应物种的等离子体。在一实施例中,电极545由顶板550支撑,并通过插入电绝缘环547与顶板550电气隔离,该电绝缘环547由氧化铝或任何其他绝缘性、与工艺相容的材料制成。在一或多个实施例中,电极545耦接至电源546,同时盖组件502的其余部分则接地。据此,在远端等离子体区域中,可产生一或多种处理气体的等离子体,该远端等离子体区域由在电极545与环形安装法兰522之间的容积561、562及/或563组成。在实施例中,环形安装法兰522包含或支撑气体输送板520。举例而言,在电极545与挡块组件530中的一或两个挡板之间,可激发并维持该等离子体。或者,若没有挡块组件530,则在电极545与气体输送板520之间,可击打并容置该等离子体。在任一情况下,该等离子体很好地被围束或容置在盖组件502内。亦可利用RPS单元(诸如前文描述的RPS单元)以产生反应物种的等离子体,该等反应物种随后输送至腔室500中。
通过使传热介质分别流过腔室主体通道513及支撑组件通道504,处理腔室主体512及基板的温度分别可得到控制。支撑组件通道504可形成于支撑组件510内以促进热能转移。腔室主体512及支撑组件510可独立冷却或加热。举例而言,加热流体可流过其中的一者,冷却流体则流过另一者。
其他方法可用于控制基板温度。可通过使用电阻加热器或通过一些其他构件加热支撑组件510或支撑组件510的一部分(诸如,基座)来加热基板。在另一配置中,可维持气体输送板520在高于基板温度的温度下,且为了升高基板温度,可提升该基板。在此情况下,基板经辐射式加热或通过使用气体从气体输送板520传导热至基板来加热。可通过升高支撑组件510或通过采用升降销提升该基板。
腔室500可用于蚀刻氧化硅膜,同时不蚀刻或最低程度蚀刻例如暴露的硅表面或氮化硅表面。于2012年9月18日提交的标题为“Radical-Component Oxide Etch”的共同让与申请案第61/702493号中描述蚀刻工艺及腔室的附加实例,该等蚀刻工艺及腔室可结合所揭示技术使用,该申请案的全部内容以引用的方式出于所有目的在不与本揭示相冲突的情况下并入本文中。
图6绘示根据所揭示技术的示例处理腔室600的另一横截面示意图。腔室600可用于例如前文论述的系统200的一或多个处理腔室段208。大体而言,蚀刻腔室600可包含用于实施离子研磨操作的第一电容耦合等离子体源及用于实施蚀刻操作及实施可选沉积操作的第二电容耦合等离子体源。腔室600可包括接地且围绕卡盘650的腔室壁640。在实施例中,卡盘650可为静电卡盘,在处理期间,该静电卡盘将基板602夹持至卡盘650的顶表面,但亦可利用将熟知的其他夹持机构。卡盘650可包括嵌入式换热线圈617。在示例实施例中,该换热线圈617包括一或多个传热流体通道,传热流体(诸如,乙二醇/水混合物)可流过该传热流体通道以控制卡盘650的温度,且最终控制基板602的温度。
卡盘650可包含筛孔649,该筛孔649耦接至高压DC电源648,以使得筛孔649可携带DC偏压电位以实施对基板602的静电夹持。卡盘650可耦接至第一RF电源,且在一此类实施例中,筛孔649耦接至第一RF电源,以使得DC电压偏压电位及RF电压电位两者跨过处于卡盘650的顶表面上的薄介电层进行耦接。在图示实施例中,第一RF电源可包含第一RF发生器652、第二RF发生器653。在此项技术中已知的任何工业频率可操作该等发生器652、653,然而,在示例实施例中,可在60MHz下操作RF发生器652以提供有利定向性。在亦提供第二RF发生器653的情况下,该示例频率可为2MHz。
在卡盘650经RF供电的情况下,RF回程路径可由第一喷头625提供。第一喷头625可安置在卡盘之上以便分布第一进气进入由第一喷头625及腔室壁640界定的第一腔室区域684。同样,卡盘650及第一喷头625形成第一RF耦接电极对以电容性激励第一腔室区域684内的第一进气的第一等离子体670。由RF供电卡盘的电容耦合造成的DC等离子体偏压或RF偏压可从第一等离子体670至基板602产生离子流(例如,第一进气为Ar时为Ar离子),以提供离子研磨等离子体。第一喷头625可接地或耦接至具备一或多个发生器的RF电源628,该一或多个发生器可操作在除了卡盘650的频率(例如,13.56MHz或60MHz)外的频率下。在图示的实施例中,第一喷头625可经由继电器627选择性耦接至地面或RF源628,在蚀刻工艺期间,该继电器627可由例如控制器(未图示)自动控制。
如图中进一步阐明,蚀刻腔室600可包括泵堆迭,该泵堆迭能够在低工艺压力下产生高产量。在实施例中,至少一个涡轮分子泵665、666可经由闸阀660与第一腔室区域684耦接,并且安置于卡盘650之下,与第一喷头625相对。该等涡轮分子泵665、666可为具有合适产量的任一市售泵且更特定而言,该等涡轮分子泵的大小可是适当的以便在第一进气的所需流量(例如,在氩为第一进气的情况下,Ar流量为50至500sccm)下维持工艺压力低于或约10毫托或低于或约5毫托。在图示的实施例中,卡盘650可形成位于两个涡轮泵665、666正中间的基座的一部分,然而,在替代设置中,卡盘650可处于基座上,该基座悬吊于腔室壁640,该腔室壁640设有单一涡轮分子泵,该泵的中心与卡盘650的中心对齐。
在第一喷头625之上可安置第二喷头610。在一实施例中,在处理期间,第一进气源例如从气体分布系统690输送的氩可耦接至气体入口676,且该第一进气流过数个孔径680进入第二腔室区域681并流过数个孔径682进入第一腔室区域684,该等数个孔径680延伸穿过第二喷头610,该等数个孔径682延伸穿过第一喷头652。具备孔径678的附加流量分配器615可进一步跨过蚀刻腔室600的直径经由分配区域618分配第一进气流量616。在替代实施例中,第一进气可直接经由孔径683直接流进第一腔室区域684,该等孔径683与第二腔室区域681隔离,如虚线623所指示。举例而言,在第一喷头为前文所述的双通道喷头的情况下,孔径683对应于图7中的孔径775。
腔室600可从所示状态另外重设以施行蚀刻操作。第二电极605可安置于该第一喷头625之上,其中第二腔室区域681处于该第二电极605与该第一喷头625之间。第二电极605可进一步形成蚀刻腔室600的盖。第二电极605及第一喷头625可由介电环620电气隔离,并形成第二RF耦接电极对以在第二腔室区域681内电容性放电第二进气的第二等离子体692。有利的是,第二等离子体692可不在卡盘650上提供明显RF偏压电位。第二RF耦接电极对的至少一个电极耦接至用于激励蚀刻等离子体的RF源。第二电极605可与第二喷头610电气耦接。在示例实施例中,第一喷头625可与接地面耦接或浮动,并可经由继电器627耦接至接地,从而在操作的离子研磨模式期间,允许第一喷头625亦由RF电源628供电。在第一喷头625接地的情况下,RF电源608(具有在例如13.56MHz或60MHz下操作的一或多个RF发生器)可经由继电器607与第二电极605耦接,在其他操作模式期间(诸如,在离子研磨操作期间),该继电器607将允许第二电极605亦接地,但在第一喷头625经供电时,亦可留下第二电极605浮动。
第二进气源(诸如,三氟化氮)及氢源(诸如,氨)可从气体分布系统690输送,且耦接至气体入口676(诸如经由虚线624)。在此模式中,第二进气可流过第二喷头610且可在第二腔室区域681内受到激励。然后,反应物种可通过第一腔室区域684与基板602反应。如进一步所阐明,对于第一喷头625为双通道喷头的实施例,可提供一或多种进气与由第二等离子体692产生的反应物种反应。在一个此类实施例中,水源可耦接至数个孔径683。
在一实施例中,卡盘650可沿着与第一喷头625垂直的方向移动距离H2。卡盘650可处于致动机构上,该致动机构被波纹管655或类似物围绕以便允许卡盘650移动得更接近或远离该第一喷头625来作为一种在卡盘650与第一喷头625之间控制热传的手段,该第一喷头625可升处于80℃至150℃或更高的升高温度。同样,通过在第一预定位置与第二预定位置之间相对于第一喷头625移动卡盘650,可实施蚀刻工艺。或者,卡盘650可包含升降器651,该升降器651用以将基板602提升高出卡盘650的顶表面一距离H1以控制在蚀刻工艺期间第一喷头625进行的加热。在其他实施例中,在固定温度(诸如,约90℃-110℃)下施行该蚀刻工艺的情况下,可免去卡盘位移机构。在蚀刻工艺期间,通过交替自动地为第一RF耦接电极对及第二RF耦接电极对供电,系统控制器(诸如,下文相对于图21所论述)可交替激励第一等离子体670及第二等离子体692。
腔室600亦可经重新配置以施行沉积操作。等离子体692可由RF放电而产生在第二腔室区域681中,RF放电可以对第二等离子体692描述的方式中的任一者实施。在第一喷头625在沉积期间经供电以产生等离子体692的情况下,第一喷头625可由介电隔片630与接地腔室壁640隔离以便相对于该腔室壁电气浮动。在示例实施例中,氧化剂进气源(诸如,分子氧)可从气体分布系统690输送,并耦接至气体入口676。在第一喷头625为双通道喷头的实施例中,任何含硅前体(诸如,OMCTS)可从气体分布系统690输送,并耦接至第一腔室区域684中以便与反应物种反应,该等反应物种从等离子体692通过第一喷头625。或者,含硅前体亦可与氧化剂一起流过气体入口676。
腔室600可用于例如大量蚀刻工艺及沉积工艺。于2012年10月12日提交的标题为“Process chamber for Etching Low K and Other Dielectric Films”的共同让与申请案第13/651,074号中描述了蚀刻及沉积工艺以及腔室的附加实例,该等蚀刻及沉积工艺以及腔室可结合所揭示技术及腔室600使用,该申请案的全部内容在此以引用的方式出于所有目的在不与本揭示案相冲突的情况下并入本文中。
图7绘示根据所揭示技术的喷头的仰视平面图。喷头725可与图3中所示的喷头353、图4A中所示的喷头425或图6中所示的喷头625对应。为了控制并影响通过喷头725的前体的流量,显示出例如第一流体通道419的通孔765可具备数个形状及配置。举例而言,孔径可就其可能会影响流体分布的布局采用任何几何图案,并被分配用作孔径环,该等孔径环彼此朝外同心定位并基于板上的中心定位位置。根据一个实例且不限制技术的范围,图7显示由孔径形成的图案,该孔径包含同轴六角环,该等六角环从中心向外延伸。每一向外定位环的孔径数目可与先前朝内定位的环一样、更多、或更少。在一个实例中,每一同心环可基于每一环的几何形状另外添加孔径数目。在六边形的实例中,每一朝外移动的环可比直接朝内定位的环多出六个孔径,其中第一内环有六个孔径。在孔径的第一环最靠近上板及底板中心定位的情况下,上板及底板可具有多于两个环,且根据使用孔径的几何图案,可具备大约一个至约五十个孔径环。在一个实例中,如图所示,在示例上板上可存在九个六角环。
孔径的同心环亦可不具有孔径同心环中的一者,或可使朝外延伸的孔径环中的一者从其他环之间移除。例如参考图7,在示例性九个六边环处于该板上的情况下,该板相反可具有八个环,但是第四个环可移除。在此实例中,不会形成原本定位第四环的通道,否则可重新分布正通过孔径的流体的气体流动。该等环亦仍可使某些孔径从几何图案中移除。例如又参考图7,孔径的第十个六角环可作为最外环形成于所示的板上。然而,该环可不包括原本形成六角图案顶点的孔径,或环内其他孔径。显示出例如第二流体通道421的小孔775可被大致均匀地分布于喷头表面,甚至在通孔765之间分布,此举有助于在前体退出喷头时可比其他配置混合得更均匀。
在图8中,图示了根据所揭示实施例的喷头或面板的替代布置,该图绘示根据所揭示技术的另一喷头的仰视平面图。如图所示,喷头800可包含穿孔板或歧管。喷头的组件可与图7中所示的喷头类似,或者可包括一设计,该设计特别针对前体气体的分布图案而配置。喷头800可包括环形框架810,该环形框架810在示例处理腔室中定位于各种布置中(诸如图3、图4、图5、图6、图7、图12及/或图13所示的一种或多种布置)。在该框架上或在框架内,可耦接一板820,该板820在所揭示实施例中可与前文所述的板720相似。该板的形状可为碟形,且该板可处于框架810上或框架810内。该板厚度可不同,且该板可包括界定在该板内的数个孔径865。如图8所示的示例布置可包括前文参考图7的布置描述的图案,且可包括呈一几何图案(诸如,所示的六角形)的一系列孔径环。如将理解,所图示图案为示例性的,且应理解,设计中涵盖各种图案、孔眼布置及孔距。或者,喷头800可为单一板设计且构成一整块结构。
图9绘示根据所揭示技术的示例处理系统900的后部透视图。系统900可包括图1A中起始于无尘室或界面真空侧的系统100A的替代视图。如图解所示,界面903可由装载腔室906接入,该等装载腔室906可经配置以提供接入至经由可密封开口907进行处理的已抽空环境,该可密封开口907可为狭缝阀。此外,侧腔室905可位于界面903的侧。虽然未图示,但附加侧腔室可定位于界面对面腔室905的侧。两个侧腔室均可如前文所述经由工厂界面203接入。系统主体913可界定处理腔室908、装载腔室906及转移站910定位的位置。各种处理腔室908可并入系统900,且可包括如前文相对于图3至图6所述的处理站的组合。在所揭示实施例中,该系统可包括数个处理腔室。
图9另外绘示耦接装载腔室906并相对于装载腔室906垂直安置的处置处理站917。如下文中相对于图13将更详细描述,系统900可包含数个处置处理站917,该等数个处置处理站917可耦接各别装载站906并定位为经由系统主体913与各别装载站906垂直对齐且与装载腔室906垂直对齐。如图所示,系统可包含彼此水平安置的两个装载腔室及耦接每一各别装载腔室906及在每一各别装载腔室906上方的两个处置腔室。系统主体913可为腔室908提供结构支撑并将该等腔室维持在稳定条件下以用于真空或清洁环境中。据此,处置处理站917可占据与处理腔室908不同的表平面。处理腔室917可包含可从转移站910接入的可密封开口。开口可由例如狭缝阀918或其他覆盖机构密封,当该等狭缝阀918或其他覆盖机构被封盖918b如图所示打开时,该等狭缝阀918或其他覆盖机构提供接入至腔室的处置容积920及可安置有晶圆的基座922或平台。为了将基板维持于清洁或真空环境,转移站可经配置以在不破坏真空环境的情况下提供接入至处置处理站917。
举例而言,机械臂(未图示)(诸如,图1A所示的臂111)可操作以便相对于转移站主体垂直移动而允许在两个下部装载站906与上部处置处理腔室917之间或之中转移晶圆。该机械臂或工艺转移装置可经配置以在该等数个装载室906中的任一者与该等处理腔室908中的任一者之间输送基板,同时维持基板在真空条件下。工艺转移装置可经进一步配置以垂直地输送基板至处置腔室917。在一配置中,举例而言,护罩或覆盖物925可将输送站维持在真空条件下,同时提供接入至上腔室917。如图所示,装载腔室906及处理腔室908可全部处于处理系统900的第一海拔平面,且处置腔室917可处于基板处理系统的第二海拔平面,该第二海拔平面处于基板处理系统的第一海拔平面之上。以此方式,晶圆或基板可在装载站906、处理站908及垂直于处置站917之间运输,同时根据所揭示实施例一直维持在真空或吹洗条件下。
处理腔室917可包含诸多特征,该等特征有助于施行基于能量或基板上的其他处置操作(诸如彼等前文所述的处理)。腔室可经配置以施行等离子体(诸如来自远端等离子体源)处置,或可具备内部或直接等离子体(诸如来自电容耦合等离子体、感应耦合等离子体、微波等离子体、环形等离子体等)功能等;且在此情况下,该处置腔室可包括经配置以在处置腔室917内产生直接等离子体的组件。腔室917亦可经配置以施行并包括组件,该等组件经配置以使用光及或窗户配置产生紫外光处置,亦可包括经配置用于施行电子束操作的组件。举例而言,波长在约120与约430nm之间、功率密度在约5mW/cm2与约25mW/cm2之间的紫外线或光可从辐射源输送至基板的表面,该辐射源包含在处理腔室917内。来自辐射源的辐射可由含灯元件(诸如,氙、氩、氪、氮及以上各者的衍生物(例如氯化氙或氟化氩))供应。该等腔室可经类似配置以施行臭氧或其他固化操作,且可经配置以提供数个种前体并在一系列下述的条件下操作。
图10绘示根据所揭示技术的另一示例处理系统1000的后部透视图。系统1000可包含所揭示实施例中的图9的系统900的方面。如图解中所示,界面1003可由装载腔室1006接入,该等装载腔室1006可经配置以提供接入至抽空环境以经由可密封开口1007用于处理,该可密封开口1007可为狭缝阀。此外,侧腔室1005可位于界面1003的侧,且虽然未图示,但附加侧腔室可定位于界面对面腔室1005的侧。系统主体1013可界定处理腔室1008、装载腔室1006及输送站1010定位的位置。各种处理腔室1008可并入系统1000,且可包括前文相对于图3至图6描述的处理站的组合。图10另外绘示耦接装载腔室1006并相对于装载腔室1006垂直安置的处置处理站1017。处理腔室1017可包括可密封开口,该等可密封开口可从转移站1010接入。开口可由例如狭缝阀1018或其他覆盖机构密封,当该等狭缝阀1018或其他覆盖机构如图所示由盖子1018b打开时,该等狭缝阀1018或其他覆盖机构提供接入至腔室的处置容积1020及可安置有晶圆的基座1022或平台。
如图10所述,分布单元1030(诸如处置等离子体产生装置)可安置于两个处置处理腔室1017之上或与该等处置处理腔室1017耦接。在所揭示实施例中,等离子体装置可与所示的两个处置腔室隔开或与该等处置腔室耦接。在一实施例中,分布单元1030包含远端等离子体单元(“RPS”),该远端等离子体单元从侧出口耦接至两个处置处理腔室1017。据此,等离子体产生的流出物可同时分散至两个处置处理腔室1017。该分布单元可经配置以包括内部扩散器以保证前体或等离子体物种的对称分布输送至每个处置处理腔室1017,目的在于在腔室间保持均匀处理。
图11绘示根据所揭示技术的另一示例处理系统1100的后部透视图。在所揭示实施例中,系统1000可包括图9的系统900的方面。如图解中所示,界面1103可由装载腔室1106接入,该等装载腔室1106可经配置以提供接入至抽空环境以经由可密封开口1107进行处理,该可密封开口1107可为狭缝阀。此外,侧腔室1105可位于界面1103的侧,且虽然未图示,但附加侧腔室可定位于界面对面腔室1105的侧。系统主体1113可界定处理腔室1108、装载腔室1106及转移站1110定位的位置。各种处理腔室1108可并入系统1100,且可包括前文相对于图3至图6描述的处理站的组合。图11另外绘示耦接装载腔室1106并相对于装载腔室1106垂直安置的处置处理站1117。处理腔室1117可包括可密封开口,该等可密封开口可从转移站1110接入。该等开口可由例如狭缝阀1118或其他覆盖机构密封,在该等狭缝阀1118或其他覆盖机构如图所示由盖子1118b打开时,等狭缝阀1118或其他覆盖机构提供接入至腔室的处置容积1120及可安置有晶圆的基座1122或平台。
如图11所示,处理单元1135、1140各自可分别与每个处置处理腔室1117a、1117b耦接。处理单元1135、1140可经配置以发起待在处置处理腔室1117中实施的多项操作或为该等操作提供材料,并可与相对于图10描述的分布单元1030相似。举例而言,处理单元1135、1140均可为处置等离子体产生装置,其中处理单元中的一者可与处置腔室中的一者耦接,同时处理单元中的第二者可与处置腔室中的第二者耦接。处理单元可包括远端等离子体功能,且因而可经配置以在各别处置处理腔室1117的每一者中施行独立的基于等离子体的操作。或者,处理单元1135、1140可以类似方式进行操作。
图12A绘示根据所揭示技术的可与装载腔室耦接的示例处理或处置腔室1200。腔室1200可在前文所示实施例的任一者中与装载腔室耦接。腔室1200可经配置以如下文详细描述施行处置、驱气或蚀刻操作,且可经配置以提供激励物种与已处理晶圆相互作用,该已处理晶圆可被例如残余卤化物污染。顶盖1203可与附加材料耦接或直接耦接至系统框架1213,该系统框架1213可与例如前文所述系统主体(诸如系统主体913)相似。附加支撑件1207可用于稳定或共同承担腔室1200的负荷。顶盖1203可另外固持入口流体组件1205,该入口流体组件1205经配置以从能量生产单元或分布单元1230输送前体、等离子体流出物、能量处置等。分布单元1230可与入口气体组件耦接,该入口气体组件可进一步包括用于附加流体输送进入处置腔室1200的分布区域1258的旁路接入。在所揭示实施例中,分布单元1230可提供自由基物种,并可设置成诸如前文描述的RPS单元,以提供等离子体流出物或自由基物种至处置腔室1200中。
入口组件1205可包括位于分布单元1230与处置腔室1200间的直接联轴器1215。举例而言,直接联轴器1215可经配置以提供任何已提供前体的初始流量,以使得前体可经由腔室1200分布更均匀。举例而言,直接联轴器1215可包括联轴器的上部及下部,且该下部联轴器的直径可大于联轴器1215的上部的直径。如图所示,相对较短过渡可提供在上部与下部之间,或者,连续过渡可用于产生用于联轴器1215的圆锥形状。该直接联轴器1215可包括经配置为已提供流体提供乱流的特征,且该等特征可包括开槽(诸如,沿着联轴器1215的长度界定的螺旋开槽)。或者,在所揭示实施例中,旋条(rifling)或其他曲率可沿着联轴器1215的长度施加。联轴器1215或入口流体组件1205的方面可加内衬、经处置或由经设计以防止腐蚀或与经由入口组件输送的物种相互作用的材料形成。举例而言,该直接联轴器1215可例如由石英制成或有石英内衬。
具有或没有直接联轴器1215的气体入口组件1205可引导前体进入分布区域1258以便分布前体至处置区域或处理区域1220中。分布区域1258可至少部分地从上面由直接联轴器1215、流体入口组件1205及顶盖1203中的一者或多者界定。该分布区域可至少部分地从下由喷头1225界定,该喷头1225可经配置以分布前体至处理区域1220中。喷头1225可具备数种设置(诸如图7至图8中所示的示例喷头设置),且可另外具有配置(诸如下文将根据图12B至图12C中描述的彼等设置)。喷头1225可由各种材料制成,该等材料包括石英、陶瓷或其他介电材料。喷头可经配置以均匀分布前体(诸如自由前体)至处理区域1220,在该处理区域中,自由基前体可与其中安置的基板1255相互作用。基板1255可如图所示被支撑、固持或悬吊在处理区域1220中。举例而言,支撑件1222或支撑装置可经配置以沿着边缘区域支撑基板1255并在处理区域1220内悬吊该基板。支撑件1222可包括数个沿着一部分支撑件1207或顶盖1203安置的突出部分,且可包括围绕腔室1200安置的2个、3个、4个、5个、6个等或更多个突出部分。因为晶圆可在转移装置(诸如,机械臂)上经由如前文所述的狭缝阀输送至腔室,所以支撑件可不完全遍及腔室1200安置。转移装置可将基板定位于一或多个支撑件1222上以便在处理期间被悬吊。处理区域1220可至少部分从下由腔室外壳1265的下部界定,腔室外壳1265的下部可与所揭示实施例中的装载室或系统框架耦接。腔室外壳的下部1265可包括温度控制装置1270(诸如,安置于处理区域1220内的加热板)以便调节处理区域温度。
图12B绘示如图12A中所示的沿着线A-A的腔室1200的一部分的俯视平面图。如图所示,喷头1225b可为穿孔板或歧管。喷头的组件可与图8中所示的喷头类似,或者可包括一种经特别配置用于在一界定处理空间内分布图案的设计。举例而言,因为处置腔室(诸如图12A及图13中所示)定位于装载腔室之上,所以处置腔室的垂直尺寸可小于前文所述的其他处理腔室。同样,特定分布图案可用于提升分布,以使得可施行均匀处理操作。喷头1225b可包括环形框架1210,该环形框架在腔室1200中定位于不同布置中。耦接板1212可在框架1210上或其内,该耦接板1212可为碟形,且坐落于框架1210上或框架1210中。在所揭示实施例中,喷头1225b可为整体式设计,并可由例如介电材料(诸如,石英)制成。该板的厚度可不同,并且该板的外部1210可比内部1212更厚,或反之亦然。
该板可包括数个界定穿过板1212的孔径1214,该等孔径1214可经配置以分布前体(诸如,经由喷头1225b分布自由基物种)。图12B中所示的示例布置可包括一系列如图所示的孔径1214的环。如将理解,所示的图案为示例性的,且应理解,在设计中涵盖各种图案、孔布置及孔距。举例而言,该板的内部可没有孔径1214,且该等孔径可不形成在从喷头1225b的中心点延伸的区域。基于喷头的径向长度,喷头可不包括围绕喷头内部的孔径1214,该喷头内部至少从喷头中心点延伸至界定在喷头径向长度的至少10%内的区域。没有孔径可另外包括在喷头的内部1014内,该喷头的内部1014从喷头的中心点延伸至界定在至少约15%、20%、25%、30%、35%、40%、45%、50%、60%等或更大比例内的区域。为了更好地分布前体或限制经由一部分喷头1225b的接入,孔径1214可调整尺寸。举例而言,从喷头1225的中心点开始,第一孔径的面积可小于径向上从中心朝外安置的孔径。如图所示,孔径1214的尺寸可从中心朝外延伸增加。因为从腔室的中心区域输送的前体在板的边缘区域处限制较少,所以此类配置可提供有利分布,此举可向外朝着边缘区域提高流量。
图12C绘示如图12A中所示的沿着线A-A的腔室1200的一部分的附加俯视平面图。如图所示,喷头1225c可为穿孔板或歧管。喷头的组件可与图8或图12B所示的喷头相似,或可包括一种特别针对在围束处理空间内的分布图案的设计。喷头1225c可包含环形框架1210,该环形框架1210在腔室1200中定位于不同布置中。耦接板1212在框架1210上或框架1210中,该耦接板1212可为碟形,且坐落于框架1210上或框架1210中。在所揭示实施例中,喷头1225b可为整体式设计,并可由例如介电材料(诸如,石英)制成。该板的厚度可不同,并且该板的外部1210可比内部1212更厚,或反之亦然。喷头1225c可具备经界定穿过板界定的数个通道,该等数个通道可具备与板1225b的孔径相似的布置或尺寸特点。举例而言,通道1216的尺寸可从中心朝外延伸增加,如此可向外朝向边缘区域提高前体流量,从而可提供均匀的流量。从该等实例中理解的各种其他布置及配置类似地被涵盖在所揭示技术中。
图13绘示根据所揭示技术的可与装载腔室耦接的另一示例处理腔室。如图所示,组合处理腔室1300显示出具备基板装载段及基板处理段,该基板处理段安置于装载段之上并与装载段垂直对齐。组合腔室1300可包括下部腔室外壳1310,具备一或多个板或组件,该下部腔室外壳1310包括经配置以提供接入至真空、惰性或清洁气氛(诸如,在系统的处理侧或清洁室侧上)的第一接入口1312。下部腔室外壳1310亦可包括第二接入口(未图示),该第二接入口处于下部腔室外壳的第二侧,该下部腔室外壳的第二侧与下部腔室外壳的第一侧相对。在图1A中可见说明两个接入端口的示例结构,其中装载腔室106包括界面侧103及转移腔室侧两者上的接入槽。组合腔室1300可另外包括上部腔室外壳1350,具备一或多个板或组件,该上部腔室外壳1350与下部腔室外壳耦接。该上部腔室外壳及下部腔室外壳可直接耦接,或者亦可在系统框架1313(诸如前文论述的系统主体)内垂直对齐安置。该上部腔室外壳1350可包括处于上部腔室外壳1350的第一侧上的第三接入口1352,且上部腔室外壳的第一侧可与下部腔室外壳的第一侧重合。该上部腔室外壳亦可包括至少部分地从上由面板1325界定的上部处理区域1360,该面板1325安置于该上部腔室外壳1350中。
在所揭示实施例中,下部腔室外壳1310可经配置用于装载操作及某些特定处置操作。下部腔室外壳1310可界定下部基板区域1320,在该下部基板区域1320中,可安置基板1355a。基板可定位在下部腔室外壳1310的底部区域1309上。升降销1322可用于提升基板1355a,使得转移装置(诸如,机械刀片)可用于取回基板。下部基板区域可至少部分地从下由下部腔室外壳1310的底部1309界定,且温度控制装置(诸如,加热机构1311)可安置于下部腔室外壳1310的底部1309上或为底部1309的一部份。此类加热器可操作或可配置以升高下部基板区域1320及/或安置于该区域中的基板1355a的温度。举例而言,该加热器可经配置以直接或间接升高基板的温度至150℃左右或更高。在所揭示实施例中,该加热器可另外经配置以升高温度高于约150℃、200℃、250℃、300℃、350℃、400℃、450℃、500℃等或更高。
因为下部基板区域可按常规从大气条件下接收基板,并将该等基板转移至真空条件下,所以下部基板区域1320可包括用于且经配置以从大气压力抽空降至低于大气压力的第二压力。抽空压力取决于施行于处理腔室中的操作可处于指定大气压力或基于位置的大气压力以下。下部基板区域1320可经配置以被抽空至实施例中的约760托或更低,且在所揭示实施例中,可经配置以被抽空低于或约700托、600托、500托、400托、300托、200托、100托、50托、40托、30托、20托、10托、9托、8托、7托、6托、5托、4托、3托、2托、1托、100毫托、50毫托、30毫托、20毫托、10毫托、5毫托等或更低。
抽空并再加压该下部基板区域1320可向下部腔室外壳1310施加应力。每天可施行该工艺数次直到高达数百次或更多。据此,下部腔室外壳1310可经配置以在结构上支撑腔室内的压力循环。可加强外壳1310,该外壳1310可由耐压材料或略带弹性材料或其他能比单一金属外壳更好地抵抗施加应力的材料。在所揭示实施例中,下部腔室外壳可经配置以每隔一小时在结构上支援压力自大气压力循环至低于或约5毫托且返回至大气压力。该腔室外壳1310亦可经配置以每隔半小时、20分钟、15分钟、10分钟、5分钟、2分钟、1分钟等或更短时间支援此类压力循环。下部基板区域1320亦可包括接入端口(未图示),惰性流体可流过该接入端口。举例而言,在接收来自从工厂界面侧或下部腔室外壳内的第二接入口的基板之前,该装载腔室可用氮气或另一流体加压以维持惰性环境或干燥环境。或者,在基板已经处理并为了加压而于转移前返回至装载腔室后,该装载腔室1320可经净化及/或用氮气或惰性流体加压以准备干燥环境。
上部腔室外壳1350可直接与下部腔室外壳1310耦接或间接与上部腔室外壳1350的底部区域或下部1348耦接。在所揭示实施例中,上部腔室外壳1350的底部区域1348可另外包括温度控制装置(诸如,加热板1345),该加热板可至少部分从下界定上部处理区域1360。在所揭示实施例中,温度控制装置1345可经配置以维持其上安置的基板1355b的温度在约0℃与约800℃之间。在所揭示实施例中,温度控制装置1345可另外经配置以维持温度达到、高于,或约0℃、10℃、20℃、30℃、40℃、50℃、75℃、100℃、125℃、150℃、200℃、250℃、300℃、350℃、400℃、450℃、500℃、550℃、600℃等或更高。基板可直接支撑在如图所示的温度控制装置1345上,或可安置在诸如前文关于图12的腔室1200所描述的基板支撑装置上,其中基板支撑装置经配置以沿着边缘区域支撑基板并在上部处理区域1360内悬挂该基板。
基于上部腔室外壳1350与下部腔室外壳1310的耦接,温度控制装置1345可与例如外部源1330耦接,该外部源1330沿着下部腔室外壳1310的侧安置。电阻加热元件可安置在上部腔室部分1350的底部区域1348中且可接收来自外部源1330的功率。在所揭示实施例中,流体通道可界定在上部腔室外壳1350的底部区域1348内,或者在上部腔室外壳1350与下部腔室外壳1348之间,且温度受控制的流体可流过通道以控制上部处理区域1360内的温度。流体通道可进一步朝着外部源1330向外径向界定,该外部源1330可经配置以提供温度控制流体以致引导流过流体通道。
上部腔室外壳1303的腔室顶部盖或上部可至少部分从上与面板1325一起界定上部分布区域1365,该面板1325可至少部分地从下界定上部分布区域1365。进一步地,远端等离子体单元(未图示)可与上部腔室外壳的上部耦接。RPS单元可经由远端运输管道或联轴器1370与上部腔室外壳1350的上部1303耦接。附加耦接装置1372可从RPS装置提供分布连接,且如图所示可为两个处置腔室在运输管道或联轴器1370之间分布自由基物种。此类布局可类似于分布单元1030,但具有与图10中所示的系统1000不同的联轴器。
上部分布区域1365可包括中心分布区域1365a及边缘分布区域1365b,该中心分布区域1365a及该边缘分布区域1365b与分隔段1366隔开或分开。边缘分布区域1365b形状可为环形并径向远离该中心分布区域1365a。流体及/或气体入口组件1385可定位在上部腔室外壳1350的上部1348内,且可经配置以输送前体至上部分布区域1365。气体入口组件1385可与例如图12所示的气体入口组件或直接联轴器类似,且在所揭示实施例中,气体入口组件1385可具备图13所示的特征。气体入口组件1385可至少部分地以圆柱形状为特征,且气体入口组件的下部可界定数个气体输送孔径1386,该等气体输送孔径1386围绕气体入口组件的下部径向分布。输送孔径1386可为对顶盖1303或气体入口组件1385内的分布区域开放的端口,并可另外提供流体接入至流体入口通道,该等流体入口通道界定在气体入口组件1385及/或顶盖1303内。在任一情况下,该布置可经配置以输送前体(诸如,径向前体)至气体分布区域1365。气体入口组件中的孔径(未图示)可提供流体接入至气体分布区域1365,且可被界定以便在中心分布区域1365a与边缘分布区域1365b之间更均匀地输送前体。
气体入口组件1385可另外包括例如一或多个旁路流体通道,该一或多个旁路流体通道经配置以允许待输送的附加前体与耦接RPS单元隔开。该组件可包括旁路流体通道,该旁路流体通道经配置以围绕气体入口组件1385的圆柱形部分输送至少一种前体。该旁路流体通道可包括第一旁路段1387,该第一旁路段1387经配置以引导至少一种前体至中心分布区域1365a。该旁路流体通道可进一步包括第二旁路段1389,该第二旁路段1389经配置以引导至少一种前体至边缘分布区域1365b。旁路段1387、1389可与输送一或多种前体至两个旁路段的单个前体入口耦接,或者可分别与各自前体入口耦接以使得可提供不同流速、前体等以影响处理条件、输送均匀性等。举例而言,若类似前体在两个旁路段间输送,为了沿着气体分布区域1365b的边缘区域提供加强流量,可输送较高流速至第二旁路段1389。
面板1325或喷头可包含前述喷头或面板中的任一者。此外,面板1325、顶盖1303、温度控制装置1345及/或上部腔室的底部区域1348可与单位置或多位置开关(未图示)电气或机械耦接,该单位置或多位置开关可操作以连接面板1325至交替开关位置处的电源及/或接地源。上部腔室外壳1350的底部区域1348可接地,以使得面板连接至电源时,在上部处理区域1360中产生或点燃等离子体。该等离子体可激发经由旁路流体通道1387、1389及经由界定在喷头1325内的通道1327输送的一或多种前体,或者可维持在RPS单元中产生并经由气体入口组件1385且然后经由通道1327输送的自由基物种。通道1327可具有各种设置及布置,且可经配置以防止或限制等离子体物种向上回流至分布区域1365及/或入口组件1385中。等离子体可为前文所述等离子体源中的任一者,且在所揭示实施例中,该等离子体可包括电容耦合等离子体、感应耦合等离子体、微波、环形等离子体等。上部腔室外壳1350的底部区域1348可与所揭示实施例中的腔室组件或系统框架电气耦接,且亦可与所揭示实施例中的上部腔室外壳1350的其他部分电气隔离。腔室1300亦可经配置以在前文所述的用于其他腔室配置的温度或压力中任一者下操作。
腔室1300亦可配置成包括腔室外壳1350的处置腔室,该腔室外壳1350的底部1348与基板装载闭锁腔室1310耦接。腔室1300可包括入口组件1385,该入口组件1385经配置以接收流体至界定在腔室内的内部区域中。面板1325可安置于内部区域内,并在腔室1300内至少部分地从下界定分布区域且从上界定处理区域。该面板可包含在所揭示实施例中的石英,或可或者变为导电物质以可操作为所揭示实施例中的电极,其中腔室经配置以在处理区域内产生等离子体。该入口组件1385亦可加以内衬或由一材料(诸如,石英)制成或亦涂覆或衬有经配置以对自由基物种为惰性的材料,该等自由基物种经由入口组件1385输送。
处置腔室1300可包括与入口组件1385耦接的单独的源,与所图示的RPS耦接不同。该布置可看起来像前文论述的包括图11中的能量分布单元1135及1140的配置。举例而言,能量产生单元可与处置腔室的入口组件及/或顶盖1303耦接。举例而言,射频、直流、或微波、射频的子集及基于功率放电的技术可在能量产生单元内使用以向处置腔室提供自由基流出物。激发亦可由基于热的技术、气体分解技术、高密光源或暴露于X射线源而产生。或者,可使用远端激发源(诸如所示的远端等离子体发生器)以产生反应物种的等离子体,该等反应物种然后输送至前文论述的腔室。作为另一实例,入口组件1385可包括窗户,且能量产生单元可包括经配置以提供紫外光或辐射至腔室中的光源,该腔室具有或没有附加前体。
所述的系统及腔室可提供大量益处。举例而言,附加操作可在单一系统环境中施行,该单一系统环境可减少处理的整体排队时间。此外,诸多操作可在干燥环境中施行,该干燥环境
可限制或最小化氧化硅表面或氮化物表面的卤化物污染,该卤化物污染会导致老化缺陷。系统额外提供数个用于钝化、材料移除及污染物驱气操作的有利选项,如将与下述工艺及方法加以论述,该等下述工艺及方法能够在上文描述的一或多个组件中施行。
二.工艺移动
利用前文描述的系统及/或工具,可开发工艺序列,该等工艺序列经设计以限制、移除或修正位于正在处理的基板内的老化缺陷或其他污染物。举例而言,通过将腔室工具与系统耦接以使得不用破坏真空或清洁环境中即可施行各种操作,可限制暴露于大气条件及/或所含湿气。转向图14,该图绘示处理操作的示例处理序列,该等处理序列可包括蚀刻及/或处置工艺,该等蚀刻及/或处置工艺可在耦接在系统内的一或多个腔室中施行。在操作1410处,可在大气条件下传递基板至处理初始阶段的装载腔室。基板可起始于固持腔室(诸如前文所述的FOUP 102),且可在大气或一般工厂条件下固持。大气大体意谓系统所处位置的原生或诱导条件。举例而言,位置考虑(诸如,海拔、温度、湿度等)可影响大气条件。此外,工厂环境可正面或负面地加压至某一程度,然而该等情况仍被视为可理解的大气。一旦由装载腔室接收,装载腔室在操作1420处可被抽空至系统压力或站压力,该系统压力或站压力与一或多个腔室工具或转移站环境相似,该一或多个腔室工具或转移站环境可一直维持在真空条件下。
然后,基板可在操作1430处传递至处理腔室,在该操作1430期间,可施行一或多个系统操作。举例而言,在基板被转移至当前系统工具之前,基板可在先前经图案化、蚀刻或处理至某一程度。在某些情况下,可已发生一或多个沉积工艺,该一或多个沉积工艺包括沉积一或多个介电层,诸如形成于基板(诸如,硅基板)上的原生氧化物或氮化物层。在此种情况下,系统可经配置以施行一或多种蚀刻或处置操作。或者,图案化或清洁基板可经输送至用于初始沉积热的、可流动的或其他氧化物膜的系统,然后进行蚀刻及/或处置操作。如果将施行初始沉积,基板可在操作1430中经输送至用于沉积氧化硅材料的一对串联沉积腔室中的一者。如果该基板已经包括例如沉积层(诸如,原生氧化层),则在操作1430中施行的工艺可包括氧化物蚀刻。此外,可已施行氧化硅蚀刻,且在操作1430中,硅或氮化物蚀刻可施行于腔室中,该腔室经配置以施行此类蚀刻操作。该操作可为选择性蚀刻操作,该选择性蚀刻操作经设置以高于暴露的氧化硅的速率来蚀刻硅或氮化硅。该蚀刻操作可包括在所揭示实施例中使用可包括卤化物物种的等离子体物种。
基板可在操作1440中视需要转移至一或多个附加处理腔室。举例而言,如果氧化物蚀刻在操作1430中施行,则基板可转移至附加腔室,该附加腔室经配置以在操作1440中施行硅或氮化物蚀刻工艺。另外,如果在操作1430中施行沉积(诸如,氧化物沉积),则基板可在操作1440中转移至经配置以施行氧化物蚀刻的腔室。该基板然后可进一步转移至附加腔室,或者该附加处理腔室可经配置或重配置以施行硅或氮化物蚀刻工艺。如将理解,类似地涵盖此类处理操作的不同替代方案。
工艺可视需要在操作1450中继续,在操作1450中,基板可转移至如前文所述的处置腔室(诸如处置腔室1200或组合腔室1300)。在处置腔室中,可施行蚀刻后工艺,该蚀刻后工艺经配置以例如从基板表面移除材料或清除污染物。该处置可涉及各种前文所述的工艺,或可包括如下文将进一步详细描述的处置中的一者。在视需要的处置操作之后,基板可在操作1460中转移至装载腔室,该装载腔室可与先前接收的基板的装载腔室相同或不同。该装载腔室在操作1470中可再次加压,或者可通过使惰性气体流进该腔室直到该腔室回到大气条件而移除该真空环境。
基板可在操作1480中视需要从装载腔室转移至附加腔室(诸如湿式处置腔室)。处置腔室可维持在所揭示实施例中的大气条件,且亦可维持在例如惰性环境(诸如,在氮气净化环境)中。基板可在操作1490中返回至固持腔室或不同固持腔室。或者,为了维持已处理晶圆与原来提供的晶圆分离,基板可转移至例如用于已处理晶圆的储存腔室。
转向图15,相对于用于晶圆运输的图14描述的工艺方面可用图表图示于示例处理系统1500的俯视平面图上。所述的工艺及转移可另外由电脑系统(诸如,系统控制器)施行。在所图示设置中,第一转移装置1504A可用于从固持腔室1502a移除基板,并将该基板沿着相对路径A1转移至基板装载腔室1506A。系统控制器然后可例如提供指令至装载闭锁腔室1506A以关闭并抽气降至所需工作压力,使基板可转移至转移腔室1510中,该转移腔室1510已处于抽空状态。在所揭示实施例中,该基板可在处理连续进行期间维持在真空环境中。
第二转移装置1511可用于沿着路径A2从已抽空装载腔室转移基板至处理腔室(诸如,处理腔室1508b)。在处理腔室中,可施行一工艺(诸如,蚀刻工艺或沉积工艺),然后该基板可沿着路径A2返回至装载腔室1506a。在一实例中,氧化硅蚀刻在第一处理腔室中施行,或者硅蚀刻可在先前蚀刻的氧化物基板上施行。此外,例如将基板转移至装载腔室之前,该基板可沿着例如路径A3转移至附加处理腔室1508d,在该装载腔室中,可施行附加操作(诸如,蚀刻工艺,沉积工艺或处置工艺)。举例而言,如果在第一处理腔室中施行氧化硅蚀刻,则第二处理腔室可经配置以施行硅或氮化硅蚀刻工艺。系统控制器亦可经配置以提供指令至气体输送系统,且可提供指令用于使前体流入处理腔室。该等工艺可与相对于下文论述的方法所描述的彼等方法类似地施行。基板可随后在附加腔室中处理,转移返回基板装载腔室1506a或另外转移至一腔室(诸如,组合腔室1300),且安置在此用于附加处置及/或处理的装置的上部腔室中。如前文所述,处置腔室可垂直对齐装载腔室1506并与装载腔室1506耦接,且将基板转移返回该装载腔室1506之前,该基板可用第二转移装置转移至该腔室。处置腔室可经配置以施行蚀刻及/或驱气操作以从所揭示实施例中的氧化硅的表面移除卤化物物种。驱气工艺可从氧化硅膜移除一些、大部分或全部卤化物物种但不移除氧化硅材料的任何部分,或者通过移除一部分卤化物及氧化物达到上述目的。该驱气操作可包括下文描述的等离子体工艺,且可另外包括紫外光工艺、电子束工艺及/或臭氧固化工艺。从处理腔室开始且沿着此类相对路径的所有转移操作可用第二转移装置施行,该等相对路径包括A2、A3及A4。
在施行处理或处置后,该第二转移装置可转移该基板返回例如装载腔室1506a或返回替代装载腔室(诸如,1506b),且真空条件可从装载腔室移除。在基板返回至装载腔室后或者在已处理基板被引导至装载腔室后,该基板可在装载腔室1506内加热达一时间段。控制系统例如可另外提供使加热器啮合的指令,该加热器加热装载腔室从第一温度上升至实施例中的大于或约200℃的第二温度。基板可使用例如转移装置1504从装载腔室1506a转移返回至固持腔室1502,且可另外沿着路径A7转移至不同固持腔室或储存腔室。在所揭示实施例中,该固持腔室为储存腔室。此外,从装载腔室1506a,该基板在被转移至固持腔室1502之前可沿着路径A5用第一转移装置转移至湿式蚀刻站1505。该基板不是返回至固持腔室1502,而是可转移至储存腔室1507,该储存腔室1507是与固持腔室分离的腔室,且可用于容纳已处理基板。储存腔室或固持腔室可用所揭示实施例中的惰性流体连续净化。以此方式,该容纳的基板可维持在惰性及/或干燥环境中。该等工艺中的一些或全部工艺可结合施行以提供系统工艺,该等系统工艺减少基板系统中或基板处理期间的卤化物污染及/或老化缺陷。通过提供真空环境内所描述的该等操作中的数个操作,卤化物污染可被控制、限制或从已处理基板中移除。下文将进一步详细描述某些特定工艺,该等特定工艺可在所描述的某些腔室及系统或其组合中施行,并可沿着所揭示的处理路径施行。
三.用于钝化的蚀刻工艺及方法
现可参考图16,该图描述示例基板处理沉积及蚀刻方法。此类方法可在两个或更多个腔室中施行,该两个或更多个腔室与所揭示技术的示例系统耦接。在基板处理中,半导体晶圆可首先要求保护层形成于基板上面以保护隔开后续操作。此类膜可为形成于基板表面上的薄绝缘体(诸如,小于约5nm左右降至约10埃或更低),并可称为原生层。该膜可为形成于硅上的氧化物膜,且在许多情况下,该膜可为氧化硅。硅可为非晶形的、晶形的或多晶的(在此情况下,硅通常被称为多晶硅)。虽然高品质工艺可特别产生或主要产生二氧化硅膜,但是因为所采用工艺的性质,该膜可包括其他硅及氧键接结构,且可另外包括含有氮、氢、碳等的附加组成物,所采用工艺可用于形成原生氧化物。此类氧化物可在操作1610中形成。氧化物形成可发生在所描述系统的腔室中的一者,或可在不同处理系统中形成并输送至当前所描述系统,该等当前所描述系统具有先前形成的先前形成的氧化物材料。该氧化物材料可形成于硅基板之上,且可另外形成于例如氮化硅膜之上或氮化硅膜之下。如果基板在本处理系统中形成,则基板然后可转移至前文描述的蚀刻腔室;或者,如果该基板以此种形式转移至系统,该基板则可通过该系统被接收至前文所述的处理腔室。
在所揭示实施例中,可暴露下伏硅或氮化硅材料用于处理。然而,在不同湿式或干式蚀刻工艺期间,用于选择性蚀刻硅或氮化硅的化学轮廓不可蚀刻氧化硅,或可最低程度蚀刻氧化硅。反之,用于选择性蚀刻氧化硅的工艺不可蚀刻硅或氮化硅,在任一情况下,硅或氮化硅均可有益;然而,如果两种材料均包括在基板上,可需要两种蚀刻工艺。原生氧化物的初始蚀刻可在对氧化硅具备选择性的蚀刻工艺中施行,该蚀刻工艺将暴露下伏硅或氮化硅材料。然后施行对硅或氮化硅具选择性的后续蚀刻工艺,该蚀刻工艺不蚀刻或最低程度蚀刻氧化硅。
氧化硅蚀刻可在操作1620处施行以暴露硅或氮化硅的区域。蚀刻工艺可在例如一腔室(诸如,与图3、图4、图5或图6中图示的腔室相似的腔室)中施行,该腔室与前文所述的系统中的一者耦接。含三氟化氮或另一含氟或含卤化物前体的流可开始进入与处理区域隔开的等离子体区域,在该处理区域中有一基板。大体而言,含氟前体可流进等离子体区域(诸如,前文所述的RPS或等离子体处理区域),且然后在远端等离子体区域中形成的等离子体流出物可流进基板处理区域中。氨可同时流进基板处理区域与等离子体流出物发生反应,且氨可被或不可被传递通过远端等离子体区域,并仅可通过与等离子体流出物的相互作用而被激励。
图案化基板可被选择性蚀刻,以使得氧化硅移除速率明显高于氮化硅或硅。反应化学物质可从基板处理区域移除,且氧化硅蚀刻操作可为完成的。此类工艺可获得与氮化硅蚀刻速率相比,氧化硅蚀刻速率超过10:1并达到150:1的蚀刻选择性。氧化硅蚀刻速率可超过氮化硅蚀刻速率约100或更大的倍数。气相干式蚀刻亦可获得氧化硅相对于包括多晶硅的硅的蚀刻选择性超过100:1,且氧化硅蚀刻速率相对于硅蚀刻速率达到500:1。氧化硅蚀刻速率可超过氮化硅蚀刻速率约300倍或更大。氢及氟的存在可允许在相对较低的基板温度下在其他组成之间形成固体副产物(NH4)2SiF6。此外,通过充分控制温度,可实质上避免或消除固体残留形成以便进一步保护结构的基本特征。于2012年9月18日提交的标题为“Radical-Component Oxide Etch”的共同让与的申请案第61/702,493号(代理人案号A17384/T110100)中描述蚀刻及沉积工艺以及腔室的附加实例,该等蚀刻及沉积工艺以及腔室可结合此蚀刻工艺使用,该申请案的全部内容在此以引用的方式出于所有目的在不与本揭示案相冲突的情况下并入本文中。
在硅或氮化硅的区域已暴露用于蚀刻后,基板可转移至另一腔室,该另一腔室用于在操作1630中施行的硅或氮化硅蚀刻。蚀刻工艺可在例如腔室(诸如,与图3、图4、图5或图6中图示的腔室相似的腔室)中施行,该腔室与前文所述系统中的一者耦接。含氧前体可流进第一远端等离子体区域,同时在第一远端等离子体区域形成等离子体以产生含氧等离子体流出物,该第一远端等离子体区域与基板所在的基板处理区域流体耦接。此类远端等离子体区域可为与所揭示实施例中的腔室耦接的RPS单元。含氧前体可包括二氧化氮及其他含氧及/或含氮前体。前体可在等离子体中解离以产生各种等离子体流出物,该等等离子体流出物可包括O*、NO*及其它在蚀刻操作中有用的物种。
含氟前体可流进第二远端等离子体区域,该第二远端等离子体区域与该处理区域分离,但是与该处理区域流体耦接。第二远端等离子体区域可为附加RPS单元,或可为例如与该处理区域分离但是处于该腔室内的分区等离子体区域。等离子体可在前体输送期间于第二远端等离子体区域中形成,且该等离子体可用于产生含氟等离子体流出物。数个氟源可在例如包括三氟化氮的蚀刻工艺中使用。含氟前体可包括三氟化氮,且产生的含氟等离子体流出物可包括NF*及NF2*物种。在第二远端等离子体区域中产生的等离子体可经特殊配置以限制自由基氟物种或F*物种的方式激励含氟前体,以使得该含氟等离子体流出物基本上由NF*及NF2*物种组成。
含氧等离子体流出物及含氟等离子体流出物可流进腔室的处理区域。基板的暴露的硅及/或氮化硅区域可选择性地用含氧等离子体流出物及含氟等离子体流出物的组合蚀刻。在施行蚀刻后,可从基板处理区域移除反应化学物种,且然后从处理区域移除该基板。当实质上如所论述施行时,该等方法可允许氮化硅区域以快于硅或氧化硅区域的速率进行蚀刻。使用本文描述的气相干式蚀刻工艺,可获得与氧化硅的蚀刻速率相比硅及/或氮化硅蚀刻速率超过10:1的蚀刻选择性。在所揭示实施例中,氮化硅及/或硅蚀刻速率可超过氧化硅蚀刻速率高达或50左右或更大倍数。于2013年3月1日提交的标题为“Enhanced EtchingProcesses Using Remote Plasma Sources”的共同让与申请案第61/771,264号(代理人案号A020574/T112100)中描述有蚀刻及沉积工艺以及腔室的附加实例,该等蚀刻及沉积工艺以及腔室可结合此蚀刻工艺使用,该申请案的全部内容在此以引用的方式出于所有目的在不与本揭示案相冲突的情况下并入本文中。
第一蚀刻操作及第二蚀刻操作可在前文描述的各种操作条件下实行,并可在类似或不同条件下施行。在所揭示实施例中,基板处理区域中的压力可于蚀刻操作期间高于或约0.1托且低于或约100托。在所揭示实施例中,基板处理区域内的压力亦可低于或约40托且高于或约5托或10托,或者可处于约0.1毫托与约10托之间。在蚀刻工艺期间,基板可维持在或低于约400℃,并可维持在或低于约300℃、200℃、100℃、80℃、75℃、50℃、25℃、10℃、0℃或更低。基板的温度可在蚀刻操作期间维持在约100℃或更高且约140℃或更低,且亦可维持在或低于约50℃、25℃、10℃或更低。
至少部分是因为可用于所描述工艺中的高选择性,所以氧化硅层不可在第二蚀刻操作期间要求进一步保护,并且因此暴露于第二操作的等离子体物种,但氧化硅并未被蚀刻,在工艺期间实质上被保留,或者在工艺期间以最低程度移除。然而,输送至处理区域的自由基氟物种仍可接触氧化硅并并入氧化硅内。如上文所述,当湿气被引入该系统时,该并入可造成老化缺陷。据此,为了防止或减轻老化缺陷,可施行数个工艺。因为在制造工艺中所述蚀刻工艺可相对较早发生,所以一个解决方案可包含限制基板保持在大气环境中的时间量。据此,方法可用于通过限制湿气相互作用来帮助减轻或防止在已处置基板上的表面反应,如下文相对于图17所论述。
如前文所描述,氧化物的可选蚀刻可在操作1710(诸如上文描述的第一蚀刻工艺)施行。第一蚀刻工艺对氧化硅的选择性可胜过硅,并可利用实施例中的含氟前体及含氢及/或含氮前体。对硅的选择性胜过氧化硅的蚀刻工艺可在操作1720处施行。蚀刻工艺可利用如前文描述的含氟前体及含氧前体,并可将氧化硅表面暴露于卤化物物种,该等卤化物物种包括氟物种(诸如,自由基氟)。残余氟物种可变得并入基板氧化硅材料。基板可随后在操作1730处加热至第一温度(诸如通过烘烤操作)以将在工艺中或在系统环境内产生的任何残余湿气移除。基板可随后在操作1740中在惰性或干燥环境中转移或保持,该操作1740可从容纳基板的环境中移除一些、全部或实质上全部湿气。
基板可在腔室中加热,在该腔室中,蚀刻操作经施行,或者该基板可转移至附加腔室(诸如,待加热的装载腔室)。通过从蚀刻腔室中移除该基板,可施行后续蚀刻,同时在装载腔室中加热该基板,此举可减少或实质上减少排队时间。加热操作可加热该基板例如高于或约100℃,且在所揭示实施例中,可加热该基板高于或约150℃、200℃、250℃、300℃、350℃、400℃等或更高。此类工艺可通过移除并入湿气来钝化该表面,此举可减少老化缺陷的形成。基板可在第一温度或加热温度下维持第一时间段,该第一时间段可大于或约1分钟、2分钟、3分钟、4分钟、5分钟、10分钟、15分钟、20分钟等或更长。
令人惊讶地是发明者决定:加热基板并未移除老化缺陷直到已维持某一临界时间。类似地,发明者决定在该期间加热该基板超过一临界温度可另外影响老化缺陷的形成。在加热或钝化操作后,基板可转移至储存腔室或固持腔室,或简单地返回至FOUP,该基板可起源于该FOUP。为了防止湿气在腔室内累积,可净化该储存腔室直到基板堆迭已被处理并且该基板堆迭可经输送至随后的操作或系统。举例而言,净化流体(诸如,惰性流体,如氮等)可连续流过该储存腔室以保持干燥环境。在所揭示实施例中,该腔室可用净化流体保持在一略偏高压力以确保不会发生储存腔室泄露。
测试已显示:此类钝化工艺可证明对于后续处理前的各种储存晶圆类型及长度是成功的,以使得不会形成老化缺陷或将老化缺陷限制在基板表面上。然而,晶圆上的材料可另外影响此类钝化工艺是否成功。举例而言,直接在钝化操作后,以及在钝化工艺之后两小时、四小时、六小时等至二十四小时或更长时间内,具备硅及氧化硅材料的晶圆已显示出没有或有限老化缺陷(诸如,在可接受裕度内)。然而,当基板材料包括氮时,老化缺陷可在钝化后一至两小时内普遍发生。在不希望受特定理论束缚的情况下,发明者已决定在某些操作后,氮化物材料可包括更高水准的残余氟物种。举例而言,当施行选择性硅蚀刻时,氧化硅及氮化硅的保留层仍可暴露于蚀刻剂物种,且自由基物种(诸如,卤化物物种)可并入在氧化硅及/或氮化硅材料内。据此,当数个晶圆储存于腔室中并且该等晶圆包括氮化物膜时,更高水准的氟可更易受老化缺陷及材料的交叉污染影响。据此,虽然本钝化已显示为成功的,但是可施加附加操作以移除受污染的氧化物材料,并减少或驱气来自材料膜的并入氟物种。移除材料及卤化物驱气的该等方法将在下文中得以详细论述。
四.移除方法
X射线光电子能谱(“XPS”)分析已被发明者施行以决定前文论述的关于老化缺陷的程度及可能机构。通过改变掠射角或施行分析的膜内深度,发明者决定随着在膜内深度增加,可减少卤化物污染。据此,已暴露膜(诸如,暴露的氧化物膜或氮化物膜)的上表面可含有原子百分率高达或超过约15%的氟或其他残余卤化物物种。通过移除材料的上表面,可另外移除残余物种以暴露材料表面,该材料具有较少量的残余卤化物或其他污染物种。
在图18中,绘示材料移除及/或基板蚀刻的示例方法。该等方法包括上文相对于图16描述的类似蚀刻操作。方法中的每一者可适用于硅材料以及氮化硅材料。举例而言,在系统环境中可提供基板,该系统环境具备硅材料及覆盖该硅材料的氧化硅材料或层。氧化硅材料可已沉积在不同腔室系统或将发生材料移除的系统中。在操作1810处,可施行第一蚀刻工艺,该第一蚀刻工艺蚀刻该基板并对氧化硅的选择性胜过硅。该等方法可与更早描述的操作1620相似,并可包括使用三氟化氮及氨例如以便施行蚀刻操作。第二蚀刻工艺可在操作1820处施行,该操作1820蚀刻该基板并对硅的选择性胜过氧化硅。该等方法可与前文描述的操作1630相似。
方法亦可包括操作1830处的第三蚀刻工艺,该第三蚀刻工艺蚀刻该基板并对氧化硅的选择性胜过硅。该操作可施行蚀刻工艺,该蚀刻工艺与上文中相对于操作1620描述的工艺相似。以此方式,第一蚀刻工艺及第三蚀刻工艺可为相似蚀刻工艺,并且在诸多方面大体相似或基本相似。据此,第一及第三蚀刻工艺可包括将基板暴露于含氮前体及含氟前体。在所揭示实施例中,含氟前体可已流过等离子体以产生等离子体流出物。第二蚀刻工艺可包括将基板暴露于含氟前体及含氧前体,且该含氟前体可已流过等离子体以产生等离子体流出物。在第一蚀刻操作中蚀刻的氧化硅层可暴露于第二蚀刻工艺的等离子体流出物,该等等离子体流出物可包括氟物种,且残余氟物种可并入氧化硅层。物种可包含于氧化硅网格中,或者特别结合在材料内的不同地点。
第一蚀刻工艺及第三蚀刻工艺可在系统的第一处理腔室中施行,且第二蚀刻工艺可在系统的第二处理腔室中施行。以此方式,所有工艺可在单一系统环境内在所维持的真空或惰性环境下施行。据此,在第三蚀刻工艺中施行材料移除前,可并入第二蚀刻工艺中的氧化硅材料的残余氟不可暴露于大气条件。以此方式,可防止或实质上防止在氧化硅表面上的老化缺陷。第三蚀刻工艺可移除预定量的材料。举例而言,为了使残余氟的并入降低在临界水准之下,可分析测试晶圆堆迭以确定蚀刻可施行的深度。举例而言,第三蚀刻工艺可蚀刻氧化硅层以移除至少约5埃的材料深度。第三蚀刻工艺亦可蚀刻氧化硅层以移除至少10埃、15埃、20埃、30埃、40埃、50埃、10nm等或更大的深度,或者该第三蚀刻工艺亦可经施行以移除小于或约20埃、15埃、10埃等或更少。
该等方法可通过其他方法或方法的组合移除氧化物材料。举例而言,操作1830可包括通过第三工艺处置基板。如前文所论述,氧化硅层可暴露于第二蚀刻工艺,且第二蚀刻工艺可产生例如残余氟物种,且残余氟物种可变得与氧化硅层合并。在示例工艺中,第三工艺可包括在基板表面处引导等离子体流出物。等离子体流出物可从例如惰性前体产生,且可从氩、氦或其他惰性前体中产生。等离子体流出物可在腔室的远端区域或在第三蚀刻腔室产生,该远端区域可为在其中施行第一或第二蚀刻工艺的腔室,该第三蚀刻腔室与图3、图4、图5及图6中描述的腔室中的任一者相似。举例而言,惰性前体(诸如,氩前体)可流过RPS或处理腔室的内部等离子体区域以产生自由基氩物种。该等物种可在基板表面处经引导以施行表面溅镀。等离子体物种可蚀刻基板的顶层,并可通过等离子体物种撞击表面而从氧化硅层移除顶表面。移除的材料可从腔室中提取,揭示污染水准较低的氧化硅层。溅镀操作可如前述的第三蚀刻工艺移除类似水准的材料。
作为另一实例,操作1830可包括通过第三工艺处置基板,且该第三工艺可包括湿式蚀刻工艺。在此系统中,第一蚀刻操作、第二蚀刻操作及第三蚀刻操作可全部在不同处理腔室中施行。湿式蚀刻工艺可包含氢氟酸(诸如,DHF),且可经施行以移除高达约20埃或更少的氧化硅层。在所揭示实施例中,湿式蚀刻工艺亦可移除高达或约15埃或更少、12埃或更少、10埃或更少等。如果利用一系统(诸如前文描述的系统),湿式蚀刻腔室可在真空环境外并入。在替代配置中,组合处理腔室可经配置以施行湿式蚀刻工艺,该湿式蚀刻工艺然后例如在真空处理环境中施行。然而,若正在从真空环境移除该基板以施行湿式蚀刻,则该基板可暴露于湿气。此情况是可接受的,是因为即使初始老化缺陷开始形成,但在施行湿式蚀刻时,该等初始老化缺陷可从基板表面移除,从而克服了缺陷形成。此外,如果于处理后晚些时候并且不直接施行钝化工艺时,在施行湿式蚀刻工艺之前,可施行诸如前文所述的钝化工艺,该钝化工艺提供一定程度的保护。钝化工艺亦可类似地与其它移除工艺共同施行。第三工艺亦可用离子水施行,以使得该工艺包括将氧化硅层暴露于湿式处理站中的离子水。该离子水不可施行蚀刻操作,但是反而可至少部分地从氧化硅层上驱气卤化物物种,不用蚀刻氧化硅层。据此,若湿式处理站包含在真空环境外面,为了提供进一步针对老化缺陷形成的保护,在从真空环境转移基板前,可施行诸如前文所述的钝化工艺。
为了将原子氟或卤化物浓度降至低于或约氟原子百分率的20%,所描述的材料移除方法中的任一者可从氧化硅层上移除材料。亦可移除大量材料以将卤化物浓度降至低于或约原子百分率18、15、12、10、9、8、7、6、5、4、3、2、1或0,在该情况下,全部或基本上全部移除残余卤化物物种。此类操作对于各种情况均可成功。然而,因为原生氧化物层随基板缩放而继续缩减,所以氧化硅层可降至低于约5nm、3nm、2nm、1nm、5埃等或更少。在此类情况中,附加处置方法亦可有用,该等附加处置方法并未进一步降低替代实例中的氧化硅层或氮化硅层的厚度。据此,驱气操作(诸如使用去离子水或其他工艺)亦可有用且将在下文中进一步描述。
五.驱气方法
可施行驱气操作以降低或消除氧化硅材料及其它材料中的卤化物的浓度。驱气操作可从材料层中去除残余物种而不用从氧化层中移除材料。据此,氧化层可维持或实质上维持在驱气操作中。某些驱气操作可另外移除大量氧化材料,但是在所揭示实施例中可限制或最小化移除。通过与并入的卤化物物种相互作用,可施行驱气操作,或者驱气操作可提供能量处置,该等能量处置可从物理上或化学上使卤化物物种与氧化物或氮化物材料分离。
转向图19,该图绘示卤化物驱气操作,该卤化物驱气操作可包括从已处理基板移除污染物,该已处理基板具有暴露的硅及氧化硅表面。该基板可或者或另外包括前文所述的氮化物区域或其他金属区域或材料区域。在操作1910处,可施行可选蚀刻工艺以蚀刻氧化硅材料,以暴露基底硅或氮化硅材料。先前操作可在可选蚀刻操作前施行,该可选蚀刻操作包括前文关于例如图16描述的沉积或其他工艺。可施行蚀刻基板的可选蚀刻工艺,该工艺对氧化硅的选择性胜过硅。可选蚀刻与更早描述的操作1620相似,并可包括使用三氟化氮及氨例如以施行蚀刻操作。
后续蚀刻工艺可在操作1920处施行,该操作1920蚀刻硅及/或氮化硅材料。蚀刻工艺例如对硅或氮化硅的选择性可胜过氧化硅,并且可与前文描述的操作1630相似。蚀刻工艺可产生自由基物种且来自自由基物种的残余物种可与暴露的氧化硅层合并。蚀刻工艺可包括使基板暴露于含氟前体及含氧前体。含氟前体及含氧前体中的一者或两者可流过等离子体以产生至少一部分自由基物种,该等自由基物种可包括例如自由基氟物种。蚀刻工艺不可蚀刻氧化硅层中的任一者或者不可实质上蚀刻该氧化硅层。氟或其他自由基卤化物物种可与剖面中的氧化硅材料合并,以使得并入程度减小氧化硅膜的增加深度。
在蚀刻工艺后,在操作1930处可处置基板以从氧化硅表面移除至少一部分残余物种。处置可包括各种操作,该等操作可在腔室内施行,该腔室曾在操作1920处施行蚀刻工艺;或者,该等操作可在附加腔室中施行,基板被转移至该附加腔室。举例而言,在所揭示实施例中,处置可包括热处置、UV辐射或光处置、电子束处置、微波处置、固化处置或等离子体处置中的一或多者。该等操作可在取决于操作的各种温度及压力下施行,且该等操作可在包括在介于约0℃与约800℃之间的范围中的任一温度下施行。举例而言,热处置操作可在范围更广内的其他温度及范围中的约400℃与约600℃之间的温度下施行,同时利用远端等离子体含氮前体的等离子体操作可在范围更广内的其他温度及范围中的约0℃与约100℃之间的温度施行。处置可类似地在包括于约0.5毫托直至约700托的范围中的任何压力下施行。举例而言,电感耦接的内部等离子体操作可在范围更广的其他压力及范围中的约1托以下的压力下施行,同时固化操作可在范围更广的其他压力及范围中的大于约500托下施行。
处置操作可减少氧化硅膜内的残余卤化物的量,并可减少卤化物(诸如,氟)的原子百分率,从氧化硅或氮化材料内降至20%以下或左右。该处置可另外减少卤化物(诸如,氟)的原子百分率,降至18%、15%、12%、10%、9%、8%、7%、6%、5%、4%、3%、2%、1%或0%以下或左右,在此情况下,卤化物可全部或基本上从氧化硅材料移除。附加材料可以类似方式驱气或处置。
基板可转移至用于处置的处置腔室,且该处置腔室可包括例如组合腔室(诸如,先前关于图12及图13描述的彼等腔室)。在基板转移至处置腔室期间,可将基板维持在真空下,且可将基板维持在干燥或实质上干燥的环境中直至已完成该处置。处置该基板可包括将处置物种暴露于能量源以产生经配置以与残余自由基物种相互作用的激励处置物种。处置物种可包括含氢前体、含氧前体、含氮前体及惰性前体。前体可包括前体的组合,该等前体包括氮、氦、氩、氢、一氧化二氮、氨、三氟化氮、水及臭氧等其他前体。在所揭示实施例中,用于激发处置物种的能量源可包括等离子体。该等离子体为相对于处置腔室在内部产生或在外部产生的等离子体,且可为电容耦合等离子体、电感耦接等离子体、微波等离子体及环形等离子体。该等离子体可在前文所述的等离子体条件中的任一者下形成。在所揭示实施例中,激励处置物种可与残余自由基物种结合。举例而言,在激励后,激励处置物种可包括含氢前体,该含氢前体包括自由基含氢物种。该等自由基含氢物种可与例如残余卤化物物种(诸如残余氟物种)结合,并从氧化硅表面撤离。残余氟物种及自由基含氢物种形成的组合物可随后从处置腔室排出。该处置可施行达一时间段,该时间段的范围可从约1秒直至约30分钟或更多。举例而言,在较高等离子体功率下施行的操作可施行例如达120秒或更少,同时在无等离子体功率时施行的操作可施行例如达5分钟或更多。
在处置施行后,基板可进一步转移至用于钝化工艺的钝化腔室。在所揭示实施例中,该钝化亦可在处置腔室中施行。举例而言,基板可随后转移至装载腔室,该装载腔室在真空环境内处于静止状态,且钝化可在该真空环境中施行,此情况可减少在其他腔室中的工艺排队时间。例如,通过在装载腔室中施行钝化,在基板转移至钝化腔室期间可在整个工艺期间将基板维持于真空下。钝化可包括加热基板至高于或约150℃的温度,保持大于或约两分种的时间段。该钝化亦可包括前文论述的钝化及处理参数中的任一者。
图20绘示替代驱气操作,该替代驱气操作可在施行硅或氮化材料的腔室内施行。该方法可包括以下步骤:移除基板上的污染物,该基板具有暴露的氧化硅区域及暴露的非氧化区域。该非氧化区域可包括硅、氮化硅或含金属材料区域。该等金属可包括一或多种过渡金属,并可包括并入有金属物种的氧化物及其它材料中的铜、钨、钛等。基板可输送至用于基板上的蚀刻工艺的腔室,该基板可包括暴露材料。该腔室可为基板处理腔室(诸如前文所述腔室中的任一者),包括图3、图4、图5或图6中的腔室。为了形成及/或暴露材料,前文中的沉积及蚀刻工艺(诸如,相对于图16所解释的那些工艺)可在前文所述的附加腔室及/或系统中施行。含氟前体可流进基板处理腔室的基板处理区域的远端等离子体区域,同时在远端等离子体区域形成等离子体以产生等离子体流出物。在操作2010处,可利用等离子体流出物蚀刻该暴露的非氧化材料。氧化硅区域不可在工艺期间蚀刻,但是可暴露于等离子体流出物。因此,一部分氟物种或含氟等离子体流出物可与氧化硅区域合并。
在操作2020处,在蚀刻完成后,至少一种附加前体可流进处理区域中。举例而言,第一处置前体可流进基板处理腔室的远端等离子体区域或替代远端等离子体区域以产生处置等离子体流出物。至少一种附加处置前体可流进基板处理区域,在该基板处理区域中,该附加处置前体可与处置等离子体流出物反应。在操作2030处,为了从氧化硅区域移除残余等离子体流出物,氧化硅区域可暴露于包括处置等离子体流出物的处置前体。该处置等离子体可在基板处理区域中至少部分地分离至少一种附加处置前体。该至少部分分离的至少一种附加处置前体可与氟物种相互作用及/或键合,该等氟物种与氧化硅区域合并。
处置前体可包括惰性前体,且在其他前体之中,亦可包括含氮前体及含氢前体。该处置前体可包括氮、氦、氩及氙中的一或多者,以及含有彼等物种中的一或多者的前体。至少一附加处置前体可包括含氢前体及/或含氮前体。暴露于处置前体可或不可局部地移除氧化硅区域或一部分氧化硅区域。该暴露亦可移除至少一部分氟物种,同时维持或基本上维持氧化硅材料。该方法可在单一腔室中完整施行,且贯穿整个工艺,可保持腔室内的真空环境。该腔室可另外干燥,以使得该整个方法亦在干燥环境中施行。该暴露可在约0℃与约800℃之间的一或多个温度下施行,并且该暴露可在介于约1毫托与约700托内的一或多个压力下施行。
亦可施行交替变换以移除基板上的污染物,该基板具有暴露的氧化硅区域及暴露的非氧化区域。该方法亦可包括使含氟前体流进基板处理腔室的远端等离子体区域,该远端等离子体区域与基板处理腔室的基板处理区域流体耦接。等离子体可在远端等离子体区域中形成,同时为了产生含氟等离子体流出物使该前体流过。尽管氧化硅区域不可蚀刻,但该区域可暴露于等离子体流出物,原因是包含含氟等离子体流出物的此类等离子体物种可并入该氧化硅区域中。在操作2010处,利用等离子体流出物可蚀刻该暴露的非氧化区域。至少一个处置前体可在操作2020中流进该基板处理区域;在操作2030中,氧化硅区域可暴露于至少一个处置前体以至少移除一部分含氟等离子体流出物。
在流进基板处理区域之前,至少一个处置前体不可经由等离子体传递;在暴露操作期间,该处理区域可维持为等离子体自由的。流动至少一个处置前体可包括首先使水蒸气流进处理腔室。该水蒸气可注射进该处理腔室,且在注射水之前及/或注射水蒸气之后,腔室温度可经调节一或多次以凝结氧化硅区域表面上的水蒸气。含氮前体然后可流进该基板处理区域,且该含氮前体可包括例如氨。在此类工艺中,水可首先与氟物种相互作用,该等氟物种与氧化硅材料合并,此举可形成氟化氢并将氟化氢从氧化硅材料移除。氨然后可与形成的氟化氢相互作用以产生含氮氟物种的固体副产物,该等含氮氟物种可包括如前文描述的其他材料间的NH3F及/或NH3HF。虽然描述的工艺利用了腔室中的水,但是通过如所述般操控温度并利用氨,不仅不会产生老化缺陷,该工艺反而可通过描述的反应移除卤化物物种。
在相互作用期间,温度可维持在第一温度,且然后为了分解并蒸发副产物(诸如,通过升华物)上升至第二温度或超过临界温度。第一温度及第二温度可为前文所揭示温度中的任一者,且该第一温度可在例如约100℃或低于约100℃,而第二温度可在例如100℃或高于100℃。可无需移除氧化硅材料而进行该工艺,并且该工艺可实质上维持所揭示实施例中的氧化硅材料。在所揭示实施例中,该工艺可降低氧化硅的表面层内的氟的原子百分率浓度低于约15%,并且亦可降低表面层的内的氟的原子百分率低于约12%、10%、9%、8%、7%、6%、5%、4%、3%、2%、1%或0%;在该情况下,氟物种可完全或基本上从氧化硅材料移除。
六.电脑系统
图21图示电脑系统2100的实施例。图21中所示的电脑系统2100可并入装置(诸如,处理腔室控制器、处理系统控制器、气体输送系统控制器等)中。而且,电脑系统2100中的一些或全部组件亦可在特定装置处并入,或者可在远端定位的控制器或可携式控制器内并入。图21提供电脑系统600的一个实施例的示意图,该电脑系统600可施行由不同实施例提供的方法中的一些或全部步骤。应注意的是,图21仅意谓提供各种组件的广义图解,各种组件中的任一者或全部可视情况利用。因此,图21概括地图示独立的系统元件可如何以相对分离或相对更综合的方式实施。
电脑系统2100显示为包含硬件元件,该等硬件元件可经由总线2105电气耦接,或者在适当时以其他方式连通。该等硬件元件可包括一或多个处理器2110;该等处理器2110包括但不限于一或多个通用处理器及/或一或多个专用处理器(诸如,数字信号处理晶片、图形加速处理器及/或等等);一或多个输入装置2115,该一或多个输入装置2115可包括但不限于鼠标、键盘、照相机及/或等等;以及一或多个输出装置2120,该一或多个输出装置2120可包括但不限于显示装置、打印机及/或等等。
电脑系统2100可进一步包括一或多个非暂时储存装置2125及/或与一或多个非暂时储存装置2125连通,该非暂时储存装置2125可包含但不限于本地及/或网络可存取储存器及/或可包括但不限于硬盘驱动器、驱动阵列、光学储存装置、固态储存装置(诸如,随机存取存储器(“Random Access Memory;RAM”),及/或只读存储器(“Read-Only Memory;ROM”),该等储存装置可经编程、闪存更新及/或等等。此类储存装置可经配置以实施任何适当的数据储存,包括但不限于各种文件系统、数据库结构及/或等等。
电脑系统2100亦可包括通信子系统2130,该通信子系统2130可包括但不限于调制解调器、无线或有线网卡、红外通信装置、无线通信装置及/或芯片集(诸如,蓝牙TM装置、802.11装置、WiFi装置、WiMax装置,蜂窝通信设施等)等。该通信子系统2130可包括一或多个输入及/或输出通信界面以允许与网络、其他电脑系统及/或本文所述的任何其他装置交换数据。根据所需功能及/或其他实施问题,便携式电子装置或类似装置可经由该通信子系统2130传递系统、腔室及或其他信息。在其他实施例中,便携式电子装置可作为输入装置2115并入电脑系统2100。在诸多实施例中,该电脑系统2100将进一步包含工作存储器2135,该工作存储器2135可包括如上所述的RAM或ROM装置。
电脑系统2100亦可包含软件元件,显示为当前位于工作存储器2135内,该工作存储器2135包括操作系统2140、装置驱动器、可执行库及/或其他代码(诸如,一或多个应用程序2145),该一或多个应用程序可包含不同实施例所提供的电脑程序及/或可经设计以实施方法及/或配置如本文中所述的其他实施例提供的系统。仅仅举例说明,相对于上文所论述的方法描述的一或多个工序(诸如,关于图14至图20描述的那些工序)可能会作为代码及/或指令实施,该代码及/或指令可由电脑及/或电脑内的处理器执行;在一方面中,随后,此类代码及/或指令可用于配置及/或适用通用电脑或其他装置以根据所述方法施行一或多项操作。
一组该等指令及/或代码可能会储存在非暂时电脑可读储存介质上(诸如,上述的储存装置2125)。在一些情况中,该储存介质可能并入在电脑系统(诸如,电脑系统2100)内。在其他实施例中,该储存介质可能与电脑系统分离,例如可移除介质(诸如,光碟或可移除驱动器,及/或提供在安装包中),以使得该储存介质可通过其上储存的指令/代码用于编程、配置及/或适应通用电脑。该等指令可能采取可执行代码的形式,该可执行代码可由电脑系统2100执行,及/或该等指令可能采用源代码及/或可安装代码的形式,在于电脑系统2100上编译及/或安装之后,例如使用各种大体可用的编译器、安装程序、压缩/解压实体等中的任一者,该源代码及/或可安装代码采用可执行代码的形式。
将对于本领域技术人员显而易见的是,可根据具体要求作出实质性变化。举例而言,亦可能会使用定制硬件,及/或可能在硬件、包括便携式软件(诸如,小应用等)的软件中或以上两者中实施特定元件。而且,可采用至其他计算装置(诸如,网络输入/输出装置)的连接。
如上文提及,在一方面中,一些实施例可采用运用电脑系统(诸如,电脑系统2100)以根据技术的不同实施例施行方法。根据一组实施例,此类方法中的一些或全部工序由电脑系统2100回应处理器2110施行,该处理器2110执行一或多个指令中的一或多个序列,该一或多个序列可并入操作系统2140及/或其他代码(诸如,应用程序2145),该操作系统2140及/或其他代码包含在工作存储器2135中。此类指令可从另一电脑可读介质(诸如,储存装置2125中的一或多者)读入该工作存储器2135。仅仅举例说明,执行包含于工作存储器2135中的指令的序列可能促使处理器2110施行本文所述方法的一或多个工序。或者或另外,可经由特制硬件执行本文所述方法的部分。
本文使用的术语“机器可读介质”及“电脑可读介质”是指任何介质,该介质参与数据提供,该数据促使机器以特定方式操作。在使用电脑系统2100实施的实施例中,各种电脑可读介质可能涉及到向用于执行的处理器2110提供指令/代码,并且/或者可能用于储存及/或携带此类指令/代码。在诸多实施中,电脑可读介质为物理及/或有形储存介质。此介质可采取非易失性介质或易失性介质的形式。非易失性介质包括例如光盘及/或磁盘(诸如,储存装置2125)。易失性介质包括但不限于动态存储器(诸如,工作存储器2135)。
物理及/或有形电脑可读介质的常见形式包括例如软盘、柔性盘、硬盘、磁带或任何其他磁性介质、CD-ROM,任何其他光学介质、打孔卡、纸带、任何其他具备孔模式的物理介质、RAM、PROM、EPROM、闪存-EPROM、任何其他存储器芯片或盒、或任何其他介质,电脑可从该任何其他介质读取指令及/或代码。
各种形式的电脑可读介质可涉及到将一或多个指令的一或多个序列携带至处理器2110用于执行。仅仅举例说明,该等指令可最初携带在远端电脑的磁盘及/或光碟上。远端电脑可能加载该等指令至该远端电脑的动态存储器并经由传输介质将指令作为信号发送以被电脑系统2100接收及/或执行。
通信子系统2130及/或该通信子系统的组件大体上将接收信号,且然后,总线2105可能携带信号及/或例如由信号携带的数据、指令等至该工作存储器2135,处理器2110从该工作存储器2135取回并执行该等指令。在通过处理器2110执行前或执行后,由工作存储器2135接收的指令可任选地储存在非暂时储存装置2125上。
在描述中给出了具体细节以提供对包括实施的示例配置的彻底理解。然而,可在没有该等具体细节的情况下实践配置。举例而言,为了避免混淆该等配置,显示众所周知的工艺、结构及技术而无需细节。该描述仅仅提供示例配置,且并未限制权利要求书的范畴、适用性或配置。当然,配置的先前描述将向本领域技术人员提供用于实施所述技术的使能描述。在不脱离本揭示案的精神或范畴的情况下可对元件的功能及布置作出各种修改。
在先前描述中,处于解释的目的,为了提供对本技术的不同实施例的理解,已阐述了大量细节。然而,将对于所属领域的技术人员显而易见,某些实施例可在没有一些该等细节或在具有附加细节的情况下得以实践。各种配置可在适当的情况下省略、替代或增加不同工序或组件。举例而言,在备选配置中,方法可按与所述次序不同的次序施行,及/或可增加、省略及/或组合不同阶段。此外,相对于某些配置描述的特征可在各种其他配置中组合。该等配置的不同方面及元件可以类似方式组合。此外,技术逐步形成及因此,诸多元件为实例且没有限制本揭示案或权利要求书的范畴。
在提供值的范围的情况下,应理解,对于下限单元最小部分的每一中间值同样亦经特别揭示,除非文中另有明确规定,该每一中间值处于该范围内的上限与下限之间。包含处于所述范围中的任一所述值或中间值与在所述范围内的任一其他所述值或中间值之间的每一更小范围。彼等更小范围的上限及下限可独立地包括于或不包括于该范围中,且在一个限值、没有限值或两个限值包括于更小范围内的每一范围亦包含于该技术内,该等上限及下限经受所述范围中的任何特定排除的限制。在所述范围包括限值中的一或两者的情况下,亦包括排除了该等所包括限值中的一者或两者的范围。
如在本文及所附权利要求书中使用,单数形式“一”及“该”包括数个指示物,除非文中另有明确规定。因此,举例而言,对“一操作”的参考包括数个此类操作,且对“该板”参考包括对一或多块板的参考及本领域技术人员所知的该等参考的等价物等等。
同样,当字词“包括(谓语形式)”、“包括(现在分词形式)”、“含有(谓语形式)”、“含有(现在分词形式)”、“包含(谓语形式)”及“包含(现在分词形式)”用于此说明范及以上权利要求书中时,该等字词意欲说明所述特征、整数、组件或步骤的存在,但该等字词并不排除一或多个其他特征、整数、组件、步骤、行动或群组的存在或添加。

Claims (20)

1.一种组合处理腔室,所述腔室包括:
下部腔室外壳,其中所述下部腔室外壳包括:
第一入口,所述第一入口在所述下部腔室外壳的第一侧上,以及
第二入口,所述第二入口在与所述下部腔室外壳的第一侧相对的所述下部腔室外壳的第二侧上;以及
上部腔室外壳,所述上部腔室外壳与所述下部腔室外壳耦接,其中所述上部腔室外壳包括:
第三入口,所述第三入口在所述上部腔室外壳的第一侧上,所述上部腔室外壳的第一侧与所述下部腔室外壳的第一侧一致,以及
上部处理区域,所述上部处理区域至少部分地由安置于所述上部腔室外壳之内的面板从上界定。
2.如权利要求1所述的组合处理腔室,其中所述下部腔室外壳界定下部基板区域。
3.如权利要求2所述的组合处理腔室,其中所述下部基板区域包括加热器,所述加热器被配置成将所述下部基板区域加热高达约300℃。
4.如权利要求2所述的组合处理腔室,其中所述下部基板区域被配置成从大气压力抽空至低于大气压力的第二压力。
5.如权利要求2所述的组合处理腔室,其中所述下部腔室外壳被配置成在结构上支援压力循环每10分钟从大气压力降至小于或约5毫托并回升。
6.如权利要求1所述的组合处理腔室,其中所述上部处理腔室包括温度控制装置,所述温度控制装置被配置成将安置在所述温度控制装置上的基板的温度维持在约0℃与约600℃之间。
7.如权利要求6所述的组合处理腔室,其中所述温度控制装置包括加热板,所述加热板安置在所述上部腔室外壳之内以从下至少部分地界定所述上部处理区域。
8.如权利要求1所述的组合处理腔室,进一步包括基板支撑装置,所述基板支撑装置被配置成沿着边缘区域支撑基板并在所述上部处理区域内悬挂所述基板。
9.如权利要求1所述的组合处理腔室,进一步包括远端等离子体单元,所述远端等离子体单元与所述上部腔室外壳的上部耦接。
10.如权利要求9所述的组合处理腔室,进一步包括上部分布区域,所述上部分布区域至少部分地界定在所述上部腔室外壳的所述上部与所述面板之间。
11.如权利要求10所述的组合处理腔室,其中所述上部分布区域包括中心分布区域和边缘分布区域,所述边缘分布区域与所述中心分布区域分离且位于所述中心分布区域的径向远端。
12.如权利要求11所述的组合处理腔室,进一步包括气体入口组件,所述气体入口组件定位在所述上部腔室外壳的所述上部内且被配置成将前体输送至所述上部分布区域中。
13.如权利要求12所述的组合处理腔室,其中所述气体入口组件的一部分至少部分地由圆柱形状来表征,且其中所述气体入口组件的下部界定数个气体输送孔,所述数个气体输送孔径向分布在所述气体入口组件的所述下部周围。
14.如权利要求13所述的组合处理腔室,其中所述气体入口组件包括旁路流体通道,所述旁路流体通道被配置成围绕所述气体入口组件的圆柱形状的部分输送至少一种前体。
15.如权利要求14所述的组合处理腔室,其中所述旁路流体通道包括第一旁路段,所述第一旁路段被配置成将所述至少一种前体引导至所述中心分布区域。
16.如权利要求15所述的组合处理腔室,其中所述旁路流体通道进一步包括第二旁路段,所述第二旁路段被配置成将所述至少一种前体引导至所述边缘分布区域。
17.如权利要求1所述的组合处理腔室,其中所述面板与多位置开关耦接,所述多位置开关能操作以在交替开关位置处将所述面板连接至电源和接地源。
18.如权利要求17所述的组合处理腔室,其中所述上部腔室外壳的下部接地,使得当所述面板连接至所述电源时,在所述上部处理区域中产生等离子体。
19.如权利要求18所述的组合处理腔室,其中所述上部腔室外壳的所述下部与所述上部腔室外壳的其他部分电气隔离。
20.一种处置腔室,包括:
底部与基板装载闭锁腔室耦接的腔室外壳,
入口组件,所述入口组件被配置成将流体接收至所述腔室内界定的内部区域中,其中所述入口组件包括石英衬;
面板,所述面板安置在所述内部区域内并且在所述腔室内从下界定分布区域并从上界定处理区域,其中所述面板包括电极,且其中所述腔室被配置成在所述处理区域中产生等离子体;以及
能量生产单元,所述能量生产单元与所述入口组件耦接,其中所述入口组件包括窗户,且其中所述能量生产单元包括光源,所述光源被配置成将紫外光提供至所述腔室中。
CN201910130024.1A 2013-03-15 2014-03-06 组合处理腔室和处置腔室 Active CN110112053B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201910130024.1A CN110112053B (zh) 2013-03-15 2014-03-06 组合处理腔室和处置腔室

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201361789259P 2013-03-15 2013-03-15
US61/789,259 2013-03-15
US14/188,344 US20140271097A1 (en) 2013-03-15 2014-02-24 Processing systems and methods for halide scavenging
US14/188,344 2014-02-24
CN201480018007.4A CN105103266A (zh) 2013-03-15 2014-03-06 用于卤化物驱气的处理系统及方法
CN201910130024.1A CN110112053B (zh) 2013-03-15 2014-03-06 组合处理腔室和处置腔室
PCT/US2014/021246 WO2014149862A1 (en) 2013-03-15 2014-03-06 Processing systems and methods for halide scavenging

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201480018007.4A Division CN105103266A (zh) 2013-03-15 2014-03-06 用于卤化物驱气的处理系统及方法

Publications (2)

Publication Number Publication Date
CN110112053A true CN110112053A (zh) 2019-08-09
CN110112053B CN110112053B (zh) 2023-05-12

Family

ID=51522150

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201910130024.1A Active CN110112053B (zh) 2013-03-15 2014-03-06 组合处理腔室和处置腔室
CN201480018007.4A Pending CN105103266A (zh) 2013-03-15 2014-03-06 用于卤化物驱气的处理系统及方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201480018007.4A Pending CN105103266A (zh) 2013-03-15 2014-03-06 用于卤化物驱气的处理系统及方法

Country Status (5)

Country Link
US (10) US20140271097A1 (zh)
KR (1) KR102223662B1 (zh)
CN (2) CN110112053B (zh)
TW (3) TWI676205B (zh)
WO (1) WO2014149862A1 (zh)

Families Citing this family (232)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8834969B2 (en) * 2007-10-24 2014-09-16 Oerlikon Advanced Technologies Ag Method for manufacturing workpieces and apparatus
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) * 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
WO2011146571A2 (en) * 2010-05-21 2011-11-24 Applied Materials, Inc. Tightly-fitted ceramic insulator on large-area electrode
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9285168B2 (en) * 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9404178B2 (en) * 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617411B2 (en) * 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
KR101327458B1 (ko) * 2012-01-10 2013-11-08 주식회사 유진테크 냉각 방식의 샤워헤드 및 이를 구비하는 기판 처리 장치
US8592328B2 (en) * 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9418866B2 (en) * 2012-06-08 2016-08-16 Tokyo Electron Limited Gas treatment method
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
KR102297447B1 (ko) 2013-08-12 2021-09-01 어플라이드 머티어리얼스, 인코포레이티드 팩토리 인터페이스 환경 제어들을 갖는 기판 프로세싱 시스템들, 장치, 및 방법들
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
JP6822953B2 (ja) 2014-11-25 2021-01-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板キャリア及びパージチャンバの環境制御を伴う基板処理のシステム、装置、及び方法
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US20160181116A1 (en) * 2014-12-18 2016-06-23 Lam Research Corporation Selective nitride etch
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
KR102508955B1 (ko) * 2015-02-18 2023-03-13 스미토모 오사카 세멘토 가부시키가이샤 정전 척 장치 및 반도체 제조 장치
US9275834B1 (en) * 2015-02-20 2016-03-01 Applied Materials, Inc. Selective titanium nitride etch
US9911620B2 (en) 2015-02-23 2018-03-06 Lam Research Corporation Method for achieving ultra-high selectivity while etching silicon nitride
JP6511858B2 (ja) * 2015-02-27 2019-05-15 シンフォニアテクノロジー株式会社 搬送室
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9576815B2 (en) * 2015-04-17 2017-02-21 Applied Materials, Inc. Gas-phase silicon nitride selective etch
KR101730147B1 (ko) * 2015-07-23 2017-05-12 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) * 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
JP6294365B2 (ja) * 2016-01-29 2018-03-14 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
JP6240695B2 (ja) * 2016-03-02 2017-11-29 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US10249521B2 (en) * 2016-03-17 2019-04-02 Lam Research Ag Wet-dry integrated wafer processing system
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
KR102214350B1 (ko) * 2016-05-20 2021-02-09 어플라이드 머티어리얼스, 인코포레이티드 반도체 처리를 위한 가스 분배 샤워헤드
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
JP6493339B2 (ja) * 2016-08-26 2019-04-03 村田機械株式会社 搬送容器、及び収容物の移載方法
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
FR3057390B1 (fr) * 2016-10-11 2018-12-07 Soitec Four vertical avec dispositif de piegeage de contaminants
US11482434B2 (en) * 2016-10-18 2022-10-25 Belting E-Town Semiconductor Technology Co., Ltd Systems and methods for workpiece processing
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6238094B1 (ja) * 2016-11-21 2017-11-29 日新イオン機器株式会社 半導体製造装置、基板支持装置の冷却方法
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
JP7158133B2 (ja) * 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド 雰囲気が制御された移送モジュール及び処理システム
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) * 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
KR102574914B1 (ko) 2017-06-02 2023-09-04 어플라이드 머티어리얼스, 인코포레이티드 보론 카바이드 하드마스크의 건식 스트리핑
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10179941B1 (en) * 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US20190051540A1 (en) * 2017-08-08 2019-02-14 Lam Research Corporation Systems and methods for plasma-less de-halogenation
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US11049719B2 (en) * 2017-08-30 2021-06-29 Applied Materials, Inc. Epitaxy system integrated with high selectivity oxide removal and high temperature contaminant removal
CN111095524B (zh) 2017-09-12 2023-10-03 应用材料公司 用于使用保护阻挡物层制造半导体结构的设备和方法
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10811296B2 (en) * 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
KR102396319B1 (ko) 2017-11-11 2022-05-09 마이크로머티어리얼즈 엘엘씨 고압 프로세싱 챔버를 위한 가스 전달 시스템
US11948810B2 (en) 2017-11-15 2024-04-02 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for processing substrates or wafers
CN111373519B (zh) 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
CN111699549A (zh) 2018-01-24 2020-09-22 应用材料公司 使用高压退火的接缝弥合
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
JP7239598B2 (ja) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド 金属含有材料の高圧アニーリングプロセス
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
TWI735895B (zh) * 2018-06-22 2021-08-11 瑞士商G射線工業公司 共價接合之半導體界面
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
JP2020017645A (ja) * 2018-07-26 2020-01-30 株式会社Kokusai Electric 基板処理装置
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN112640065A (zh) 2018-10-30 2021-04-09 应用材料公司 用于蚀刻用于半导体应用的结构的方法
US10861722B2 (en) * 2018-11-13 2020-12-08 Applied Materials, Inc. Integrated semiconductor processing
CN112996950B (zh) 2018-11-16 2024-04-05 应用材料公司 使用增强扩散工艺的膜沉积
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
WO2020131989A1 (en) * 2018-12-21 2020-06-25 Mattson Technology, Inc. Surface smoothing of workpieces
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US20210381125A1 (en) * 2019-03-08 2021-12-09 Seagate Technology Llc Epitaxial directed ald crystal growth
KR102281826B1 (ko) * 2019-07-08 2021-07-23 세메스 주식회사 기판 처리 장치 및 방법
US11574826B2 (en) * 2019-07-12 2023-02-07 Applied Materials, Inc. High-density substrate processing systems and methods
KR20210014496A (ko) * 2019-07-30 2021-02-09 삼성전자주식회사 반도체 제조 장치
JP7304768B2 (ja) * 2019-08-16 2023-07-07 株式会社Screenホールディングス 熱処理装置および熱処理装置の洗浄方法
US10692730B1 (en) 2019-08-30 2020-06-23 Mattson Technology, Inc. Silicon oxide selective dry etch process
CN110648891B (zh) * 2019-09-20 2022-02-15 湖北中培电子科技有限公司 一种等离子刻蚀机用二氧化硅去除组件
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
JP7445509B2 (ja) 2020-04-27 2024-03-07 東京エレクトロン株式会社 基板処理装置及び基板搬送方法
CN113675119A (zh) * 2020-05-15 2021-11-19 拓荆科技股份有限公司 基片传输模块及半导体处理系统
CN114068272B (zh) * 2020-07-31 2023-09-29 中微半导体设备(上海)股份有限公司 一种气体流量调节装置和调节方法及等离子体处理装置
CN114093739B (zh) * 2020-08-24 2024-03-12 中微半导体设备(上海)股份有限公司 一种气体流量调节装置和调节方法及等离子体处理装置
US11640905B2 (en) 2020-12-17 2023-05-02 Applied Materials, Inc. Plasma enhanced deposition of silicon-containing films at low temperature
JP7312160B2 (ja) * 2020-12-28 2023-07-20 株式会社アルバック エッチング装置及びエッチング方法
US11898245B2 (en) * 2021-02-26 2024-02-13 Applied Materials, Inc. High throughput and metal contamination control oven for chamber component cleaning process
JP2024521260A (ja) * 2021-05-25 2024-05-30 ラム リサーチ コーポレーション 3d-nand用の高アスペクト比エッチングのための化学物質
JP7288486B2 (ja) * 2021-09-17 2023-06-07 株式会社Kokusai Electric 基板処理方法、基板処理装置、半導体装置の製造方法、及びプログラム

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US20040103844A1 (en) * 2002-10-18 2004-06-03 Chung-Yen Chou [gas distributing system for delivering plasma gas to a wafer reaction chamber]
US20090029560A1 (en) * 2001-12-07 2009-01-29 Applied Materials, Inc. Apparatus and method for single substrate processing
US7655571B2 (en) * 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US20100317198A1 (en) * 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US20120285621A1 (en) * 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
WO2012169006A1 (ja) * 2011-06-07 2012-12-13 株式会社ユーテック ポーリング処理方法、プラズマポーリング装置、圧電体及びその製造方法、成膜装置及びエッチング装置、ランプアニール装置
US20130026135A1 (en) * 2011-07-29 2013-01-31 Semes Co., Ltd. Apparatuses, systems and methods for treating substrate

Family Cites Families (1326)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2369620A (en) 1941-03-07 1945-02-13 Battelle Development Corp Method of coating cupreous metal with tin
US3451840A (en) 1965-10-06 1969-06-24 Us Air Force Wire coated with boron nitride and boron
US3537474A (en) 1968-02-19 1970-11-03 Varian Associates Push button vacuum control valve and vacuum system using same
US3756511A (en) 1971-02-02 1973-09-04 Kogyo Kaihatsu Kenyusho Nozzle and torch for plasma jet
US3969077A (en) 1971-12-16 1976-07-13 Varian Associates Alkali metal leak detection method and apparatus
US4232060A (en) 1979-01-22 1980-11-04 Richardson Chemical Company Method of preparing substrate surface for electroless plating and products produced thereby
US4397812A (en) 1974-05-24 1983-08-09 Richardson Chemical Company Electroless nickel polyalloys
US4632857A (en) 1974-05-24 1986-12-30 Richardson Chemical Company Electrolessly plated product having a polymetallic catalytic film underlayer
US4006047A (en) 1974-07-22 1977-02-01 Amp Incorporated Catalysts for electroless deposition of metals on comparatively low-temperature polyolefin and polyester substrates
US3937857A (en) 1974-07-22 1976-02-10 Amp Incorporated Catalyst for electroless deposition of metals
US4341592A (en) 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4265943A (en) 1978-11-27 1981-05-05 Macdermid Incorporated Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence of cobalt or nickel ions
US4234628A (en) 1978-11-28 1980-11-18 The Harshaw Chemical Company Two-step preplate system for polymeric surfaces
US4214946A (en) 1979-02-21 1980-07-29 International Business Machines Corporation Selective reactive ion etching of polysilicon against SiO2 utilizing SF6 -Cl2 -inert gas etchant
US4361441A (en) 1979-04-17 1982-11-30 Plasma Holdings N.V. Treatment of matter in low temperature plasmas
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
IT1130955B (it) 1980-03-11 1986-06-18 Oronzio De Nora Impianti Procedimento per la formazione di elettroci sulle superficie di membrane semipermeabili e sistemi elettrodo-membrana cosi' prodotti
US4361418A (en) 1980-05-06 1982-11-30 Risdon Corporation High vacuum processing system having improved recycle draw-down capability under high humidity ambient atmospheric conditions
NL8004005A (nl) 1980-07-11 1982-02-01 Philips Nv Werkwijze voor het vervaardigen van een halfgeleiderinrichting.
US4381441A (en) 1980-10-30 1983-04-26 Western Electric Company, Inc. Methods of and apparatus for trimming film resistors
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4368223A (en) 1981-06-01 1983-01-11 Asahi Glass Company, Ltd. Process for preparing nickel layer
DE3205345A1 (de) 1982-02-15 1983-09-01 Philips Patentverwaltung Gmbh, 2000 Hamburg "verfahren zur herstellung von fluordotierten lichtleitfasern"
US4585920A (en) 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
JPS591671A (ja) 1982-05-28 1984-01-07 Fujitsu Ltd プラズマcvd装置
JPS59126778A (ja) 1983-01-11 1984-07-21 Tokyo Denshi Kagaku Kabushiki プラズマエツチング方法及びその装置
JPS6060060A (ja) 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4656052A (en) 1984-02-13 1987-04-07 Kyocera Corporation Process for production of high-hardness boron nitride film
US4571819A (en) 1984-11-01 1986-02-25 Ncr Corporation Method for forming trench isolation structures
US4656076A (en) 1985-04-26 1987-04-07 Triquint Semiconductors, Inc. Self-aligned recessed gate process
JPS61276977A (ja) 1985-05-30 1986-12-06 Canon Inc 堆積膜形成法
US4807016A (en) 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
US4714520A (en) 1985-07-25 1987-12-22 Advanced Micro Devices, Inc. Method for filling a trench in an integrated circuit structure without producing voids
US4610775A (en) 1985-07-26 1986-09-09 Westinghouse Electric Corp. Method and apparatus for clearing short-circuited, high-voltage cathodes in a sputtering chamber
US4749440A (en) 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US4690746A (en) 1986-02-24 1987-09-01 Genus, Inc. Interlayer dielectric process
US4715937A (en) 1986-05-05 1987-12-29 The Board Of Trustees Of The Leland Stanford Junior University Low-temperature direct nitridation of silicon in nitrogen plasma generated by microwave discharge
US5228501A (en) 1986-12-19 1993-07-20 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4872947A (en) 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
JPS63204726A (ja) 1987-02-20 1988-08-24 Anelva Corp 真空処理装置
US4868071A (en) 1987-02-24 1989-09-19 Polyonics Corporation Thermally stable dual metal coated laminate products made from textured polyimide film
US5322976A (en) 1987-02-24 1994-06-21 Polyonics Corporation Process for forming polyimide-metal laminates
EP0283311B1 (en) 1987-03-18 2001-08-01 Kabushiki Kaisha Toshiba Thin film forming method
US4793897A (en) 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
US4786360A (en) 1987-03-30 1988-11-22 International Business Machines Corporation Anisotropic etch process for tungsten metallurgy
US5198034A (en) 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
DE3884653T2 (de) 1987-04-03 1994-02-03 Fujitsu Ltd Verfahren und Vorrichtung zur Gasphasenabscheidung von Diamant.
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US4753898A (en) 1987-07-09 1988-06-28 Motorola, Inc. LDD CMOS process
US4904621A (en) 1987-07-16 1990-02-27 Texas Instruments Incorporated Remote plasma generation process using a two-stage showerhead
US4857140A (en) 1987-07-16 1989-08-15 Texas Instruments Incorporated Method for etching silicon nitride
US4886570A (en) 1987-07-16 1989-12-12 Texas Instruments Incorporated Processing apparatus and method
US4838990A (en) 1987-07-16 1989-06-13 Texas Instruments Incorporated Method for plasma etching tungsten
US4828649A (en) 1987-07-16 1989-05-09 Texas Instruments Incorporated Method for etching an aluminum film doped with silicon
US4820377A (en) 1987-07-16 1989-04-11 Texas Instruments Incorporated Method for cleanup processing chamber and vacuum process module
US4867841A (en) * 1987-07-16 1989-09-19 Texas Instruments Incorporated Method for etch of polysilicon film
US4878994A (en) 1987-07-16 1989-11-07 Texas Instruments Incorporated Method for etching titanium nitride local interconnects
JPS6432627A (en) 1987-07-29 1989-02-02 Hitachi Ltd Low-temperature dry etching method
US4810520A (en) 1987-09-23 1989-03-07 Magnetic Peripherals Inc. Method for controlling electroless magnetic plating
US5180435A (en) 1987-09-24 1993-01-19 Research Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semiconductor layer
US4991542A (en) 1987-10-14 1991-02-12 The Furukawa Electric Co., Ltd. Method of forming a thin film by plasma CVD and apapratus for forming a thin film
US4865685A (en) 1987-11-03 1989-09-12 North Carolina State University Dry etching of silicon carbide
US4981551A (en) 1987-11-03 1991-01-01 North Carolina State University Dry etching of silicon carbide
US4851370A (en) 1987-12-28 1989-07-25 American Telephone And Telegraph Company, At&T Bell Laboratories Fabricating a semiconductor device with low defect density oxide
JP2804037B2 (ja) 1988-02-05 1998-09-24 株式会社東芝 ドライエッチング方法
JPH01297141A (ja) 1988-05-25 1989-11-30 Canon Inc マイクロ波プラズマ処理装置
US4900856A (en) 1988-05-26 1990-02-13 Ethyl Corporation Preparation of metal halide-amine complexes
JPH029115A (ja) 1988-06-28 1990-01-12 Mitsubishi Electric Corp 半導体製造装置
US4904341A (en) 1988-08-22 1990-02-27 Westinghouse Electric Corp. Selective silicon dioxide etchant for superconductor integrated circuits
JPH0258836A (ja) 1988-08-24 1990-02-28 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JPH02114525A (ja) 1988-10-24 1990-04-26 Toshiba Corp 有機化合物膜の除去方法及び除去装置
JPH02114530A (ja) * 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
KR930004115B1 (ko) 1988-10-31 1993-05-20 후지쓰 가부시끼가이샤 애싱(ashing)처리방법 및 장치
JPH02121330A (ja) 1988-10-31 1990-05-09 Hitachi Ltd プラズマ処理方法及び装置
JP2981243B2 (ja) 1988-12-27 1999-11-22 株式会社東芝 表面処理方法
US5030319A (en) 1988-12-27 1991-07-09 Kabushiki Kaisha Toshiba Method of oxide etching with condensed plasma reaction product
US4985372A (en) 1989-02-17 1991-01-15 Tokyo Electron Limited Method of forming conductive layer including removal of native oxide
IT216961Z2 (it) 1989-03-07 1991-10-21 Roltra Spa Dispositivo attuatore per bloccaserratura elettrico
JP2823276B2 (ja) 1989-03-18 1998-11-11 株式会社東芝 X線マスクの製造方法および薄膜の内部応力制御装置
US4946903A (en) 1989-03-27 1990-08-07 The Research Foundation Of State University Of Ny Oxyfluoropolymers having chemically reactive surface functionality and increased surface energies
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5061838A (en) 1989-06-23 1991-10-29 Massachusetts Institute Of Technology Toroidal electron cyclotron resonance reactor
US5270125A (en) 1989-07-11 1993-12-14 Redwood Microsystems, Inc. Boron nutride membrane in wafer structure
US5013691A (en) 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
US5028565A (en) 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
US4994404A (en) 1989-08-28 1991-02-19 Motorola, Inc. Method for forming a lightly-doped drain (LDD) structure in a semiconductor device
US4980018A (en) 1989-11-14 1990-12-25 Intel Corporation Plasma etching process for refractory metal vias
DE69111493T2 (de) 1990-03-12 1996-03-21 Ngk Insulators Ltd Wafer-Heizgeräte für Apparate, zur Halbleiterherstellung Heizanlage mit diesen Heizgeräten und Herstellung von Heizgeräten.
JP2960466B2 (ja) 1990-03-19 1999-10-06 株式会社日立製作所 半導体デバイスの配線絶縁膜の形成方法及びその装置
US5089441A (en) 1990-04-16 1992-02-18 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafers
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5147692A (en) 1990-05-08 1992-09-15 Macdermid, Incorporated Electroless plating of nickel onto surfaces such as copper or fused tungston
US5238499A (en) 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
US5083030A (en) 1990-07-18 1992-01-21 Applied Photonics Research Double-sided radiation-assisted processing apparatus
JPH04228572A (ja) 1990-08-10 1992-08-18 Sumitomo Electric Ind Ltd 硬質窒化ホウ素合成法
US5235139A (en) 1990-09-12 1993-08-10 Macdermid, Incorprated Method for fabricating printed circuits
US5089442A (en) 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
KR930011413B1 (ko) 1990-09-25 1993-12-06 가부시키가이샤 한도오따이 에네루기 겐큐쇼 펄스형 전자파를 사용한 플라즈마 cvd 법
EP0478233B1 (en) 1990-09-27 1996-01-03 AT&T Corp. Process for fabricating integrated circuits
JPH04142738A (ja) 1990-10-04 1992-05-15 Sony Corp ドライエッチング方法
US5549780A (en) 1990-10-23 1996-08-27 Semiconductor Energy Laboratory Co., Ltd. Method for plasma processing and apparatus for plasma processing
JP2640174B2 (ja) 1990-10-30 1997-08-13 三菱電機株式会社 半導体装置およびその製造方法
JP3206916B2 (ja) 1990-11-28 2001-09-10 住友電気工業株式会社 欠陥濃度低減方法、紫外線透過用光学ガラスの製造方法及び紫外線透過用光学ガラス
US5578130A (en) 1990-12-12 1996-11-26 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for depositing a film
US5314724A (en) 1991-01-08 1994-05-24 Fujitsu Limited Process for forming silicon oxide film
JP2697315B2 (ja) 1991-01-23 1998-01-14 日本電気株式会社 フッ素含有シリコン酸化膜の形成方法
JPH04239723A (ja) 1991-01-23 1992-08-27 Nec Corp 半導体装置の製造方法
JP2787142B2 (ja) 1991-03-01 1998-08-13 上村工業 株式会社 無電解錫、鉛又はそれらの合金めっき方法
DE4107006A1 (de) 1991-03-05 1992-09-10 Siemens Ag Verfahren zum anisotropen trockenaetzen von aluminium bzw. aluminiumlegierungen enthaltenden leiterbahnebenen in integrierten halbleiterschaltungen
US5897751A (en) 1991-03-11 1999-04-27 Regents Of The University Of California Method of fabricating boron containing coatings
US5330578A (en) 1991-03-12 1994-07-19 Semiconductor Energy Laboratory Co., Ltd. Plasma treatment apparatus
EP0511448A1 (en) * 1991-04-30 1992-11-04 International Business Machines Corporation Method and apparatus for in-situ and on-line monitoring of a trench formation process
JPH04341568A (ja) 1991-05-16 1992-11-27 Toshiba Corp 薄膜形成方法及び薄膜形成装置
WO1992020833A1 (en) 1991-05-17 1992-11-26 Lam Research Corporation A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT
JP2699695B2 (ja) 1991-06-07 1998-01-19 日本電気株式会社 化学気相成長法
US5203911A (en) 1991-06-24 1993-04-20 Shipley Company Inc. Controlled electroless plating
US6074512A (en) 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US5279865A (en) 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
JPH0562936A (ja) 1991-09-03 1993-03-12 Mitsubishi Electric Corp プラズマ処理装置およびプラズマクリーニング方法
US5240497A (en) 1991-10-08 1993-08-31 Cornell Research Foundation, Inc. Alkaline free electroless deposition
JPH05226480A (ja) 1991-12-04 1993-09-03 Nec Corp 半導体装置の製造方法
US5290382A (en) 1991-12-13 1994-03-01 Hughes Aircraft Company Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films
US5279669A (en) 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
US5352636A (en) 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
US5300463A (en) 1992-03-06 1994-04-05 Micron Technology, Inc. Method of selectively etching silicon dioxide dielectric layers on semiconductor wafers
JP3084497B2 (ja) 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
JP2773530B2 (ja) 1992-04-15 1998-07-09 日本電気株式会社 半導体装置の製造方法
JP2792335B2 (ja) 1992-05-27 1998-09-03 日本電気株式会社 半導体装置の製造方法
JP3502096B2 (ja) 1992-06-22 2004-03-02 ラム リサーチ コーポレイション プラズマ処理装置内の残留物を除去するためのプラズマクリーニング方法
US5286297A (en) 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
US5252178A (en) 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
JP3688726B2 (ja) 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
US5380560A (en) 1992-07-28 1995-01-10 International Business Machines Corporation Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition
US5248371A (en) 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
US5292370A (en) 1992-08-14 1994-03-08 Martin Marietta Energy Systems, Inc. Coupled microwave ECR and radio-frequency plasma source for plasma processing
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5326427A (en) 1992-09-11 1994-07-05 Lsi Logic Corporation Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation
US5306530A (en) 1992-11-23 1994-04-26 Associated Universities, Inc. Method for producing high quality thin layer films on substrates
JP2809018B2 (ja) 1992-11-26 1998-10-08 日本電気株式会社 半導体装置およびその製造方法
KR100238629B1 (ko) 1992-12-17 2000-01-15 히가시 데쓰로 정전척을 가지는 재치대 및 이것을 이용한 플라즈마 처리장치
US5500249A (en) 1992-12-22 1996-03-19 Applied Materials, Inc. Uniform tungsten silicide films produced by chemical vapor deposition
US5756402A (en) 1992-12-28 1998-05-26 Kabushiki Kaisha Toshiba Method of etching silicon nitride film
US5624582A (en) 1993-01-21 1997-04-29 Vlsi Technology, Inc. Optimization of dry etching through the control of helium backside pressure
US5345999A (en) 1993-03-17 1994-09-13 Applied Materials, Inc. Method and apparatus for cooling semiconductor wafers
US5302233A (en) 1993-03-19 1994-04-12 Micron Semiconductor, Inc. Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
JP3236111B2 (ja) 1993-03-31 2001-12-10 キヤノン株式会社 プラズマ処理装置及び処理方法
US5800686A (en) 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
JP2664866B2 (ja) 1993-04-09 1997-10-22 インターナショナル・ビジネス・マシーンズ・コーポレイション 窒化ホウ素をエッチングする方法
US5416048A (en) 1993-04-16 1995-05-16 Micron Semiconductor, Inc. Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage
DE69432383D1 (de) 1993-05-27 2003-05-08 Applied Materials Inc Verbesserungen betreffend Substrathalter geeignet für den Gebrauch in Vorrichtungen für die chemische Abscheidung aus der Dampfphase
US5591269A (en) 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
US5413670A (en) 1993-07-08 1995-05-09 Air Products And Chemicals, Inc. Method for plasma etching or cleaning with diluted NF3
US5560779A (en) 1993-07-12 1996-10-01 Olin Corporation Apparatus for synthesizing diamond films utilizing an arc plasma
WO1995002900A1 (en) 1993-07-15 1995-01-26 Astarix, Inc. Aluminum-palladium alloy for initiation of electroless plating
EP0637063B1 (en) 1993-07-30 1999-11-03 Applied Materials, Inc. Method for depositing silicon nitride on silicium surfaces
US5483920A (en) 1993-08-05 1996-01-16 Board Of Governors Of Wayne State University Method of forming cubic boron nitride films
US5685946A (en) 1993-08-11 1997-11-11 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Method of producing buried porous silicon-geramanium layers in monocrystalline silicon lattices
US5468597A (en) 1993-08-25 1995-11-21 Shipley Company, L.L.C. Selective metallization process
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5865896A (en) 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
US5384284A (en) 1993-10-01 1995-01-24 Micron Semiconductor, Inc. Method to form a low resistant bond pad interconnect
SE501888C2 (sv) 1993-10-18 1995-06-12 Ladislav Bardos En metod och en apparat för generering av en urladdning i egna ångor från en radiofrekvenselektrod för kontinuerlig självförstoftning av elektroden
JPH07130713A (ja) 1993-11-04 1995-05-19 Fujitsu Ltd ダウンフローエッチング装置
JPH07161703A (ja) 1993-12-03 1995-06-23 Ricoh Co Ltd 半導体装置の製造方法
US5505816A (en) 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
JPH07193214A (ja) 1993-12-27 1995-07-28 Mitsubishi Electric Corp バイアホール及びその形成方法
US5415890A (en) 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
US5399237A (en) 1994-01-27 1995-03-21 Applied Materials, Inc. Etching titanium nitride using carbon-fluoride and carbon-oxide gas
US5451259A (en) 1994-02-17 1995-09-19 Krogh; Ole D. ECR plasma source for remote processing
US5439553A (en) 1994-03-30 1995-08-08 Penn State Research Foundation Controlled etching of oxides via gas phase reactions
JPH07297543A (ja) 1994-04-25 1995-11-10 Sumitomo Metal Mining Co Ltd プリント配線板用金属被覆ガラスエポキシ樹脂基板
US5468342A (en) 1994-04-28 1995-11-21 Cypress Semiconductor Corp. Method of etching an oxide layer
EP0680072B1 (en) 1994-04-28 2003-10-08 Applied Materials, Inc. A method of operating a high density plasma CVD reactor with combined inductive and capacitive coupling
US6110838A (en) 1994-04-29 2000-08-29 Texas Instruments Incorporated Isotropic polysilicon plus nitride stripping
US5531835A (en) 1994-05-18 1996-07-02 Applied Materials, Inc. Patterned susceptor to reduce electrostatic force in a CVD chamber
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5628829A (en) 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5580421A (en) * 1994-06-14 1996-12-03 Fsi International Apparatus for surface conditioning
US5767373A (en) 1994-06-16 1998-06-16 Novartis Finance Corporation Manipulation of protoporphyrinogen oxidase enzyme activity in eukaryotic organisms
US5592358A (en) 1994-07-18 1997-01-07 Applied Materials, Inc. Electrostatic chuck for magnetic flux processing
EP0697467A1 (en) 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US5563105A (en) 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
JPH08148470A (ja) 1994-11-21 1996-06-07 Sanyo Electric Co Ltd 半導体装置の製造方法
TW344897B (en) * 1994-11-30 1998-11-11 At&T Tcorporation A process for forming gate oxides possessing different thicknesses on a semiconductor substrate
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5792376A (en) 1995-01-06 1998-08-11 Kabushiki Kaisha Toshiba Plasma processing apparatus and plasma processing method
US5772770A (en) 1995-01-27 1998-06-30 Kokusai Electric Co, Ltd. Substrate processing apparatus
JPH08279495A (ja) 1995-02-07 1996-10-22 Seiko Epson Corp プラズマ処理装置及びその方法
US5571576A (en) 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
US5670066A (en) 1995-03-17 1997-09-23 Lam Research Corporation Vacuum plasma processing wherein workpiece position is detected prior to chuck being activated
US6039851A (en) 1995-03-22 2000-03-21 Micron Technology, Inc. Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines
JPH08264510A (ja) 1995-03-27 1996-10-11 Toshiba Corp シリコン窒化膜のエッチング方法およびエッチング装置
US5571577A (en) 1995-04-07 1996-11-05 Board Of Trustees Operating Michigan State University Method and apparatus for plasma treatment of a surface
JP3270852B2 (ja) * 1995-04-20 2002-04-02 東京エレクトロン株式会社 圧力調整装置及びこれを用いた部屋の連通方法
JP3386287B2 (ja) 1995-05-08 2003-03-17 堀池 靖浩 プラズマエッチング装置
US20010028922A1 (en) 1995-06-07 2001-10-11 Sandhu Gurtej S. High throughput ILD fill process for high aspect ratio gap fill
JP3599204B2 (ja) 1995-06-08 2004-12-08 アネルバ株式会社 Cvd装置
JP2814370B2 (ja) 1995-06-18 1998-10-22 東京エレクトロン株式会社 プラズマ処理装置
US5997962A (en) 1995-06-30 1999-12-07 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
US6197364B1 (en) 1995-08-22 2001-03-06 International Business Machines Corporation Production of electroless Co(P) with designed coercivity
US5755859A (en) 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
AU6962196A (en) 1995-09-01 1997-03-27 Advanced Semiconductor Materials America, Inc. Wafer support system
US6228751B1 (en) 1995-09-08 2001-05-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US5719085A (en) 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
US5716506A (en) 1995-10-06 1998-02-10 Board Of Trustees Of The University Of Illinois Electrochemical sensors for gas detection
JPH09106898A (ja) 1995-10-09 1997-04-22 Anelva Corp プラズマcvd装置、プラズマ処理装置及びプラズマcvd方法
US5635086A (en) 1995-10-10 1997-06-03 The Esab Group, Inc. Laser-plasma arc metal cutting apparatus
JPH09106899A (ja) 1995-10-11 1997-04-22 Anelva Corp プラズマcvd装置及び方法並びにドライエッチング装置及び方法
US5814238A (en) 1995-10-12 1998-09-29 Sandia Corporation Method for dry etching of transition metals
US5910340A (en) 1995-10-23 1999-06-08 C. Uyemura & Co., Ltd. Electroless nickel plating solution and method
US6015724A (en) 1995-11-02 2000-01-18 Semiconductor Energy Laboratory Co. Manufacturing method of a semiconductor device
US5648125A (en) 1995-11-16 1997-07-15 Cane; Frank N. Electroless plating process for the manufacture of printed circuit boards
US5599740A (en) 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
JP4420986B2 (ja) 1995-11-21 2010-02-24 株式会社東芝 シャロウ・トレンチ分離半導体基板及びその製造方法
JPH09153481A (ja) 1995-11-30 1997-06-10 Sumitomo Metal Ind Ltd プラズマ処理装置
US5846598A (en) 1995-11-30 1998-12-08 International Business Machines Corporation Electroless plating of metallic features on nonmetallic or semiconductor layer without extraneous plating
US5756400A (en) 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
US5733816A (en) 1995-12-13 1998-03-31 Micron Technology, Inc. Method for depositing a tungsten layer on silicon
US6261637B1 (en) 1995-12-15 2001-07-17 Enthone-Omi, Inc. Use of palladium immersion deposition to selectively initiate electroless plating on Ti and W alloys for wafer fabrication
DE69608669T2 (de) 1995-12-19 2001-03-01 Fsi International Chaska Stromloses aufbringen von metallfilmen mit sprayprozessor
US5883012A (en) 1995-12-21 1999-03-16 Motorola, Inc. Method of etching a trench into a semiconductor substrate
US5679606A (en) 1995-12-27 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. method of forming inter-metal-dielectric structure
WO1997024761A1 (en) 1995-12-27 1997-07-10 Lam Research Corporation Methods and apparatus for filling trenches in a semiconductor wafer
DE69636880T2 (de) * 1995-12-28 2007-11-15 Taiyo Nippon Sanso Corporation Verfahren und Anordnung zum Transport von Substratscheiben
US6191026B1 (en) 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US5824599A (en) 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
US5891513A (en) 1996-01-16 1999-04-06 Cornell Research Foundation Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5872052A (en) 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
US5648175A (en) 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
US6004884A (en) 1996-02-15 1999-12-21 Lam Research Corporation Methods and apparatus for etching semiconductor wafers
US5656093A (en) 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
US5951601A (en) 1996-03-25 1999-09-14 Lesinski; S. George Attaching an implantable hearing aid microactuator
US5858876A (en) 1996-04-01 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer
US5712185A (en) 1996-04-23 1998-01-27 United Microelectronics Method for forming shallow trench isolation
US5843847A (en) 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
US6176667B1 (en) 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
KR100230981B1 (ko) 1996-05-08 1999-11-15 김광호 반도체장치 제조공정의 플라즈마 식각 방법
US6313035B1 (en) 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
TW409152B (en) 1996-06-13 2000-10-21 Samsung Electronic Etching gas composition for ferroelectric capacitor electrode film and method for etching a transition metal thin film
US5846373A (en) 1996-06-28 1998-12-08 Lam Research Corporation Method for monitoring process endpoints in a plasma chamber and a process monitoring arrangement in a plasma chamber
US5846883A (en) 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
US5993916A (en) 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5781693A (en) 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
US20010012700A1 (en) 1998-12-15 2001-08-09 Klaus F. Schuegraf Semiconductor processing methods of chemical vapor depositing sio2 on a substrate
US5661093A (en) 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5888906A (en) 1996-09-16 1999-03-30 Micron Technology, Inc. Plasmaless dry contact cleaning method using interhalogen compounds
US5747373A (en) 1996-09-24 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd. Nitride-oxide sidewall spacer for salicide formation
US5846375A (en) 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US5904827A (en) 1996-10-15 1999-05-18 Reynolds Tech Fabricators, Inc. Plating cell with rotary wiper and megasonic transducer
US5951776A (en) 1996-10-25 1999-09-14 Applied Materials, Inc. Self aligning lift mechanism
KR100237825B1 (ko) 1996-11-05 2000-01-15 윤종용 반도체장치 제조설비의 페디스탈
US5804259A (en) 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US5812403A (en) 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5935334A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Substrate processing apparatus with bottom-mounted remote plasma system
US5939831A (en) 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US5882786A (en) 1996-11-15 1999-03-16 C3, Inc. Gemstones formed of silicon carbide with diamond coating
US5830805A (en) 1996-11-18 1998-11-03 Cornell Research Foundation Electroless deposition equipment or apparatus and method of performing electroless deposition
US5855681A (en) 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US6152070A (en) 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5844195A (en) 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US5951896A (en) 1996-12-04 1999-09-14 Micro C Technologies, Inc. Rapid thermal processing heater technology and method of use
FR2756663B1 (fr) 1996-12-04 1999-02-26 Berenguer Marc Procede de traitement d'un substrat semi-conducteur comprenant une etape de traitement de surface
JPH10172792A (ja) 1996-12-05 1998-06-26 Tokyo Electron Ltd プラズマ処理装置
US6312554B1 (en) 1996-12-05 2001-11-06 Applied Materials, Inc. Apparatus and method for controlling the ratio of reactive to non-reactive ions in a semiconductor wafer processing chamber
US5843538A (en) 1996-12-09 1998-12-01 John L. Raymond Method for electroless nickel plating of metal substrates
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US5948702A (en) 1996-12-19 1999-09-07 Texas Instruments Incorporated Selective removal of TixNy
US5953635A (en) 1996-12-19 1999-09-14 Intel Corporation Interlayer dielectric with a composite dielectric stack
US5913140A (en) 1996-12-23 1999-06-15 Lam Research Corporation Method for reduction of plasma charging damage during chemical vapor deposition
KR100234539B1 (ko) * 1996-12-24 1999-12-15 윤종용 반도체장치 제조용 식각 장치
US5955037A (en) 1996-12-31 1999-09-21 Atmi Ecosys Corporation Effluent gas stream treatment system having utility for oxidation treatment of semiconductor manufacturing effluent gases
DE19700231C2 (de) 1997-01-07 2001-10-04 Geesthacht Gkss Forschung Vorrichtung zum Filtern und Trennen von Strömungsmedien
US5913147A (en) 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
US5882424A (en) 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
JPH10223608A (ja) 1997-02-04 1998-08-21 Sony Corp 半導体装置の製造方法
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US6035101A (en) 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6013584A (en) 1997-02-19 2000-01-11 Applied Materials, Inc. Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
US6479373B2 (en) 1997-02-20 2002-11-12 Infineon Technologies Ag Method of structuring layers with a polysilicon layer and an overlying metal or metal silicide layer using a three step etching process with fluorine, chlorine, bromine containing gases
US6190233B1 (en) 1997-02-20 2001-02-20 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US5990000A (en) 1997-02-20 1999-11-23 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6059643A (en) 1997-02-21 2000-05-09 Aplex, Inc. Apparatus and method for polishing a flat surface using a belted polishing pad
US6376386B1 (en) 1997-02-25 2002-04-23 Fujitsu Limited Method of etching silicon nitride by a mixture of CH2 F2, CH3F or CHF3 and an inert gas
US5789300A (en) 1997-02-25 1998-08-04 Advanced Micro Devices, Inc. Method of making IGFETs in densely and sparsely populated areas of a substrate
TW418461B (en) 1997-03-07 2001-01-11 Tokyo Electron Ltd Plasma etching device
US5850105A (en) 1997-03-21 1998-12-15 Advanced Micro Devices, Inc. Substantially planar semiconductor topography using dielectrics and chemical mechanical polish
TW376547B (en) 1997-03-27 1999-12-11 Matsushita Electric Ind Co Ltd Method and apparatus for plasma processing
US6017414A (en) 1997-03-31 2000-01-25 Lam Research Corporation Method of and apparatus for detecting and controlling in situ cleaning time of vacuum processing chambers
US6030666A (en) 1997-03-31 2000-02-29 Lam Research Corporation Method for microwave plasma substrate heating
US5786276A (en) 1997-03-31 1998-07-28 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2
JPH10284360A (ja) 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US5968610A (en) 1997-04-02 1999-10-19 United Microelectronics Corp. Multi-step high density plasma chemical vapor deposition process
US5866483A (en) 1997-04-04 1999-02-02 Applied Materials, Inc. Method for anisotropically etching tungsten using SF6, CHF3, and N2
US6174450B1 (en) 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
US6149828A (en) 1997-05-05 2000-11-21 Micron Technology, Inc. Supercritical etching compositions and method of using same
US6204200B1 (en) 1997-05-05 2001-03-20 Texas Instruments Incorporated Process scheme to form controlled airgaps between interconnect lines to reduce capacitance
US5969422A (en) 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US6083344A (en) 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
US6189483B1 (en) 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US5838055A (en) 1997-05-29 1998-11-17 International Business Machines Corporation Trench sidewall patterned by vapor phase etching
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6136685A (en) 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US6706334B1 (en) 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US5872058A (en) 1997-06-17 1999-02-16 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
US5885749A (en) 1997-06-20 1999-03-23 Clear Logic, Inc. Method of customizing integrated circuits by selective secondary deposition of layer interconnect material
US5933757A (en) 1997-06-23 1999-08-03 Lsi Logic Corporation Etch process selective to cobalt silicide for formation of integrated circuit structures
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6518155B1 (en) 1997-06-30 2003-02-11 Intel Corporation Device structure and method for reducing silicide encroachment
US6184121B1 (en) 1997-07-10 2001-02-06 International Business Machines Corporation Chip interconnect wiring structure with low dielectric constant insulator and methods for fabricating the same
US5944049A (en) 1997-07-15 1999-08-31 Applied Materials, Inc. Apparatus and method for regulating a pressure in a chamber
JPH1136076A (ja) 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd成膜装置およびcvd成膜方法
US6090212A (en) 1997-08-15 2000-07-18 Micro C Technologies, Inc. Substrate platform for a semiconductor substrate during rapid high temperature processing and method of supporting a substrate
US6007635A (en) 1997-11-26 1999-12-28 Micro C Technologies, Inc. Platform for supporting a semiconductor substrate and method of supporting a substrate during rapid high temperature processing
US5814365A (en) 1997-08-15 1998-09-29 Micro C Technologies, Inc. Reactor and method of processing a semiconductor substate
US5926737A (en) 1997-08-19 1999-07-20 Tokyo Electron Limited Use of TiCl4 etchback process during integrated CVD-Ti/TiN wafer processing
US6063688A (en) 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
US6364957B1 (en) 1997-10-09 2002-04-02 Applied Materials, Inc. Support assembly with thermal expansion compensation
US6688375B1 (en) 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
JP3874911B2 (ja) 1997-10-15 2007-01-31 株式会社Neomaxマテリアル 微小プラスチック球へのめっき方法
GB9722028D0 (en) 1997-10-17 1997-12-17 Shipley Company Ll C Plating of polymers
US6379575B1 (en) 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6136693A (en) 1997-10-27 2000-10-24 Chartered Semiconductor Manufacturing Ltd. Method for planarized interconnect vias using electroless plating and CMP
US6013191A (en) 1997-10-27 2000-01-11 Advanced Refractory Technologies, Inc. Method of polishing CVD diamond films by oxygen plasma
WO1999026277A1 (en) 1997-11-17 1999-05-27 Mattson Technology, Inc. Systems and methods for plasma enhanced processing of semiconductor wafers
US6063712A (en) 1997-11-25 2000-05-16 Micron Technology, Inc. Oxide etch and method of etching
US5849639A (en) 1997-11-26 1998-12-15 Lucent Technologies Inc. Method for removing etching residues and contaminants
US6077780A (en) 1997-12-03 2000-06-20 Advanced Micro Devices, Inc. Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US5976327A (en) 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6083844A (en) 1997-12-22 2000-07-04 Lam Research Corporation Techniques for etching an oxide layer
US6406759B1 (en) 1998-01-08 2002-06-18 The University Of Tennessee Research Corporation Remote exposure of workpieces using a recirculated plasma
JPH11204442A (ja) 1998-01-12 1999-07-30 Tokyo Electron Ltd 枚葉式の熱処理装置
US6140234A (en) 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
US5932077A (en) 1998-02-09 1999-08-03 Reynolds Tech Fabricators, Inc. Plating cell with horizontal product load mechanism
US6635578B1 (en) 1998-02-09 2003-10-21 Applied Materials, Inc Method of operating a dual chamber reactor with neutral density decoupled from ion density
US6186091B1 (en) 1998-02-11 2001-02-13 Silicon Genesis Corporation Shielded platen design for plasma immersion ion implantation
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6627532B1 (en) 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6197688B1 (en) 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6171661B1 (en) 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
JP4151862B2 (ja) 1998-02-26 2008-09-17 キヤノンアネルバ株式会社 Cvd装置
US6892669B2 (en) 1998-02-26 2005-05-17 Anelva Corporation CVD apparatus
US6177222B1 (en) 1998-03-12 2001-01-23 Xerox Corporation Coated photographic papers
US6551939B2 (en) 1998-03-17 2003-04-22 Anneal Corporation Plasma surface treatment method and resulting device
US5920792A (en) 1998-03-19 1999-07-06 Winbond Electronics Corp High density plasma enhanced chemical vapor deposition process in combination with chemical mechanical polishing process for preparation and planarization of intemetal dielectric layers
US6197181B1 (en) 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6565729B2 (en) 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6194038B1 (en) 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6602434B1 (en) 1998-03-27 2003-08-05 Applied Materials, Inc. Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
US6395150B1 (en) 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
JP2002510878A (ja) 1998-04-02 2002-04-09 アプライド マテリアルズ インコーポレイテッド 低k誘電体をエッチングする方法
US6117245A (en) 1998-04-08 2000-09-12 Applied Materials, Inc. Method and apparatus for controlling cooling and heating fluids for a gas distribution plate
US5997649A (en) * 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6113771A (en) 1998-04-21 2000-09-05 Applied Materials, Inc. Electro deposition chemistry
US6416647B1 (en) 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US6179924B1 (en) 1998-04-28 2001-01-30 Applied Materials, Inc. Heater for use in substrate processing apparatus to deposit tungsten
US6093594A (en) 1998-04-29 2000-07-25 Advanced Micro Devices, Inc. CMOS optimization method utilizing sacrificial sidewall spacer
US6081414A (en) 1998-05-01 2000-06-27 Applied Materials, Inc. Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system
US6030881A (en) 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6218288B1 (en) 1998-05-11 2001-04-17 Micron Technology, Inc. Multiple step methods for forming conformal layers
US6007785A (en) 1998-05-20 1999-12-28 Academia Sinica Apparatus for efficient ozone generation
EP0959496B1 (en) 1998-05-22 2006-07-19 Applied Materials, Inc. Methods for forming self-planarized dielectric layer for shallow trench isolation
KR100296137B1 (ko) 1998-06-16 2001-08-07 박종섭 보호막으로서고밀도플라즈마화학기상증착에의한절연막을갖는반도체소자제조방법
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
JP2000012514A (ja) 1998-06-19 2000-01-14 Hitachi Ltd 後処理方法
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
ATE316426T1 (de) 1998-06-30 2006-02-15 Semitool Inc Metallisierungsstrukturen für mikroelektronische anwendungen und verfahren zur herstellung dieser strukturen
US6562128B1 (en) 2001-11-28 2003-05-13 Seh America, Inc. In-situ post epitaxial treatment process
US6037018A (en) 1998-07-01 2000-03-14 Taiwan Semiconductor Maufacturing Company Shallow trench isolation filled by high density plasma chemical vapor deposition
US6248429B1 (en) 1998-07-06 2001-06-19 Micron Technology, Inc. Metallized recess in a substrate
JP2000026975A (ja) 1998-07-09 2000-01-25 Komatsu Ltd 表面処理装置
KR100265866B1 (ko) 1998-07-11 2000-12-01 황철주 반도체 제조장치
US6063683A (en) 1998-07-27 2000-05-16 Acer Semiconductor Manufacturing, Inc. Method of fabricating a self-aligned crown-shaped capacitor for high density DRAM cells
US6436816B1 (en) 1998-07-31 2002-08-20 Industrial Technology Research Institute Method of electroless plating copper on nitride barrier
US6074954A (en) 1998-08-31 2000-06-13 Applied Materials, Inc Process for control of the shape of the etch front in the etching of polysilicon
US6383951B1 (en) 1998-09-03 2002-05-07 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
US6440863B1 (en) 1998-09-04 2002-08-27 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming patterned oxygen containing plasma etchable layer
US6165912A (en) 1998-09-17 2000-12-26 Cfmt, Inc. Electroless metal deposition of electronic components in an enclosable vessel
US6037266A (en) 1998-09-28 2000-03-14 Taiwan Semiconductor Manufacturing Company Method for patterning a polysilicon gate with a thin gate oxide in a polysilicon etcher
JP3725708B2 (ja) 1998-09-29 2005-12-14 株式会社東芝 半導体装置
US6277733B1 (en) 1998-10-05 2001-08-21 Texas Instruments Incorporated Oxygen-free, dry plasma process for polymer removal
JP3764594B2 (ja) 1998-10-12 2006-04-12 株式会社日立製作所 プラズマ処理方法
US6180523B1 (en) 1998-10-13 2001-01-30 Industrial Technology Research Institute Copper metallization of USLI by electroless process
US6228758B1 (en) 1998-10-14 2001-05-08 Advanced Micro Devices, Inc. Method of making dual damascene conductive interconnections and integrated circuit device comprising same
US6251802B1 (en) 1998-10-19 2001-06-26 Micron Technology, Inc. Methods of forming carbon-containing layers
US6107199A (en) 1998-10-24 2000-08-22 International Business Machines Corporation Method for improving the morphology of refractory metal thin films
JP3064268B2 (ja) 1998-10-29 2000-07-12 アプライド マテリアルズ インコーポレイテッド 成膜方法及び装置
US6176198B1 (en) 1998-11-02 2001-01-23 Applied Materials, Inc. Apparatus and method for depositing low K dielectric materials
US6462371B1 (en) 1998-11-24 2002-10-08 Micron Technology Inc. Films doped with carbon for use in integrated circuit technology
US6203863B1 (en) 1998-11-27 2001-03-20 United Microelectronics Corp. Method of gap filling
US6228233B1 (en) 1998-11-30 2001-05-08 Applied Materials, Inc. Inflatable compliant bladder assembly
US6251236B1 (en) 1998-11-30 2001-06-26 Applied Materials, Inc. Cathode contact ring for electrochemical deposition
US6258220B1 (en) 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6015747A (en) 1998-12-07 2000-01-18 Advanced Micro Device Method of metal/polysilicon gate formation in a field effect transistor
US6242349B1 (en) 1998-12-09 2001-06-05 Advanced Micro Devices, Inc. Method of forming copper/copper alloy interconnection with reduced electromigration
US6364954B2 (en) 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
EP1014434B1 (de) 1998-12-24 2008-03-26 ATMEL Germany GmbH Verfahren zum anisotropen plasmachemischen Trockenätzen von Siliziumnitrid-Schichten mittels eines Fluor-enthaltenden Gasgemisches
KR20000044928A (ko) 1998-12-30 2000-07-15 김영환 반도체 소자의 트랜치 형성 방법
DE19901210A1 (de) 1999-01-14 2000-07-27 Siemens Ag Halbleiterbauelement und Verfahren zu dessen Herstellung
US6499425B1 (en) 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
TW428256B (en) 1999-01-25 2001-04-01 United Microelectronics Corp Structure of conducting-wire layer and its fabricating method
JP3330554B2 (ja) 1999-01-27 2002-09-30 松下電器産業株式会社 エッチング方法
US6245669B1 (en) 1999-02-05 2001-06-12 Taiwan Semiconductor Manufacturing Company High selectivity Si-rich SiON etch-stop layer
US6010962A (en) 1999-02-12 2000-01-04 Taiwan Semiconductor Manufacturing Company Copper chemical-mechanical-polishing (CMP) dishing
US6245670B1 (en) 1999-02-19 2001-06-12 Advanced Micro Devices, Inc. Method for filling a dual damascene opening having high aspect ratio to minimize electromigration failure
TW469534B (en) 1999-02-23 2001-12-21 Matsushita Electric Ind Co Ltd Plasma processing method and apparatus
US6291282B1 (en) 1999-02-26 2001-09-18 Texas Instruments Incorporated Method of forming dual metal gate structures or CMOS devices
US6136163A (en) 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
US6312995B1 (en) 1999-03-08 2001-11-06 Advanced Micro Devices, Inc. MOS transistor with assisted-gates and ultra-shallow “Psuedo” source and drain extensions for ultra-large-scale integration
US6197705B1 (en) 1999-03-18 2001-03-06 Chartered Semiconductor Manufacturing Ltd. Method of silicon oxide and silicon glass films deposition
US6797189B2 (en) 1999-03-25 2004-09-28 Hoiman (Raymond) Hung Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
US6238582B1 (en) 1999-03-30 2001-05-29 Veeco Instruments, Inc. Reactive ion beam etching method and a thin film head fabricated using the method
US6144099A (en) 1999-03-30 2000-11-07 Advanced Micro Devices, Inc. Semiconductor metalization barrier
JP2000290777A (ja) 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
US6263830B1 (en) 1999-04-12 2001-07-24 Matrix Integrated Systems, Inc. Microwave choke for remote plasma generator
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
US6110836A (en) 1999-04-22 2000-08-29 Applied Materials, Inc. Reactive plasma etch cleaning of high aspect ratio openings
US6110832A (en) 1999-04-28 2000-08-29 International Business Machines Corporation Method and apparatus for slurry polishing
US6541671B1 (en) 2002-02-13 2003-04-01 The Regents Of The University Of California Synthesis of 2H- and 13C-substituted dithanes
JP3099066B1 (ja) 1999-05-07 2000-10-16 東京工業大学長 薄膜構造体の製造方法
JP3482904B2 (ja) 1999-05-10 2004-01-06 松下電器産業株式会社 プラズマ処理方法及び装置
US6129829A (en) 1999-05-14 2000-10-10 Thompson; Donald E. Electrostatic filter for dielectric fluid
US7091605B2 (en) 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
US20020129902A1 (en) 1999-05-14 2002-09-19 Babayan Steven E. Low-temperature compatible wide-pressure-range plasma flow device
JP2000331993A (ja) 1999-05-19 2000-11-30 Mitsubishi Electric Corp プラズマ処理装置
US6323128B1 (en) 1999-05-26 2001-11-27 International Business Machines Corporation Method for forming Co-W-P-Au films
JP3320685B2 (ja) * 1999-06-02 2002-09-03 株式会社半導体先端テクノロジーズ 微細パターン形成方法
US20020033233A1 (en) 1999-06-08 2002-03-21 Stephen E. Savas Icp reactor having a conically-shaped plasma-generating section
US6174812B1 (en) 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6821571B2 (en) 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6161576A (en) 1999-06-23 2000-12-19 Mks Instruments, Inc. Integrated turbo pump and control valve system
US6110530A (en) 1999-06-25 2000-08-29 Applied Materials, Inc. CVD method of depositing copper films by using improved organocopper precursor blend
US6277752B1 (en) 1999-06-28 2001-08-21 Taiwan Semiconductor Manufacturing Company Multiple etch method for forming residue free patterned hard mask layer
FR2795555B1 (fr) 1999-06-28 2002-12-13 France Telecom Procede de fabrication d'un dispositif semi-conducteur comprenant un empilement forme alternativement de couches de silicium et de couches de materiau dielectrique
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6352081B1 (en) 1999-07-09 2002-03-05 Applied Materials, Inc. Method of cleaning a semiconductor device processing chamber after a copper etch process
US6516815B1 (en) 1999-07-09 2003-02-11 Applied Materials, Inc. Edge bead removal/spin rinse dry (EBR/SRD) module
US6258223B1 (en) 1999-07-09 2001-07-10 Applied Materials, Inc. In-situ electroless copper seed layer enhancement in an electroplating system
US6351013B1 (en) 1999-07-13 2002-02-26 Advanced Micro Devices, Inc. Low-K sub spacer pocket formation for gate capacitance reduction
US6342733B1 (en) 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
US6281135B1 (en) 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US6237527B1 (en) 1999-08-06 2001-05-29 Axcelis Technologies, Inc. System for improving energy purity and implant consistency, and for minimizing charge accumulation of an implanted substrate
US6235643B1 (en) 1999-08-10 2001-05-22 Applied Materials, Inc. Method for etching a trench having rounded top and bottom corners in a silicon substrate
JP4819267B2 (ja) 1999-08-17 2011-11-24 東京エレクトロン株式会社 パルスプラズマ処理方法および装置
JP4220075B2 (ja) 1999-08-20 2009-02-04 東京エレクトロン株式会社 成膜方法および成膜装置
US6322716B1 (en) 1999-08-30 2001-11-27 Cypress Semiconductor Corp. Method for conditioning a plasma etch chamber
US6375748B1 (en) 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
US6441492B1 (en) 1999-09-10 2002-08-27 James A. Cunningham Diffusion barriers for copper interconnect systems
US6548414B2 (en) 1999-09-14 2003-04-15 Infineon Technologies Ag Method of plasma etching thin films of difficult to dry etch materials
JP3514186B2 (ja) 1999-09-16 2004-03-31 日新電機株式会社 薄膜形成方法及び装置
US6503843B1 (en) 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US6432819B1 (en) 1999-09-27 2002-08-13 Applied Materials, Inc. Method and apparatus of forming a sputtered doped seed layer
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6153935A (en) 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
US6321587B1 (en) 1999-10-15 2001-11-27 Radian International Llc Solid state fluorine sensor system and method
US6423284B1 (en) 1999-10-18 2002-07-23 Advanced Technology Materials, Inc. Fluorine abatement using steam injection in oxidation treatment of semiconductor manufacturing effluent gases
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
KR100338768B1 (ko) 1999-10-25 2002-05-30 윤종용 산화막 제거방법 및 산화막 제거를 위한 반도체 제조 장치
US20010041444A1 (en) 1999-10-29 2001-11-15 Jeffrey A. Shields Tin contact barc for tungsten polished contacts
DE29919142U1 (de) 1999-10-30 2001-03-08 Agrodyn Hochspannungstechnik G Plasmadüse
US6551924B1 (en) 1999-11-02 2003-04-22 International Business Machines Corporation Post metalization chem-mech polishing dielectric etch
EP1099776A1 (en) 1999-11-09 2001-05-16 Applied Materials, Inc. Plasma cleaning step in a salicide process
JP3366301B2 (ja) 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
US8114245B2 (en) 1999-11-26 2012-02-14 Tadahiro Ohmi Plasma etching device
US6599842B2 (en) 1999-11-29 2003-07-29 Applied Materials, Inc. Method for rounding corners and removing damaged outer surfaces of a trench
TW484170B (en) 1999-11-30 2002-04-21 Applied Materials Inc Integrated modular processing platform
US6342453B1 (en) 1999-12-03 2002-01-29 Applied Materials, Inc. Method for CVD process control for enhancing device performance
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6277763B1 (en) 1999-12-16 2001-08-21 Applied Materials, Inc. Plasma processing of tungsten using a gas mixture comprising a fluorinated gas and oxygen
AU2577001A (en) 1999-12-22 2001-07-03 Tokyo Electron Limited Method and system for reducing damage to substrates during plasma processing with a resonator source
US6534809B2 (en) 1999-12-22 2003-03-18 Agilent Technologies, Inc. Hardmask designs for dry etching FeRAM capacitor stacks
US6350697B1 (en) 1999-12-22 2002-02-26 Lam Research Corporation Method of cleaning and conditioning plasma reaction chamber
US6238513B1 (en) 1999-12-28 2001-05-29 International Business Machines Corporation Wafer lift assembly
KR20010058774A (ko) 1999-12-30 2001-07-06 박종섭 반도체 소자의 제조 방법
KR100767762B1 (ko) 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6772827B2 (en) 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6656831B1 (en) 2000-01-26 2003-12-02 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of a metal nitride layer
US6494959B1 (en) 2000-01-28 2002-12-17 Applied Materials, Inc. Process and apparatus for cleaning a silicon surface
EP1124252A2 (en) 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
JP3723712B2 (ja) 2000-02-10 2005-12-07 株式会社日立国際電気 基板処理装置及び基板処理方法
US6743473B1 (en) 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6350320B1 (en) 2000-02-22 2002-02-26 Applied Materials, Inc. Heater for processing chamber
US6391788B1 (en) 2000-02-25 2002-05-21 Applied Materials, Inc. Two etchant etch method
US6958098B2 (en) 2000-02-28 2005-10-25 Applied Materials, Inc. Semiconductor wafer support lift-pin assembly
JP2001319885A (ja) 2000-03-02 2001-11-16 Hitachi Kokusai Electric Inc 基板処理装置及び半導体製造方法
JP3979791B2 (ja) 2000-03-08 2007-09-19 株式会社ルネサステクノロジ 半導体装置およびその製造方法
KR100350056B1 (ko) 2000-03-09 2002-08-24 삼성전자 주식회사 다마신 게이트 공정에서 자기정렬콘택패드 형성 방법
US6900596B2 (en) 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6527968B1 (en) 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
JP3433721B2 (ja) 2000-03-28 2003-08-04 ティーディーケイ株式会社 ドライエッチング方法及び微細加工方法
AU2001247685A1 (en) 2000-03-30 2001-10-15 Tokyo Electron Limited Method of and apparatus for tunable gas injection in a plasma processing system
JP4056195B2 (ja) 2000-03-30 2008-03-05 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
DE10016340C1 (de) 2000-03-31 2001-12-06 Promos Technologies Inc Verfahren zur Herstellung von flaschenförmigen Tiefgräben zur Verwendung in Halbleitervorrichtungen
US6558564B1 (en) 2000-04-05 2003-05-06 Applied Materials Inc. Plasma energy control by inducing plasma instability
JP2001355074A (ja) 2000-04-10 2001-12-25 Sony Corp 無電解メッキ処理方法およびその装置
US7892974B2 (en) 2000-04-11 2011-02-22 Cree, Inc. Method of forming vias in silicon carbide and resulting devices and circuits
US6762129B2 (en) * 2000-04-19 2004-07-13 Matsushita Electric Industrial Co., Ltd. Dry etching method, fabrication method for semiconductor device, and dry etching apparatus
JP2001308023A (ja) 2000-04-21 2001-11-02 Tokyo Electron Ltd 熱処理装置及び方法
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
KR100367662B1 (ko) 2000-05-02 2003-01-10 주식회사 셈테크놀러지 하이퍼서멀 중성입자 발생 장치 및 이를 채용하는 중성입자 처리 장치
JP3662472B2 (ja) 2000-05-09 2005-06-22 エム・エフエスアイ株式会社 基板表面の処理方法
WO2001086717A1 (fr) 2000-05-10 2001-11-15 Ibiden Co., Ltd. Mandrin electrostatique
US6679981B1 (en) 2000-05-11 2004-01-20 Applied Materials, Inc. Inductive plasma loop enhancing magnetron sputtering
KR100638917B1 (ko) 2000-05-17 2006-10-25 동경 엘렉트론 주식회사 처리 장치 부품의 조립 기구 및 그 조립 방법
US6418874B1 (en) 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
US6335261B1 (en) 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
US6729081B2 (en) 2000-06-09 2004-05-04 United Solar Systems Corporation Self-adhesive photovoltaic module
US6603269B1 (en) 2000-06-13 2003-08-05 Applied Materials, Inc. Resonant chamber applicator for remote plasma source
US6509623B2 (en) 2000-06-15 2003-01-21 Newport Fab, Llc Microelectronic air-gap structures and methods of forming the same
US6391753B1 (en) 2000-06-20 2002-05-21 Advanced Micro Devices, Inc. Process for forming gate conductors
US6645550B1 (en) 2000-06-22 2003-11-11 Applied Materials, Inc. Method of treating a substrate
TW527436B (en) 2000-06-23 2003-04-11 Anelva Corp Chemical vapor deposition system
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6303418B1 (en) 2000-06-30 2001-10-16 Chartered Semiconductor Manufacturing Ltd. Method of fabricating CMOS devices featuring dual gate structures and a high dielectric constant gate insulator layer
DE10032607B4 (de) 2000-07-07 2004-08-12 Leo Elektronenmikroskopie Gmbh Teilchenstrahlgerät mit einer im Ultrahochvakuum zu betreibenden Teilchenquelle und kaskadenförmige Pumpanordnung für ein solches Teilchenstrahlgerät
US6440870B1 (en) 2000-07-12 2002-08-27 Applied Materials, Inc. Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
KR100366623B1 (ko) 2000-07-18 2003-01-09 삼성전자 주식회사 반도체 기판 또는 lcd 기판의 세정방법
US6764958B1 (en) 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6677242B1 (en) * 2000-08-12 2004-01-13 Applied Materials Inc. Integrated shallow trench isolation approach
US6800830B2 (en) 2000-08-18 2004-10-05 Hitachi Kokusai Electric, Inc. Chemistry for boron diffusion barrier layer and method of application in semiconductor device fabrication
US6446572B1 (en) 2000-08-18 2002-09-10 Tokyo Electron Limited Embedded plasma source for plasma density improvement
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6459066B1 (en) 2000-08-25 2002-10-01 Board Of Regents, The University Of Texas System Transmission line based inductively coupled plasma source with stable impedance
US6372657B1 (en) 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
US6465366B1 (en) 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
JP2002100578A (ja) 2000-09-25 2002-04-05 Crystage Co Ltd 薄膜形成装置
JP4717295B2 (ja) 2000-10-04 2011-07-06 株式会社半導体エネルギー研究所 ドライエッチング装置及びエッチング方法
US6461974B1 (en) 2000-10-06 2002-10-08 Lam Research Corporation High temperature tungsten etching process
DK200001497A (da) 2000-10-08 2002-04-09 Scanavo As Opbevaringsindretning for en databærer
KR100375102B1 (ko) 2000-10-18 2003-03-08 삼성전자주식회사 반도체 장치의 제조에서 화학 기상 증착 방법 및 이를수행하기 위한 장치
US6403491B1 (en) 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
US6610362B1 (en) 2000-11-20 2003-08-26 Intel Corporation Method of forming a carbon doped oxide layer on a substrate
KR100382725B1 (ko) 2000-11-24 2003-05-09 삼성전자주식회사 클러스터화된 플라즈마 장치에서의 반도체소자의 제조방법
AUPR179500A0 (en) 2000-11-30 2000-12-21 Saintech Pty Limited Ion source
US6291348B1 (en) 2000-11-30 2001-09-18 Advanced Micro Devices, Inc. Method of forming Cu-Ca-O thin films on Cu surfaces in a chemical solution and semiconductor device thereby formed
US6544340B2 (en) 2000-12-08 2003-04-08 Applied Materials, Inc. Heater with detachable ceramic top plate
US6448537B1 (en) 2000-12-11 2002-09-10 Eric Anton Nering Single-wafer process chamber thermal convection processes
US6533910B2 (en) 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6500772B2 (en) 2001-01-08 2002-12-31 International Business Machines Corporation Methods and materials for depositing films on semiconductor substrates
US20020124867A1 (en) 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
FR2819341B1 (fr) 2001-01-11 2003-06-27 St Microelectronics Sa Procede d'integration d'une cellule dram
US6879981B2 (en) 2001-01-16 2005-04-12 Corigin Ltd. Sharing live data with a non cooperative DBMS
US6849854B2 (en) 2001-01-18 2005-02-01 Saintech Pty Ltd. Ion source
JP4644943B2 (ja) 2001-01-23 2011-03-09 東京エレクトロン株式会社 処理装置
US6743732B1 (en) 2001-01-26 2004-06-01 Taiwan Semiconductor Manufacturing Company Organic low K dielectric etch with NH3 chemistry
JP2002222934A (ja) 2001-01-29 2002-08-09 Nec Corp 半導体装置およびその製造方法
US6893969B2 (en) 2001-02-12 2005-05-17 Lam Research Corporation Use of ammonia for etching organic low-k dielectrics
US6537733B2 (en) 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
JP2002256235A (ja) 2001-03-01 2002-09-11 Hitachi Chem Co Ltd 接着シート、半導体装置の製造方法および半導体装置
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6348407B1 (en) 2001-03-15 2002-02-19 Chartered Semiconductor Manufacturing Inc. Method to improve adhesion of organic dielectrics in dual damascene interconnects
US6886491B2 (en) 2001-03-19 2005-05-03 Apex Co. Ltd. Plasma chemical vapor deposition apparatus
JP5013353B2 (ja) 2001-03-28 2012-08-29 隆 杉野 成膜方法及び成膜装置
US7084070B1 (en) 2001-03-30 2006-08-01 Lam Research Corporation Treatment for corrosion in substrate processing
US6670278B2 (en) 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
US20020177321A1 (en) 2001-03-30 2002-11-28 Li Si Yi Plasma etching of silicon carbide
FR2823032B1 (fr) 2001-04-03 2003-07-11 St Microelectronics Sa Resonateur electromecanique a poutre vibrante
US20020144657A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
JP3707394B2 (ja) 2001-04-06 2005-10-19 ソニー株式会社 無電解メッキ方法
US20030019428A1 (en) 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US6914009B2 (en) 2001-05-07 2005-07-05 Applied Materials Inc Method of making small transistor lengths
US6740601B2 (en) 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US20020197823A1 (en) 2001-05-18 2002-12-26 Yoo Jae-Yoon Isolation method for semiconductor device
JP4720019B2 (ja) 2001-05-18 2011-07-13 東京エレクトロン株式会社 冷却機構及び処理装置
US6717189B2 (en) 2001-06-01 2004-04-06 Ebara Corporation Electroless plating liquid and semiconductor device
US6573606B2 (en) 2001-06-14 2003-06-03 International Business Machines Corporation Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect
US6506291B2 (en) 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
CN1516895A (zh) 2001-06-14 2004-07-28 马特森技术公司 用于铜互连的阻挡层增强工艺
US20060191637A1 (en) 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
US6685803B2 (en) 2001-06-22 2004-02-03 Applied Materials, Inc. Plasma treatment of processing gases
US6770166B1 (en) 2001-06-29 2004-08-03 Lam Research Corp. Apparatus and method for radio frequency de-coupling and bias voltage control in a plasma reactor
JP2003019433A (ja) 2001-07-06 2003-01-21 Sekisui Chem Co Ltd 放電プラズマ処理装置及びそれを用いた処理方法
KR100403630B1 (ko) 2001-07-07 2003-10-30 삼성전자주식회사 고밀도 플라즈마를 이용한 반도체 장치의 층간 절연막 형성방법
US6531377B2 (en) 2001-07-13 2003-03-11 Infineon Technologies Ag Method for high aspect ratio gap fill using sequential HDP-CVD
US6596599B1 (en) 2001-07-16 2003-07-22 Taiwan Semiconductor Manufacturing Company Gate stack for high performance sub-micron CMOS devices
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US6846745B1 (en) 2001-08-03 2005-01-25 Novellus Systems, Inc. High-density plasma process for filling high aspect ratio structures
JP3914452B2 (ja) 2001-08-07 2007-05-16 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US6984288B2 (en) 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
TW554069B (en) 2001-08-10 2003-09-21 Ebara Corp Plating device and method
CN1329972C (zh) 2001-08-13 2007-08-01 株式会社荏原制作所 半导体器件及其制造方法
US20030038305A1 (en) 2001-08-21 2003-02-27 Wasshuber Christoph A. Method for manufacturing and structure of transistor with low-k spacer
JP2003059914A (ja) 2001-08-21 2003-02-28 Hitachi Kokusai Electric Inc プラズマ処理装置
US6762127B2 (en) 2001-08-23 2004-07-13 Yves Pierre Boiteux Etch process for dielectric materials comprising oxidized organo silane materials
US6753506B2 (en) 2001-08-23 2004-06-22 Axcelis Technologies System and method of fast ambient switching for rapid thermal processing
WO2003018867A1 (en) 2001-08-29 2003-03-06 Applied Materials, Inc. Semiconductor processing using an efficiently coupled gas source
US6796314B1 (en) 2001-09-07 2004-09-28 Novellus Systems, Inc. Using hydrogen gas in a post-etch radio frequency-plasma contact cleaning process
US20030054608A1 (en) 2001-09-17 2003-03-20 Vanguard International Semiconductor Corporation Method for forming shallow trench isolation in semiconductor device
US6555467B2 (en) 2001-09-28 2003-04-29 Sharp Laboratories Of America, Inc. Method of making air gaps copper interconnect
US6656837B2 (en) 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
EP1302988A3 (de) 2001-10-12 2007-01-24 Bayer MaterialScience AG Photovoltaik-Module mit einer thermoplastischen Schmelzklebeschicht sowie ein Verfahren zu ihrer Herstellung
US6855906B2 (en) 2001-10-16 2005-02-15 Adam Alexander Brailove Induction plasma reactor
US20030072639A1 (en) 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support
KR100433091B1 (ko) 2001-10-23 2004-05-28 주식회사 하이닉스반도체 반도체소자의 도전배선 형성방법
JP3759895B2 (ja) 2001-10-24 2006-03-29 松下電器産業株式会社 エッチング方法
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
JP4040284B2 (ja) 2001-11-08 2008-01-30 住友大阪セメント株式会社 プラズマ発生用電極内蔵型サセプタ及びその製造方法
KR100443121B1 (ko) 2001-11-29 2004-08-04 삼성전자주식회사 반도체 공정의 수행 방법 및 반도체 공정 장치
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
JP4392852B2 (ja) 2001-12-07 2010-01-06 東京エレクトロン株式会社 プラズマ処理装置に用いられる排気リング機構及びプラズマ処理装置
KR100641762B1 (ko) 2001-12-07 2006-11-06 동경 엘렉트론 주식회사 절연막의 질화 방법, 반도체 장치 및 반도체 장치의 제조방법, 기판 처리 장치 및 기판 처리 방법
US6905968B2 (en) 2001-12-12 2005-06-14 Applied Materials, Inc. Process for selectively etching dielectric layers
CN100524642C (zh) 2001-12-13 2009-08-05 应用材料股份有限公司 一种半导体器件
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6605874B2 (en) 2001-12-19 2003-08-12 Intel Corporation Method of making semiconductor device using an interconnect
AU2002366943A1 (en) 2001-12-20 2003-07-09 Tokyo Electron Limited Method and apparatus comprising a magnetic filter for plasma processing a workpiece
US20030116439A1 (en) 2001-12-21 2003-06-26 International Business Machines Corporation Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
KR100442167B1 (ko) 2001-12-26 2004-07-30 주성엔지니어링(주) 자연산화막 제거방법
US20030124842A1 (en) 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
KR100484258B1 (ko) 2001-12-27 2005-04-22 주식회사 하이닉스반도체 반도체 소자 제조 방법
US6677247B2 (en) 2002-01-07 2004-01-13 Applied Materials Inc. Method of increasing the etch selectivity of a contact sidewall to a preclean etchant
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
JP2003217898A (ja) 2002-01-16 2003-07-31 Sekisui Chem Co Ltd 放電プラズマ処理装置
US6869880B2 (en) 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
US20040060514A1 (en) * 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US7138014B2 (en) 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
TWI239794B (en) 2002-01-30 2005-09-11 Alps Electric Co Ltd Plasma processing apparatus and method
US7226504B2 (en) 2002-01-31 2007-06-05 Sharp Laboratories Of America, Inc. Method to form thick relaxed SiGe layer with trench structure
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US6821348B2 (en) 2002-02-14 2004-11-23 3M Innovative Properties Company In-line deposition processes for circuit fabrication
JP3921234B2 (ja) 2002-02-28 2007-05-30 キヤノンアネルバ株式会社 表面処理装置及びその製造方法
US6646233B2 (en) 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
US20060252265A1 (en) 2002-03-06 2006-11-09 Guangxiang Jin Etching high-kappa dielectric materials with good high-kappa foot control and silicon recess control
US20030168174A1 (en) 2002-03-08 2003-09-11 Foree Michael Todd Gas cushion susceptor system
US7252011B2 (en) 2002-03-11 2007-08-07 Mks Instruments, Inc. Surface area deposition trap
JP3813562B2 (ja) 2002-03-15 2006-08-23 富士通株式会社 半導体装置及びその製造方法
US7256370B2 (en) 2002-03-15 2007-08-14 Steed Technology, Inc. Vacuum thermal annealer
US6913651B2 (en) 2002-03-22 2005-07-05 Blue29, Llc Apparatus and method for electroless deposition of materials on semiconductor substrates
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6843858B2 (en) 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US20030190426A1 (en) 2002-04-03 2003-10-09 Deenesh Padhi Electroless deposition method
US6921556B2 (en) 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US6616967B1 (en) 2002-04-15 2003-09-09 Texas Instruments Incorporated Method to achieve continuous hydrogen saturation in sparingly used electroless nickel plating process
US6897532B1 (en) 2002-04-15 2005-05-24 Cypress Semiconductor Corp. Magnetic tunneling junction configuration and a method for making the same
US7013834B2 (en) 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
KR100448714B1 (ko) 2002-04-24 2004-09-13 삼성전자주식회사 다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막및 그의 형성방법
US6528409B1 (en) 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6908862B2 (en) 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
TW538497B (en) 2002-05-16 2003-06-21 Nanya Technology Corp Method to form a bottle-shaped trench
US6825051B2 (en) 2002-05-17 2004-11-30 Asm America, Inc. Plasma etch resistant coating and process
JP2003347278A (ja) 2002-05-23 2003-12-05 Hitachi Kokusai Electric Inc 基板処理装置、及び半導体装置の製造方法
US6500728B1 (en) 2002-05-24 2002-12-31 Taiwan Semiconductor Manufacturing Company Shallow trench isolation (STI) module to improve contact etch process window
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
KR100434110B1 (ko) 2002-06-04 2004-06-04 삼성전자주식회사 반도체 장치의 제조방법
US20030230385A1 (en) * 2002-06-13 2003-12-18 Applied Materials, Inc. Electro-magnetic configuration for uniformity enhancement in a dual chamber plasma processing system
KR101019190B1 (ko) 2002-06-14 2011-03-04 세키스이가가쿠 고교가부시키가이샤 산화막 형성 방법 및 산화막 형성 장치
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
DE10229037A1 (de) 2002-06-28 2004-01-29 Robert Bosch Gmbh Vorrichtung und Verfahren zur Erzeugung von Chlortrifluorid und Anlage zur Ätzung von Halbleitersubstraten mit dieser Vorrichtung
US20040072446A1 (en) 2002-07-02 2004-04-15 Applied Materials, Inc. Method for fabricating an ultra shallow junction of a field effect transistor
US6767844B2 (en) 2002-07-03 2004-07-27 Taiwan Semiconductor Manufacturing Co., Ltd Plasma chamber equipped with temperature-controlled focus ring and method of operating
US6838125B2 (en) 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US7357138B2 (en) 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US7988398B2 (en) * 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US20060040055A1 (en) 2002-08-06 2006-02-23 Tegal Corporation Method and system for sequential processing in a two-compartment chamber
US20040058293A1 (en) 2002-08-06 2004-03-25 Tue Nguyen Assembly line processing system
US20060046412A1 (en) 2002-08-06 2006-03-02 Tegal Corporation Method and system for sequential processing in a two-compartment chamber
US6921555B2 (en) 2002-08-06 2005-07-26 Tegal Corporation Method and system for sequential processing in a two-compartment chamber
JP3861036B2 (ja) 2002-08-09 2006-12-20 三菱重工業株式会社 プラズマcvd装置
US7541270B2 (en) 2002-08-13 2009-06-02 Micron Technology, Inc. Methods for forming openings in doped silicon dioxide
US20040033677A1 (en) 2002-08-14 2004-02-19 Reza Arghavani Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier
US6781173B2 (en) 2002-08-29 2004-08-24 Micron Technology, Inc. MRAM sense layer area control
US7223701B2 (en) 2002-09-06 2007-05-29 Intel Corporation In-situ sequential high density plasma deposition and etch processing for gap fill
US6946033B2 (en) 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
JP3991315B2 (ja) 2002-09-17 2007-10-17 キヤノンアネルバ株式会社 薄膜形成装置及び方法
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
KR100500852B1 (ko) 2002-10-10 2005-07-12 최대규 원격 플라즈마 발생기
US6991959B2 (en) 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
JP4606713B2 (ja) 2002-10-17 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US6699380B1 (en) 2002-10-18 2004-03-02 Applied Materials Inc. Modular electrochemical processing system
US7628897B2 (en) 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US6802944B2 (en) 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US6853043B2 (en) 2002-11-04 2005-02-08 Applied Materials, Inc. Nitrogen-free antireflective coating for use with photolithographic patterning
JP2004165317A (ja) 2002-11-12 2004-06-10 Renesas Technology Corp 半導体装置およびその製造方法
KR100862658B1 (ko) 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
US6861332B2 (en) 2002-11-21 2005-03-01 Intel Corporation Air gap interconnect method
US6713873B1 (en) 2002-11-27 2004-03-30 Intel Corporation Adhesion between dielectric materials
KR100898580B1 (ko) 2002-12-07 2009-05-20 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US6858532B2 (en) 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
JP3838969B2 (ja) 2002-12-17 2006-10-25 沖電気工業株式会社 ドライエッチング方法
DE10260352A1 (de) 2002-12-20 2004-07-15 Infineon Technologies Ag Verfahren zum Herstellen einer Kondensatoranordnung und Kondensatoranordnung
US20040118344A1 (en) 2002-12-20 2004-06-24 Lam Research Corporation System and method for controlling plasma with an adjustable coupling to ground circuit
US6806949B2 (en) 2002-12-31 2004-10-19 Tokyo Electron Limited Monitoring material buildup on system components by optical emission
US6720213B1 (en) 2003-01-15 2004-04-13 International Business Machines Corporation Low-K gate spacers by fluorine implantation
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7500445B2 (en) 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US7316761B2 (en) 2003-02-03 2008-01-08 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
JP4041974B2 (ja) 2003-02-05 2008-02-06 株式会社Nhvコーポレーション 電子線照射装置
US7078351B2 (en) 2003-02-10 2006-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist intensive patterning and processing
US6982175B2 (en) 2003-02-14 2006-01-03 Unaxis Usa Inc. End point detection in time division multiplexed etch processes
CN101457338B (zh) 2003-02-14 2011-04-27 应用材料股份有限公司 利用含氢自由基清洁自生氧化物的方法和设备
DE10308870B4 (de) 2003-02-28 2006-07-27 Austriamicrosystems Ag Bipolartransistor mit verbessertem Basis-Emitter-Übergang und Verfahren zur Herstellung
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
WO2004082007A1 (ja) 2003-03-12 2004-09-23 Tokyo Electron Limited 半導体処理用の基板保持構造及びプラズマ処理装置
US20040182315A1 (en) 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US6951821B2 (en) 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US7037376B2 (en) 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US7575692B2 (en) 2003-04-11 2009-08-18 Hoya Corporation Method for etching chromium thin film and method for producing photomask
US7126225B2 (en) 2003-04-15 2006-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for manufacturing a semiconductor wafer with reduced delamination and peeling
US6872909B2 (en) 2003-04-16 2005-03-29 Applied Science And Technology, Inc. Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US20040211357A1 (en) 2003-04-24 2004-10-28 Gadgil Pradad N. Method of manufacturing a gap-filled structure of a semiconductor device
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US6903511B2 (en) 2003-05-06 2005-06-07 Zond, Inc. Generation of uniformly-distributed plasma
DE10320472A1 (de) 2003-05-08 2004-12-02 Kolektor D.O.O. Plasmabehandlung zur Reinigung von Kupfer oder Nickel
KR20040096365A (ko) 2003-05-09 2004-11-16 주식회사 하이닉스반도체 반도체소자의 제조방법
US6713835B1 (en) 2003-05-22 2004-03-30 International Business Machines Corporation Method for manufacturing a multi-level interconnect structure
US7081414B2 (en) 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
JP4108633B2 (ja) 2003-06-20 2008-06-25 シャープ株式会社 薄膜トランジスタおよびその製造方法ならびに電子デバイス
US7067432B2 (en) 2003-06-26 2006-06-27 Applied Materials, Inc. Methodology for in-situ and real-time chamber condition monitoring and process recovery during plasma processing
US7151277B2 (en) 2003-07-03 2006-12-19 The Regents Of The University Of California Selective etching of silicon carbide films
JP4245996B2 (ja) 2003-07-07 2009-04-02 株式会社荏原製作所 無電解めっきによるキャップ膜の形成方法およびこれに用いる装置
JP2005033023A (ja) 2003-07-07 2005-02-03 Sony Corp 半導体装置の製造方法および半導体装置
US7368392B2 (en) 2003-07-10 2008-05-06 Applied Materials, Inc. Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode
US6995073B2 (en) 2003-07-16 2006-02-07 Intel Corporation Air gap integration
JP3866694B2 (ja) 2003-07-30 2007-01-10 株式会社日立ハイテクノロジーズ Lsiデバイスのエッチング方法および装置
US7256134B2 (en) 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
JP4239750B2 (ja) 2003-08-13 2009-03-18 セイコーエプソン株式会社 マイクロレンズ及びマイクロレンズの製造方法、光学装置、光伝送装置、レーザプリンタ用ヘッド、並びにレーザプリンタ
US20050035455A1 (en) 2003-08-14 2005-02-17 Chenming Hu Device with low-k dielectric in close proximity thereto and its method of fabrication
US7521000B2 (en) 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
US7078312B1 (en) 2003-09-02 2006-07-18 Novellus Systems, Inc. Method for controlling etch process repeatability
US6903031B2 (en) 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US7282244B2 (en) 2003-09-05 2007-10-16 General Electric Company Replaceable plate expanded thermal plasma apparatus and method
US7030034B2 (en) 2003-09-18 2006-04-18 Micron Technology, Inc. Methods of etching silicon nitride substantially selectively relative to an oxide of aluminum
JP2005101141A (ja) 2003-09-24 2005-04-14 Renesas Technology Corp 半導体集積回路装置およびその製造方法
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
US7371688B2 (en) 2003-09-30 2008-05-13 Air Products And Chemicals, Inc. Removal of transition metal ternary and/or quaternary barrier materials from a substrate
US7071532B2 (en) 2003-09-30 2006-07-04 International Business Machines Corporation Adjustable self-aligned air gap dielectric for low capacitance wiring
JP4399227B2 (ja) 2003-10-06 2010-01-13 株式会社フジキン チャンバの内圧制御装置及び内圧被制御式チャンバ
WO2005036615A2 (en) 2003-10-06 2005-04-21 Applied Materials, Inc. Apparatus to improve wafer temperature uniformity for face-up wet processing
US7581511B2 (en) 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7125792B2 (en) 2003-10-14 2006-10-24 Infineon Technologies Ag Dual damascene structure and method
US7465358B2 (en) 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
US20070111519A1 (en) 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
JP2005129666A (ja) 2003-10-22 2005-05-19 Canon Inc 処理方法及び装置
JP2005129688A (ja) 2003-10-23 2005-05-19 Hitachi Ltd 半導体装置の製造方法
US7053994B2 (en) 2003-10-28 2006-05-30 Lam Research Corporation Method and apparatus for etch endpoint detection
KR100561848B1 (ko) 2003-11-04 2006-03-16 삼성전자주식회사 헬리컬 공진기형 플라즈마 처리 장치
US7709392B2 (en) 2003-11-05 2010-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Low K dielectric surface damage control
KR100550808B1 (ko) 2003-11-17 2006-02-09 주식회사 에스테크 전자파 차폐 성능이 우수한 다층 구조의 시트 및 그 제조방법
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US20050112876A1 (en) 2003-11-26 2005-05-26 Chih-Ta Wu Method to form a robust TiCI4 based CVD TiN film
US7202172B2 (en) 2003-12-05 2007-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Microelectronic device having disposable spacer
US7081407B2 (en) 2003-12-16 2006-07-25 Lam Research Corporation Method of preventing damage to porous low-k materials during resist stripping
US20050136684A1 (en) * 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
US6958286B2 (en) 2004-01-02 2005-10-25 International Business Machines Corporation Method of preventing surface roughening during hydrogen prebake of SiGe substrates
US6893967B1 (en) 2004-01-13 2005-05-17 Advanced Micro Devices, Inc. L-shaped spacer incorporating or patterned using amorphous carbon or CVD organic materials
WO2005072211A2 (en) 2004-01-20 2005-08-11 Mattson Technology, Inc. System and method for removal of photoresist and residues following contact etch with a stop layer present
US20060033678A1 (en) 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US7012027B2 (en) 2004-01-27 2006-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Zirconium oxide and hafnium oxide etching using halogen containing chemicals
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US7291550B2 (en) 2004-02-13 2007-11-06 Chartered Semiconductor Manufacturing Ltd. Method to form a contact hole
JP4698251B2 (ja) 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US20070123051A1 (en) 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US20060051966A1 (en) 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
WO2005087974A2 (en) 2004-03-05 2005-09-22 Applied Materials, Inc. Cvd processes for the deposition of amorphous carbon films
US8037896B2 (en) 2004-03-09 2011-10-18 Mks Instruments, Inc. Pressure regulation in remote zones
US7196342B2 (en) 2004-03-10 2007-03-27 Cymer, Inc. Systems and methods for reducing the influence of plasma-generated debris on the internal components of an EUV light source
US7682985B2 (en) 2004-03-17 2010-03-23 Lam Research Corporation Dual doped polysilicon and silicon germanium etch
US7109521B2 (en) 2004-03-18 2006-09-19 Cree, Inc. Silicon carbide semiconductor structures including multiple epitaxial layers having sidewalls
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7244474B2 (en) 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7291360B2 (en) 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7697260B2 (en) 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
US7358192B2 (en) * 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US7018941B2 (en) 2004-04-21 2006-03-28 Applied Materials, Inc. Post treatment of low k dielectric films
TWI249774B (en) 2004-04-23 2006-02-21 Nanya Technology Corp Forming method of self-aligned contact for semiconductor device
US7115974B2 (en) 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
CN101124661A (zh) 2004-05-11 2008-02-13 应用材料公司 碳氟化合物蚀刻化学剂中使用氢气添加剂的掺碳的硅氧化物蚀刻
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
CN100594619C (zh) 2004-05-21 2010-03-17 株式会社半导体能源研究所 半导体器件及其制造方法
US7049200B2 (en) 2004-05-25 2006-05-23 Applied Materials Inc. Method for forming a low thermal budget spacer
US7651583B2 (en) 2004-06-04 2010-01-26 Tokyo Electron Limited Processing system and method for treating a substrate
US20050274324A1 (en) 2004-06-04 2005-12-15 Tokyo Electron Limited Plasma processing apparatus and mounting unit thereof
US7226852B1 (en) 2004-06-10 2007-06-05 Lam Research Corporation Preventing damage to low-k materials during resist stripping
US7253107B2 (en) 2004-06-17 2007-08-07 Asm International N.V. Pressure control system
US7122949B2 (en) 2004-06-21 2006-10-17 Neocera, Inc. Cylindrical electron beam generating/triggering device and method for generation of electrons
US20060005856A1 (en) 2004-06-29 2006-01-12 Applied Materials, Inc. Reduction of reactive gas attack on substrate heater
US20060000802A1 (en) 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US7097779B2 (en) 2004-07-06 2006-08-29 Tokyo Electron Limited Processing system and method for chemically treating a TERA layer
JP2006049817A (ja) 2004-07-07 2006-02-16 Showa Denko Kk プラズマ処理方法およびプラズマエッチング方法
US20060020797A1 (en) * 2004-07-08 2006-01-26 Kan Zhang Method for verifying a secure association between devices
US7845309B2 (en) 2004-07-13 2010-12-07 Nordson Corporation Ultra high speed uniform plasma processing system
KR100614648B1 (ko) 2004-07-15 2006-08-23 삼성전자주식회사 반도체 소자 제조에 사용되는 기판 처리 장치
KR100584485B1 (ko) 2004-07-20 2006-05-29 동부일렉트로닉스 주식회사 반도체 소자의 금속 부식 방지 방법
US20060016783A1 (en) 2004-07-22 2006-01-26 Dingjun Wu Process for titanium nitride removal
US7217626B2 (en) 2004-07-26 2007-05-15 Texas Instruments Incorporated Transistor fabrication methods using dual sidewall spacers
US7192863B2 (en) 2004-07-30 2007-03-20 Texas Instruments Incorporated Method of eliminating etch ridges in a dual damascene process
US7806077B2 (en) 2004-07-30 2010-10-05 Amarante Technologies, Inc. Plasma nozzle array for providing uniform scalable microwave plasma generation
KR101309334B1 (ko) 2004-08-02 2013-09-16 비코 인스트루먼츠 인코포레이티드 화학적 기상 증착 반응기용 멀티 가스 분배 인젝터
US20060024954A1 (en) 2004-08-02 2006-02-02 Zhen-Cheng Wu Copper damascene barrier and capping layer
US7247570B2 (en) 2004-08-19 2007-07-24 Micron Technology, Inc. Silicon pillars for vertical transistors
US20060043066A1 (en) 2004-08-26 2006-03-02 Kamp Thomas A Processes for pre-tapering silicon or silicon-germanium prior to etching shallow trenches
US20060042752A1 (en) 2004-08-30 2006-03-02 Rueger Neal R Plasma processing apparatuses and methods
WO2006026765A2 (en) 2004-09-01 2006-03-09 Axcelis Technologies, Inc. Plasma ashing process for increasing photoresist removal rate and plasma apparatus wuth cooling means
US7329576B2 (en) 2004-09-02 2008-02-12 Micron Technology, Inc. Double-sided container capacitors using a sacrificial layer
US7390710B2 (en) 2004-09-02 2008-06-24 Micron Technology, Inc. Protection of tunnel dielectric using epitaxial silicon
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US20060292846A1 (en) 2004-09-17 2006-12-28 Pinto Gustavo A Material management in substrate processing
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7053003B2 (en) 2004-10-27 2006-05-30 Lam Research Corporation Photoresist conditioning with hydrogen ramping
US20060093756A1 (en) 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
US20060097397A1 (en) 2004-11-10 2006-05-11 Russell Stephen W Method for forming a dual layer, low resistance metallization during the formation of a semiconductor device
US7618515B2 (en) 2004-11-15 2009-11-17 Tokyo Electron Limited Focus ring, plasma etching apparatus and plasma etching method
US7256121B2 (en) 2004-12-02 2007-08-14 Texas Instruments Incorporated Contact resistance reduction by new barrier stack process
FR2878913B1 (fr) 2004-12-03 2007-01-19 Cit Alcatel Controle des pressions partielles de gaz pour optimisation de procede
EP1831430A2 (en) 2004-12-21 2007-09-12 Applied Materials, Inc. An in-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20060130971A1 (en) 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
JP2006179693A (ja) 2004-12-22 2006-07-06 Shin Etsu Chem Co Ltd ヒータ付き静電チャック
US7365016B2 (en) 2004-12-27 2008-04-29 Dalsa Semiconductor Inc. Anhydrous HF release of process for MEMS devices
US20060148243A1 (en) 2004-12-30 2006-07-06 Jeng-Ho Wang Method for fabricating a dual damascene and polymer removal
US7253123B2 (en) 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
KR100610019B1 (ko) * 2005-01-11 2006-08-08 삼성전자주식회사 플라즈마 분배장치 및 이를 구비하는 건식 스트리핑 장치
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7829243B2 (en) 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
GB0502149D0 (en) 2005-02-02 2005-03-09 Boc Group Inc Method of operating a pumping system
US7341943B2 (en) 2005-02-08 2008-03-11 Taiwan Semiconductor Manufacturing Co., Ltd. Post etch copper cleaning using dry plasma
JP4475136B2 (ja) 2005-02-18 2010-06-09 東京エレクトロン株式会社 処理システム、前処理装置及び記憶媒体
US7344912B1 (en) 2005-03-01 2008-03-18 Spansion Llc Method for patterning electrically conducting poly(phenyl acetylene) and poly(diphenyl acetylene)
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
JP2006261217A (ja) 2005-03-15 2006-09-28 Canon Anelva Corp 薄膜形成方法
JP4518986B2 (ja) * 2005-03-17 2010-08-04 東京エレクトロン株式会社 大気搬送室、被処理体の処理後搬送方法、プログラム及び記憶媒体
KR100681390B1 (ko) 2005-03-18 2007-02-09 (주)한빛레이저 레이저빔의 초점위치를 임의의 3차원으로 고속이동 시킬 수 있는 광집속장치와 광편향장치를 이용한 반도체웨이퍼의 레이저 다이싱 및 스크라이빙 방법
US20060252252A1 (en) 2005-03-18 2006-11-09 Zhize Zhu Electroless deposition processes and compositions for forming interconnects
TW200734482A (en) 2005-03-18 2007-09-16 Applied Materials Inc Electroless deposition process on a contact containing silicon or silicide
US20060210723A1 (en) 2005-03-21 2006-09-21 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
KR100610465B1 (ko) 2005-03-25 2006-08-08 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US20060215347A1 (en) * 2005-03-28 2006-09-28 Tokyo Electron Limited Processing apparatus and recording medium
US7442274B2 (en) 2005-03-28 2008-10-28 Tokyo Electron Limited Plasma etching method and apparatus therefor
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
KR100689826B1 (ko) 2005-03-29 2007-03-08 삼성전자주식회사 불소 함유된 화학적 식각 가스를 사용하는 고밀도 플라즈마화학기상증착 방법들 및 이를 채택하여 반도체 소자를제조하는 방법들
JP4860167B2 (ja) * 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法
US20060228889A1 (en) 2005-03-31 2006-10-12 Edelberg Erik A Methods of removing resist from substrates in resist stripping chambers
US7288482B2 (en) 2005-05-04 2007-10-30 International Business Machines Corporation Silicon nitride etching methods
US7431856B2 (en) 2005-05-18 2008-10-07 National Research Council Of Canada Nano-tip fabrication by spatially controlled etching
KR100745067B1 (ko) 2005-05-18 2007-08-01 주식회사 하이닉스반도체 반도체 소자의 트렌치 소자분리막 및 그 형성방법
US20060266288A1 (en) 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
JP4853857B2 (ja) 2005-06-15 2012-01-11 東京エレクトロン株式会社 基板の処理方法,コンピュータ読み取り可能な記録媒体及び基板処理装置
JP4554461B2 (ja) 2005-07-26 2010-09-29 株式会社日立ハイテクノロジーズ 半導体装置の製造方法
DE102006038885B4 (de) 2005-08-24 2013-10-10 Wonik Ips Co., Ltd. Verfahren zum Abscheiden einer Ge-Sb-Te-Dünnschicht
US20070056925A1 (en) 2005-09-09 2007-03-15 Lam Research Corporation Selective etch of films with high dielectric constant with H2 addition
US20070071888A1 (en) 2005-09-21 2007-03-29 Arulkumar Shanmugasundram Method and apparatus for forming device features in an integrated electroless deposition system
DE102005047081B4 (de) 2005-09-30 2019-01-31 Robert Bosch Gmbh Verfahren zum plasmalosen Ätzen von Silizium mit dem Ätzgas ClF3 oder XeF2
US8102123B2 (en) 2005-10-04 2012-01-24 Topanga Technologies, Inc. External resonator electrode-less plasma lamp and method of exciting with radio-frequency energy
KR100703014B1 (ko) 2005-10-26 2007-04-06 삼성전자주식회사 실리콘 산화물 식각액 및 이를 이용한 반도체 소자의 제조 방법
US7884032B2 (en) 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
US20070099806A1 (en) 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
EP1780779A3 (en) 2005-10-28 2008-06-11 Interuniversitair Microelektronica Centrum ( Imec) A plasma for patterning advanced gate stacks
US7696101B2 (en) 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
TWI331770B (en) 2005-11-04 2010-10-11 Applied Materials Inc Apparatus for plasma-enhanced atomic layer deposition
US20070107750A1 (en) 2005-11-14 2007-05-17 Sawin Herbert H Method of using NF3 for removing surface deposits from the interior of chemical vapor deposition chambers
JP4918778B2 (ja) 2005-11-16 2012-04-18 株式会社日立製作所 半導体集積回路装置の製造方法
US20070117396A1 (en) 2005-11-22 2007-05-24 Dingjun Wu Selective etching of titanium nitride with xenon difluoride
US7662723B2 (en) 2005-12-13 2010-02-16 Lam Research Corporation Methods and apparatus for in-situ substrate processing
US7405160B2 (en) 2005-12-13 2008-07-29 Tokyo Electron Limited Method of making semiconductor device
JP2007173383A (ja) 2005-12-20 2007-07-05 Sharp Corp トレンチ素子分離領域の形成方法、窒化シリコン膜ライナーの形成方法、半導体装置の製造方法
US7449538B2 (en) 2005-12-30 2008-11-11 Hynix Semiconductor Inc. Hard mask composition and method for manufacturing semiconductor device
JP2007191792A (ja) 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
US7494545B2 (en) 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
KR100752622B1 (ko) 2006-02-17 2007-08-30 한양대학교 산학협력단 원거리 플라즈마 발생장치
US20070207275A1 (en) 2006-02-21 2007-09-06 Applied Materials, Inc. Enhancement of remote plasma source clean for dielectric films
EP2001864A1 (en) 2006-03-16 2008-12-17 Novartis Pharma AG Heterocyclic organic compounds for the treatment of in particular melanoma
US8343280B2 (en) 2006-03-28 2013-01-01 Tokyo Electron Limited Multi-zone substrate temperature control system and method of operating
US7628574B2 (en) * 2006-03-28 2009-12-08 Arcus Technology, Inc. Apparatus and method for processing substrates using one or more vacuum transfer chamber units
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7906032B2 (en) 2006-03-31 2011-03-15 Tokyo Electron Limited Method for conditioning a process chamber
JP5042517B2 (ja) 2006-04-10 2012-10-03 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN100539080C (zh) 2006-04-12 2009-09-09 中芯国际集成电路制造(上海)有限公司 通过自对准形成多晶硅浮栅结构的方法
US20070243714A1 (en) 2006-04-18 2007-10-18 Applied Materials, Inc. Method of controlling silicon-containing polymer build up during etching by using a periodic cleaning step
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US7297564B1 (en) 2006-05-02 2007-11-20 Sharp Laboratories Of America, Inc. Fabrication of vertical sidewalls on (110) silicon substrates for use in Si/SiGe photodetectors
US7601607B2 (en) 2006-05-15 2009-10-13 Chartered Semiconductor Manufacturing, Ltd. Protruded contact and insertion of inter-layer-dielectric material to match damascene hardmask to improve undercut for low-k interconnects
JP5578389B2 (ja) 2006-05-16 2014-08-27 Nltテクノロジー株式会社 積層膜パターン形成方法及びゲート電極形成方法
JP2007311540A (ja) 2006-05-18 2007-11-29 Renesas Technology Corp 半導体装置の製造方法
US20070266946A1 (en) 2006-05-22 2007-11-22 Byung-Chul Choi Semiconductor device manufacturing apparatus and method of using the same
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US20070277734A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7932181B2 (en) 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US20070296967A1 (en) 2006-06-27 2007-12-27 Bhupendra Kumra Gupta Analysis of component for presence, composition and/or thickness of coating
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US7618889B2 (en) 2006-07-18 2009-11-17 Applied Materials, Inc. Dual damascene fabrication with low k materials
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
KR20080013174A (ko) 2006-08-07 2008-02-13 주식회사 하이닉스반도체 캐패시터의 스토리지노드 분리 방법
GB0616131D0 (en) * 2006-08-14 2006-09-20 Oxford Instr Plasma Technology Surface processing apparatus
US20080124937A1 (en) 2006-08-16 2008-05-29 Songlin Xu Selective etching method and apparatus
KR100818708B1 (ko) 2006-08-18 2008-04-01 주식회사 하이닉스반도체 표면 세정을 포함하는 반도체소자 제조방법
US7575007B2 (en) 2006-08-23 2009-08-18 Applied Materials, Inc. Chamber recovery after opening barrier over copper
US20080063810A1 (en) 2006-08-23 2008-03-13 Applied Materials, Inc. In-situ process state monitoring of chamber
US8110787B1 (en) 2006-08-23 2012-02-07 ON Semiconductor Trading, Ltd Image sensor with a reflective waveguide
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7452766B2 (en) 2006-08-31 2008-11-18 Micron Technology, Inc. Finned memory cells and the fabrication thereof
US20080075668A1 (en) * 2006-09-27 2008-03-27 Goldstein Alan H Security Device Using Reversibly Self-Assembling Systems
CN101153396B (zh) 2006-09-30 2010-06-09 中芯国际集成电路制造(上海)有限公司 等离子刻蚀方法
JP2008103645A (ja) 2006-10-20 2008-05-01 Toshiba Corp 半導体装置の製造方法
US20080099147A1 (en) 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
JP2008109043A (ja) 2006-10-27 2008-05-08 Oki Electric Ind Co Ltd 半導体装置の製造方法および半導体装置
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080102640A1 (en) 2006-10-30 2008-05-01 Applied Materials, Inc. Etching oxide with high selectivity to titanium nitride
US7880232B2 (en) 2006-11-01 2011-02-01 Micron Technology, Inc. Processes and apparatus having a semiconductor fin
US7725974B2 (en) 2006-11-02 2010-06-01 Hughes Randall L Shoe and foot cleaning and disinfecting system
US7700479B2 (en) 2006-11-06 2010-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning processes in the formation of integrated circuit interconnect structures
US20080178805A1 (en) * 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US7939422B2 (en) 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US20080142483A1 (en) 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
WO2008073906A2 (en) 2006-12-11 2008-06-19 Applied Materials, Inc. Dry photoresist stripping process and apparatus
JP2008148470A (ja) 2006-12-12 2008-06-26 Hitachi Ltd 集中巻コイルおよび集中巻きコイルの製造方法
TWM318795U (en) 2006-12-18 2007-09-11 Lighthouse Technology Co Ltd Package structure
WO2008074672A1 (en) 2006-12-20 2008-06-26 Nxp B.V. Improving adhesion of diffusion barrier on cu containing interconnect element
JP5229711B2 (ja) 2006-12-25 2013-07-03 国立大学法人名古屋大学 パターン形成方法、および半導体装置の製造方法
US20080156631A1 (en) * 2006-12-27 2008-07-03 Novellus Systems, Inc. Methods of Producing Plasma in a Container
US20080157225A1 (en) 2006-12-29 2008-07-03 Suman Datta SRAM and logic transistors with variable height multi-gate transistor architecture
US7808053B2 (en) 2006-12-29 2010-10-05 Intel Corporation Method, apparatus, and system for flash memory
KR20080063988A (ko) 2007-01-03 2008-07-08 삼성전자주식회사 중성빔을 이용한 식각장치
JP4421618B2 (ja) 2007-01-17 2010-02-24 東京エレクトロン株式会社 フィン型電界効果トランジスタの製造方法
US7728364B2 (en) 2007-01-19 2010-06-01 International Business Machines Corporation Enhanced mobility CMOS transistors with a V-shaped channel with self-alignment to shallow trench isolation
KR100878015B1 (ko) 2007-01-31 2009-01-13 삼성전자주식회사 산화물 제거 방법 및 이를 이용한 트렌치 매립 방법
JP2008205219A (ja) * 2007-02-20 2008-09-04 Masato Toshima シャワーヘッドおよびこれを用いたcvd装置
US20080202892A1 (en) 2007-02-27 2008-08-28 Smith John M Stacked process chambers for substrate vacuum processing tool
KR100853485B1 (ko) 2007-03-19 2008-08-21 주식회사 하이닉스반도체 리세스 게이트를 갖는 반도체 소자의 제조 방법
US20080233709A1 (en) 2007-03-22 2008-09-25 Infineon Technologies North America Corp. Method for removing material from a semiconductor
US7815814B2 (en) 2007-03-23 2010-10-19 Tokyo Electron Limited Method and system for dry etching a metal nitride
JP4988402B2 (ja) 2007-03-30 2012-08-01 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP5179476B2 (ja) 2007-04-17 2013-04-10 株式会社アルバック 成膜装置
JP5135879B2 (ja) 2007-05-21 2013-02-06 富士電機株式会社 炭化珪素半導体装置の製造方法
KR100777043B1 (ko) 2007-05-22 2007-11-16 주식회사 테스 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법
US8084105B2 (en) 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
US7807578B2 (en) 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
US7585716B2 (en) 2007-06-27 2009-09-08 International Business Machines Corporation High-k/metal gate MOSFET with reduced parasitic capacitance
KR100877107B1 (ko) 2007-06-28 2009-01-07 주식회사 하이닉스반도체 반도체 소자의 층간절연막 형성방법
KR101050454B1 (ko) 2007-07-02 2011-07-19 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 및 그 형성방법
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
US8197636B2 (en) * 2007-07-12 2012-06-12 Applied Materials, Inc. Systems for plasma enhanced chemical vapor deposition and bevel edge etching
JP5047881B2 (ja) 2007-07-13 2012-10-10 東京応化工業株式会社 窒化チタン剥離液、及び窒化チタン被膜の剥離方法
JP5583011B2 (ja) 2007-07-19 2014-09-03 コーニンクレッカ フィリップス エヌ ヴェ 照明装置データを送信するための方法、システム及び装置
DE102007033685A1 (de) 2007-07-19 2009-01-22 Robert Bosch Gmbh Verfahren zum Ätzen einer Schicht auf einem Silizium-Halbleitersubstrat
US8008166B2 (en) 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
EP2042516A1 (en) 2007-09-27 2009-04-01 Protaffin Biotechnologie AG Glycosaminoglycan-antagonising MCP-1 mutants and methods of using same
JP5316412B2 (ja) 2007-08-31 2013-10-16 東京エレクトロン株式会社 半導体装置の製造方法
JP5347294B2 (ja) 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US7781332B2 (en) 2007-09-19 2010-08-24 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics using a protective sidewall spacer
WO2009042137A2 (en) 2007-09-25 2009-04-02 Lam Research Corporation Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
US7838361B2 (en) 2007-09-28 2010-11-23 Hynix Semiconductor Inc. Method for fabricating recess gate in semiconductor device
US8298931B2 (en) 2007-09-28 2012-10-30 Sandisk 3D Llc Dual damascene with amorphous carbon for 3D deep via/trench application
US20090084317A1 (en) 2007-09-28 2009-04-02 Applied Materials, Inc. Atomic layer deposition chamber and components
WO2009049020A2 (en) 2007-10-11 2009-04-16 Valence Process Equipment, Inc. Chemical vapor deposition reactor
US7838390B2 (en) 2007-10-12 2010-11-23 Samsung Electronics Co., Ltd. Methods of forming integrated circuit devices having ion-cured electrically insulating layers therein
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US8252696B2 (en) 2007-10-22 2012-08-28 Applied Materials, Inc. Selective etching of silicon nitride
US7871926B2 (en) 2007-10-22 2011-01-18 Applied Materials, Inc. Methods and systems for forming at least one dielectric layer
JP5567486B2 (ja) 2007-10-31 2014-08-06 ラム リサーチ コーポレーション 窒化シリコン−二酸化シリコン高寿命消耗プラズマ処理構成部品
EP2208221A4 (en) * 2007-11-01 2010-12-15 Eugene Technology Co Ltd DEVICE FOR WAFER SURFACE TREATMENT USING AN INDUCTIVE COUPLED HIGH-FREQUENCY PLASMA
US7964040B2 (en) 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US8592318B2 (en) 2007-11-08 2013-11-26 Lam Research Corporation Pitch reduction using oxide spacer
US7704849B2 (en) 2007-12-03 2010-04-27 Micron Technology, Inc. Methods of forming trench isolation in silicon of a semiconductor substrate by plasma
DE202008016190U1 (de) 2007-12-04 2009-03-19 Parabel Ag Mehrschichtiges Solarelement
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
JP2009170890A (ja) 2007-12-18 2009-07-30 Takashima & Co Ltd 可撓性膜状太陽電池複層体
US8512509B2 (en) 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US20090170331A1 (en) 2007-12-27 2009-07-02 International Business Machines Corporation Method of forming a bottle-shaped trench by ion implantation
TWI427697B (zh) 2007-12-28 2014-02-21 Tokyo Electron Ltd 金屬膜及金屬氧化膜之蝕刻方法與半導體裝置之製造方法
US7910477B2 (en) 2007-12-28 2011-03-22 Texas Instruments Incorporated Etch residue reduction by ash methodology
US8018023B2 (en) 2008-01-14 2011-09-13 Kabushiki Kaisha Toshiba Trench sidewall protection by a carbon-rich layer in a semiconductor device
TW200933812A (en) 2008-01-30 2009-08-01 Promos Technologies Inc Process for forming trench isolation structure and semiconductor device produced thereby
US20090194810A1 (en) 2008-01-31 2009-08-06 Masahiro Kiyotoshi Semiconductor device using element isolation region of trench isolation structure and manufacturing method thereof
JP5108933B2 (ja) 2008-02-26 2012-12-26 京セラ株式会社 静電チャック
US8066895B2 (en) * 2008-02-28 2011-11-29 Applied Materials, Inc. Method to control uniformity using tri-zone showerhead
DE102008016425B4 (de) 2008-03-31 2015-11-19 Advanced Micro Devices, Inc. Verfahren zur Strukturierung einer Metallisierungsschicht durch Verringerung der durch Lackentfernung hervorgerufenen Schäden des dielektrischen Materials
US20090258162A1 (en) 2008-04-12 2009-10-15 Applied Materials, Inc. Plasma processing apparatus and method
JP2009266952A (ja) 2008-04-23 2009-11-12 Seiko Epson Corp デバイスの製造方法及び製造装置
US8318605B2 (en) 2008-04-25 2012-11-27 Applied Materials, Inc. Plasma treatment method for preventing defects in doped silicon oxide surfaces during exposure to atmosphere
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US20090274590A1 (en) 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma reactor electrostatic chuck having a coaxial rf feed and multizone ac heater power transmission through the coaxial feed
US20090275206A1 (en) 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma process employing multiple zone gas distribution for improved uniformity of critical dimension bias
US20090277587A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090277874A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8277670B2 (en) 2008-05-13 2012-10-02 Lam Research Corporation Plasma process with photoresist mask pretreatment
KR100998011B1 (ko) 2008-05-22 2010-12-03 삼성엘이디 주식회사 화학기상 증착장치
DE102008026134A1 (de) 2008-05-30 2009-12-17 Advanced Micro Devices, Inc., Sunnyvale Mikrostrukturbauelement mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
KR20090128913A (ko) 2008-06-11 2009-12-16 성균관대학교산학협력단 태양전지용 실리콘 기판의 텍스처링 장치 및 그 방법
JP2010003826A (ja) 2008-06-19 2010-01-07 Toshiba Corp 半導体装置の製造方法
JP5222040B2 (ja) 2008-06-25 2013-06-26 東京エレクトロン株式会社 マイクロ波プラズマ処理装置
JP5211332B2 (ja) 2008-07-01 2013-06-12 株式会社ユーテック プラズマcvd装置、dlc膜及び薄膜の製造方法
JP2010016147A (ja) * 2008-07-03 2010-01-21 Disco Abrasive Syst Ltd 粘着テープの貼着方法
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
WO2010008021A1 (ja) 2008-07-15 2010-01-21 キヤノンアネルバ株式会社 プラズマ処理方法及びプラズマ処理装置
JP2011253832A (ja) 2008-07-24 2011-12-15 Canon Anelva Corp レジストトリミング方法及びトリミング装置
KR20100013980A (ko) 2008-08-01 2010-02-10 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 형성 방법
EP2321846A4 (en) 2008-08-12 2012-03-14 Applied Materials Inc ELECTROSTATIC FODDER ASSEMBLY
EP2324687B1 (en) 2008-08-20 2016-01-27 Vision Dynamics Holding B.V. Device for generating a plasma discharge for patterning the surface of a substrate
US8268729B2 (en) 2008-08-21 2012-09-18 International Business Machines Corporation Smooth and vertical semiconductor fin structure
KR100997502B1 (ko) 2008-08-26 2010-11-30 금호석유화학 주식회사 개환된 프탈릭 언하이드라이드를 포함하는 유기 반사 방지막 조성물과 이의 제조방법
KR101025741B1 (ko) 2008-09-02 2011-04-04 주식회사 하이닉스반도체 수직 채널 트랜지스터의 활성필라 제조방법
US8871645B2 (en) 2008-09-11 2014-10-28 Applied Materials, Inc. Semiconductor devices suitable for narrow pitch applications and methods of fabrication thereof
US7709396B2 (en) 2008-09-19 2010-05-04 Applied Materials, Inc. Integral patterning of large features along with array using spacer mask patterning process flow
US7968441B2 (en) 2008-10-08 2011-06-28 Applied Materials, Inc. Dopant activation anneal to achieve less dopant diffusion (better USJ profile) and higher activation percentage
US7928003B2 (en) 2008-10-10 2011-04-19 Applied Materials, Inc. Air gap interconnects using carbon-based films
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8563090B2 (en) 2008-10-16 2013-10-22 Applied Materials, Inc. Boron film interface engineering
US20100099263A1 (en) 2008-10-20 2010-04-22 Applied Materials, Inc. Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
US8207470B2 (en) 2008-10-20 2012-06-26 Industry-University Cooperation Foundation Hanyang University Apparatus for generating remote plasma
US8173547B2 (en) 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US20100101727A1 (en) 2008-10-27 2010-04-29 Helin Ji Capacitively coupled remote plasma source with large operating pressure range
JP5396065B2 (ja) 2008-10-28 2014-01-22 株式会社日立製作所 半導体装置の製造方法
JP5358165B2 (ja) * 2008-11-26 2013-12-04 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US20100144140A1 (en) 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
US20100147219A1 (en) 2008-12-12 2010-06-17 Jui Hai Hsieh High temperature and high voltage electrode assembly design
US8540844B2 (en) 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
KR20100074508A (ko) 2008-12-24 2010-07-02 주식회사 동부하이텍 반도체 소자의 제조 방법
JP2010154699A (ja) 2008-12-26 2010-07-08 Hitachi Ltd 磁束可変型回転電機
US20100183825A1 (en) 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
KR101587601B1 (ko) 2009-01-14 2016-01-25 삼성전자주식회사 비휘발성 메모리 장치의 제조 방법
US20100187694A1 (en) 2009-01-28 2010-07-29 Chen-Hua Yu Through-Silicon Via Sidewall Isolation Structure
US7964517B2 (en) 2009-01-29 2011-06-21 Texas Instruments Incorporated Use of a biased precoat for reduced first wafer defects in high-density plasma process
KR20100087915A (ko) 2009-01-29 2010-08-06 삼성전자주식회사 실린더형 스토리지 노드를 포함하는 반도체 메모리 소자 및그 제조 방법
JP5210191B2 (ja) 2009-02-03 2013-06-12 東京エレクトロン株式会社 窒化珪素膜のドライエッチング方法
KR101617781B1 (ko) 2009-02-13 2016-05-03 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 챔버 전극을 위한 rf 버스 및 rf 리턴 버스
US8148749B2 (en) * 2009-02-19 2012-04-03 Fairchild Semiconductor Corporation Trench-shielded semiconductor device
KR20100099535A (ko) 2009-03-03 2010-09-13 주성엔지니어링(주) 기판처리장치 및 그의 제조방법
US9378930B2 (en) 2009-03-05 2016-06-28 Applied Materials, Inc. Inductively coupled plasma reactor having RF phase control and methods of use thereof
CN102007565A (zh) * 2009-03-17 2011-04-06 德国罗特·劳股份有限公司 基片处理系统和基片处理方法
KR101539699B1 (ko) 2009-03-19 2015-07-27 삼성전자주식회사 3차원 구조의 비휘발성 메모리 소자 및 그 제조방법
US8312839B2 (en) 2009-03-24 2012-11-20 Applied Materials, Inc. Mixing frequency at multiple feeding points
US8026179B2 (en) 2009-04-09 2011-09-27 Macronix International Co., Ltd. Patterning method and integrated circuit structure
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8193075B2 (en) 2009-04-20 2012-06-05 Applied Materials, Inc. Remote hydrogen plasma with ion filter for terminating silicon dangling bonds
SG10201401671SA (en) 2009-04-21 2014-07-30 Applied Materials Inc Cvd apparatus for improved film thickness non-uniformity and particle performance
US8492292B2 (en) 2009-06-29 2013-07-23 Applied Materials, Inc. Methods of forming oxide layers on substrates
TWI490366B (zh) 2009-07-15 2015-07-01 Applied Materials Inc Cvd腔室之流體控制特徵結構
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20120223048A1 (en) 2009-08-26 2012-09-06 Veeco Process Equipment Inc. System for Fabricating a Pattern on Magnetic Recording Media
US8211808B2 (en) * 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
US8623148B2 (en) 2009-09-10 2014-01-07 Matheson Tri-Gas, Inc. NF3 chamber clean additive
US20110061812A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110065276A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110061810A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
JP5648349B2 (ja) 2009-09-17 2015-01-07 東京エレクトロン株式会社 成膜装置
US8329587B2 (en) 2009-10-05 2012-12-11 Applied Materials, Inc. Post-planarization densification
EP2315028A1 (en) 2009-10-26 2011-04-27 Atlas Antibodies AB PODXL protein in colorectal cancer
JP5257328B2 (ja) 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US8455364B2 (en) 2009-11-06 2013-06-04 International Business Machines Corporation Sidewall image transfer using the lithographic stack as the mandrel
US8742665B2 (en) 2009-11-18 2014-06-03 Applied Materials, Inc. Plasma source design
US8771538B2 (en) 2009-11-18 2014-07-08 Applied Materials, Inc. Plasma source design
CN102640216A (zh) 2009-11-30 2012-08-15 应用材料公司 处理硬盘驱动器基板的腔室
WO2011072143A2 (en) 2009-12-09 2011-06-16 Novellus Systems, Inc. Novel gap fill integration
US8202803B2 (en) 2009-12-11 2012-06-19 Tokyo Electron Limited Method to remove capping layer of insulation dielectric in interconnect structures
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
JP4927158B2 (ja) 2009-12-25 2012-05-09 東京エレクトロン株式会社 基板処理方法、その基板処理方法を実行させるためのプログラムを記録した記録媒体及び基板処理装置
JP5710209B2 (ja) 2010-01-18 2015-04-30 東京エレクトロン株式会社 電磁波給電機構およびマイクロ波導入機構
JP5166458B2 (ja) 2010-01-22 2013-03-21 株式会社東芝 半導体装置及びその製造方法
JP5608384B2 (ja) 2010-02-05 2014-10-15 東京エレクトロン株式会社 半導体装置の製造方法及びプラズマエッチング装置
US8361338B2 (en) 2010-02-11 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hard mask removal method
US8456009B2 (en) 2010-02-18 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having an air-gap region and a method of manufacturing the same
CN102844848A (zh) 2010-03-05 2012-12-26 应用材料公司 通过自由基成分化学气相沉积的共形层
JP5450187B2 (ja) 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
EP2548238B8 (en) 2010-03-16 2015-06-17 SanDisk 3D, LLC Method of forming bottom electrodes for use with metal oxide resistivity switching layers
US8435902B2 (en) 2010-03-17 2013-05-07 Applied Materials, Inc. Invertable pattern loading with dry etch
US8288268B2 (en) 2010-04-29 2012-10-16 International Business Machines Corporation Microelectronic structure including air gap
US20110265951A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system
US8475674B2 (en) 2010-04-30 2013-07-02 Applied Materials, Inc. High-temperature selective dry etch having reduced post-etch solid residue
US20110265884A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system with shared vacuum pump
US8721798B2 (en) * 2010-04-30 2014-05-13 Applied Materials, Inc. Methods for processing substrates in process systems having shared resources
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8373239B2 (en) 2010-06-08 2013-02-12 International Business Machines Corporation Structure and method for replacement gate MOSFET with self-aligned contact using sacrificial mandrel dielectric
US20110304078A1 (en) * 2010-06-14 2011-12-15 Applied Materials, Inc. Methods for removing byproducts from load lock chambers
US20120009796A1 (en) 2010-07-09 2012-01-12 Applied Materials, Inc. Post-ash sidewall healing
US8278203B2 (en) 2010-07-28 2012-10-02 Sandisk Technologies Inc. Metal control gate formation in non-volatile storage
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
KR20120029291A (ko) 2010-09-16 2012-03-26 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8183134B2 (en) 2010-10-19 2012-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method with improved epitaxial quality of III-V compound on silicon surfaces
WO2012058377A2 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Methods for etching oxide layers using process gas pulsing
JP5544343B2 (ja) 2010-10-29 2014-07-09 東京エレクトロン株式会社 成膜装置
US9111994B2 (en) 2010-11-01 2015-08-18 Magnachip Semiconductor, Ltd. Semiconductor device and method of fabricating the same
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US8389416B2 (en) 2010-11-22 2013-03-05 Tokyo Electron Limited Process for etching silicon with selectivity to silicon-germanium
KR20120058962A (ko) 2010-11-30 2012-06-08 삼성전자주식회사 반도체 장치의 제조 방법
US8475103B2 (en) 2010-12-09 2013-07-02 Hamilton Sundstand Corporation Sealing washer assembly for large diameter holes on flat surfaces
US8470713B2 (en) 2010-12-13 2013-06-25 International Business Machines Corporation Nitride etch for improved spacer uniformity
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
JP5728221B2 (ja) 2010-12-24 2015-06-03 東京エレクトロン株式会社 基板処理方法及び記憶媒体
KR101529578B1 (ko) 2011-01-14 2015-06-19 성균관대학교산학협력단 플라즈마 기판 처리 장치 및 방법
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8363476B2 (en) 2011-01-19 2013-01-29 Macronix International Co., Ltd. Memory device, manufacturing method and operating method of the same
US9018692B2 (en) 2011-01-19 2015-04-28 Macronix International Co., Ltd. Low cost scalable 3D memory
KR101744372B1 (ko) * 2011-01-20 2017-06-07 도쿄엘렉트론가부시키가이샤 진공 처리 장치
KR101732936B1 (ko) 2011-02-14 2017-05-08 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9281207B2 (en) 2011-02-28 2016-03-08 Inpria Corporation Solution processible hardmasks for high resolution lithography
WO2012118951A2 (en) 2011-03-01 2012-09-07 King Abdullah University Of Science And Technology Silicon germanium mask for deep silicon etching
JP6114698B2 (ja) 2011-03-01 2017-04-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated デュアルロードロック構成内の除害及びストリップ処理チャンバ
CN203205393U (zh) * 2011-03-01 2013-09-18 应用材料公司 用于转移基板及限制自由基的箍组件
FR2972563B1 (fr) 2011-03-07 2013-03-01 Altis Semiconductor Snc Procédé de traitement d'une couche de nitrure de métal oxydée
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
JP5837178B2 (ja) 2011-03-22 2015-12-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 化学気相堆積チャンバ用のライナアセンブリ
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP6003011B2 (ja) * 2011-03-31 2016-10-05 東京エレクトロン株式会社 基板処理装置
JP5815967B2 (ja) 2011-03-31 2015-11-17 東京エレクトロン株式会社 基板洗浄装置及び真空処理システム
US8460569B2 (en) 2011-04-07 2013-06-11 Varian Semiconductor Equipment Associates, Inc. Method and system for post-etch treatment of patterned substrate features
US8415250B2 (en) 2011-04-29 2013-04-09 International Business Machines Corporation Method of forming silicide contacts of different shapes selectively on regions of a semiconductor device
US8298954B1 (en) 2011-05-06 2012-10-30 International Business Machines Corporation Sidewall image transfer process employing a cap material layer for a metal nitride layer
US9012283B2 (en) 2011-05-16 2015-04-21 International Business Machines Corporation Integrated circuit (IC) chip having both metal and silicon gate field effect transistors (FETs) and method of manufacture
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
KR101390900B1 (ko) * 2011-05-31 2014-04-30 세메스 주식회사 기판처리장치
EP2720518B1 (en) 2011-06-09 2016-12-28 Korea Basic Science Institute Plasma-generating source comprising a belt-type magnet, and thin-film deposition system using same
US8637372B2 (en) 2011-06-29 2014-01-28 GlobalFoundries, Inc. Methods for fabricating a FINFET integrated circuit on a bulk silicon substrate
US8883637B2 (en) * 2011-06-30 2014-11-11 Novellus Systems, Inc. Systems and methods for controlling etch selectivity of various materials
US9117867B2 (en) 2011-07-01 2015-08-25 Applied Materials, Inc. Electrostatic chuck assembly
US9054048B2 (en) 2011-07-05 2015-06-09 Applied Materials, Inc. NH3 containing plasma nitridation of a layer on a substrate
KR20110086540A (ko) 2011-07-12 2011-07-28 조인숙 불소화합물을 이용한 필름의 선택적인 식각 방법
US20130034666A1 (en) 2011-08-01 2013-02-07 Applied Materials, Inc. Inductive plasma sources for wafer processing and chamber cleaning
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
KR101271247B1 (ko) 2011-08-02 2013-06-07 주식회사 유진테크 에피택셜 공정을 위한 반도체 제조설비
CN102915902B (zh) 2011-08-02 2015-11-25 中微半导体设备(上海)有限公司 一种电容耦合式的等离子体处理装置及其基片加工方法
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US20130217243A1 (en) 2011-09-09 2013-08-22 Applied Materials, Inc. Doping of dielectric layers
US8808562B2 (en) 2011-09-12 2014-08-19 Tokyo Electron Limited Dry metal etching method
US20130260564A1 (en) 2011-09-26 2013-10-03 Applied Materials, Inc. Insensitive dry removal process for semiconductor integration
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8664012B2 (en) 2011-09-30 2014-03-04 Tokyo Electron Limited Combined silicon oxide etch and contamination removal process
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
JP5740281B2 (ja) 2011-10-20 2015-06-24 東京エレクトロン株式会社 金属膜のドライエッチング方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5779482B2 (ja) 2011-11-15 2015-09-16 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US8652298B2 (en) 2011-11-21 2014-02-18 Lam Research Corporation Triode reactor design with multiple radiofrequency powers
US8900364B2 (en) 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
KR20130072911A (ko) 2011-12-22 2013-07-02 에스케이하이닉스 주식회사 비휘발성 메모리 장치 및 그 제조 방법
US8603891B2 (en) 2012-01-20 2013-12-10 Micron Technology, Inc. Methods for forming vertical memory devices and apparatuses
US8747686B2 (en) 2012-01-27 2014-06-10 Applied Materials, Inc. Methods of end point detection for substrate fabrication processes
US20130175654A1 (en) 2012-02-10 2013-07-11 Sylvain Muckenhirn Bulk nanohole structures for thermoelectric devices and methods for making the same
KR102068186B1 (ko) * 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
CN113862634A (zh) 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
US8937800B2 (en) 2012-04-24 2015-01-20 Applied Materials, Inc. Electrostatic chuck with advanced RF and temperature uniformity
US20130284369A1 (en) 2012-04-26 2013-10-31 Applied Materials, Inc. Two-phase operation of plasma chamber by phase locked loop
US9948214B2 (en) 2012-04-26 2018-04-17 Applied Materials, Inc. High temperature electrostatic chuck with real-time heat zone regulating capability
US9161428B2 (en) 2012-04-26 2015-10-13 Applied Materials, Inc. Independent control of RF phases of separate coils of an inductively coupled plasma reactor
KR20190124348A (ko) 2012-04-26 2019-11-04 어플라이드 머티어리얼스, 인코포레이티드 Esc 본딩 접착제 부식을 방지하기 위한 방법들 및 장치
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9976215B2 (en) 2012-05-01 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film formation apparatus and process
JP2013235912A (ja) 2012-05-08 2013-11-21 Tokyo Electron Ltd 被処理基体をエッチングする方法、及びプラズマエッチング装置
US20130298942A1 (en) 2012-05-14 2013-11-14 Applied Materials, Inc. Etch remnant removal
FR2991320B1 (fr) 2012-06-05 2014-06-27 Commissariat Energie Atomique Procede de preparation d'amines methylees
US8974164B2 (en) 2012-06-26 2015-03-10 Newfrey Llc Plastic high heat fastener
US9034773B2 (en) 2012-07-02 2015-05-19 Novellus Systems, Inc. Removal of native oxide with high selectivity
US8916477B2 (en) 2012-07-02 2014-12-23 Novellus Systems, Inc. Polysilicon etch with high selectivity
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9184030B2 (en) 2012-07-19 2015-11-10 Lam Research Corporation Edge exclusion control with adjustable plasma exclusion zone ring
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8772888B2 (en) 2012-08-10 2014-07-08 Avalanche Technology Inc. MTJ MRAM with stud patterning
US8747680B1 (en) 2012-08-14 2014-06-10 Everspin Technologies, Inc. Method of manufacturing a magnetoresistive-based device
US8993058B2 (en) 2012-08-28 2015-03-31 Applied Materials, Inc. Methods and apparatus for forming tantalum silicate layers on germanium or III-V semiconductor devices
JP6027374B2 (ja) 2012-09-12 2016-11-16 東京エレクトロン株式会社 プラズマ処理装置及びフィルタユニット
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US20140099794A1 (en) 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9018022B2 (en) 2012-09-24 2015-04-28 Lam Research Corporation Showerhead electrode assembly in a capacitively coupled plasma processing apparatus
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
JP6035117B2 (ja) 2012-11-09 2016-11-30 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9777564B2 (en) 2012-12-03 2017-10-03 Pyrophase, Inc. Stimulating production from oil wells using an RF dipole antenna
US20140166618A1 (en) 2012-12-14 2014-06-19 The Penn State Research Foundation Ultra-high speed anisotropic reactive ion etching
US9982343B2 (en) 2012-12-14 2018-05-29 Applied Materials, Inc. Apparatus for providing plasma to a process chamber
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
JP6328931B2 (ja) 2012-12-31 2018-05-23 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC フォトレジストパターントリミング方法
US9093389B2 (en) 2013-01-16 2015-07-28 Applied Materials, Inc. Method of patterning a silicon nitride dielectric film
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
JP2014154421A (ja) 2013-02-12 2014-08-25 Tokyo Electron Ltd プラズマ処理装置、プラズマ処理方法、および高周波発生器
US20140234466A1 (en) 2013-02-21 2014-08-21 HGST Netherlands B.V. Imprint mold and method for making using sidewall spacer line doubling
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
TWI487004B (zh) 2013-03-01 2015-06-01 Winbond Electronics Corp 圖案化的方法及記憶體元件的形成方法
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US8859433B2 (en) 2013-03-11 2014-10-14 International Business Machines Corporation DSA grapho-epitaxy process with etch stop material
US20140262031A1 (en) 2013-03-12 2014-09-18 Sergey G. BELOSTOTSKIY Multi-mode etch chamber source assembly
US8946023B2 (en) 2013-03-12 2015-02-03 Sandisk Technologies Inc. Method of making a vertical NAND device using sequential etching of multilayer stacks
TWI625424B (zh) 2013-03-13 2018-06-01 應用材料股份有限公司 蝕刻包含過渡金屬的膜之方法
US20140273451A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Tungsten deposition sequence
US9556507B2 (en) 2013-03-14 2017-01-31 Applied Materials, Inc. Yttria-based material coated chemical vapor deposition chamber heater
US9006106B2 (en) 2013-03-14 2015-04-14 Applied Materials, Inc. Method of removing a metal hardmask
US8946076B2 (en) 2013-03-15 2015-02-03 Micron Technology, Inc. Methods of fabricating integrated structures, and methods of forming vertically-stacked memory cells
US20140288528A1 (en) 2013-03-15 2014-09-25 Dr. Py Institute, Llc Single-use needle assembly and method
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9276011B2 (en) 2013-03-15 2016-03-01 Micron Technology, Inc. Cell pillar structures and integrated flows
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US20140308758A1 (en) 2013-04-10 2014-10-16 Applied Materials, Inc. Patterning magnetic memory
US20140311581A1 (en) 2013-04-19 2014-10-23 Applied Materials, Inc. Pressure controller configuration for semiconductor processing applications
US20140342569A1 (en) 2013-05-16 2014-11-20 Applied Materials, Inc. Near surface etch selectivity enhancement
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US8871651B1 (en) 2013-07-12 2014-10-28 Globalfoundries Inc. Mask formation processing
KR102154112B1 (ko) 2013-08-01 2020-09-09 삼성전자주식회사 금속 배선들을 포함하는 반도체 장치 및 그 제조 방법
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
JP5837012B2 (ja) 2013-09-12 2015-12-24 ラピスセミコンダクタ株式会社 モニタリング方法、プラズマモニタリング方法、モニタリングシステム及びプラズマモニタリングシステム
US9230980B2 (en) 2013-09-15 2016-01-05 Sandisk Technologies Inc. Single-semiconductor-layer channel in a memory opening for a three-dimensional non-volatile memory device
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9051655B2 (en) 2013-09-16 2015-06-09 Applied Materials, Inc. Boron ionization for aluminum oxide etch enhancement
US8980758B1 (en) 2013-09-17 2015-03-17 Applied Materials, Inc. Methods for etching an etching stop layer utilizing a cyclical etching process
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
CN104956476B (zh) 2013-11-06 2017-11-14 马特森技术有限公司 用于垂直nand器件的新型掩模去除方法策略
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9330937B2 (en) 2013-11-13 2016-05-03 Intermolecular, Inc. Etching of semiconductor structures that include titanium-based layers
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US20150170926A1 (en) 2013-12-16 2015-06-18 David J. Michalak Dielectric layers having ordered elongate pores
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US20150170879A1 (en) 2013-12-17 2015-06-18 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US20150171008A1 (en) 2013-12-17 2015-06-18 GLOBAL FOUNDRIES Singapore Ptd. Ltd. Integrated circuits with dummy contacts and methods for producing such integrated circuits
US20150170943A1 (en) 2013-12-17 2015-06-18 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9111907B2 (en) 2014-01-02 2015-08-18 Globalfoundries Inc. Silicide protection during contact metallization and resulting semiconductor structures
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US20150214066A1 (en) 2014-01-27 2015-07-30 Applied Materials, Inc. Method for material removal in dry etch reactor
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
KR102175763B1 (ko) 2014-04-09 2020-11-09 삼성전자주식회사 반도체 메모리 장치 및 이의 제조 방법
US9881788B2 (en) 2014-05-22 2018-01-30 Lam Research Corporation Back side deposition apparatus and applications
US20150345029A1 (en) 2014-05-28 2015-12-03 Applied Materials, Inc. Metal removal
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US20150371865A1 (en) 2014-06-19 2015-12-24 Applied Materials, Inc. High selectivity gas phase silicon nitride removal
US20150371861A1 (en) 2014-06-23 2015-12-24 Applied Materials, Inc. Protective silicon oxide patterning
US20160005833A1 (en) 2014-07-03 2016-01-07 Applied Materials, Inc. Feol low-k spacers
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US20160042968A1 (en) 2014-08-05 2016-02-11 Applied Materials, Inc. Integrated oxide and si etch for 3d cell channel mobility improvements
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160237570A1 (en) 2015-02-13 2016-08-18 Applied Materials, Inc. Gas delivery apparatus for process equipment
US9275834B1 (en) 2015-02-20 2016-03-01 Applied Materials, Inc. Selective titanium nitride etch
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US20090029560A1 (en) * 2001-12-07 2009-01-29 Applied Materials, Inc. Apparatus and method for single substrate processing
US20040103844A1 (en) * 2002-10-18 2004-06-03 Chung-Yen Chou [gas distributing system for delivering plasma gas to a wafer reaction chamber]
US7655571B2 (en) * 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US20100317198A1 (en) * 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US20120285621A1 (en) * 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
WO2012169006A1 (ja) * 2011-06-07 2012-12-13 株式会社ユーテック ポーリング処理方法、プラズマポーリング装置、圧電体及びその製造方法、成膜装置及びエッチング装置、ランプアニール装置
US20130026135A1 (en) * 2011-07-29 2013-01-31 Semes Co., Ltd. Apparatuses, systems and methods for treating substrate

Also Published As

Publication number Publication date
US20150332930A1 (en) 2015-11-19
TW201440138A (zh) 2014-10-16
CN110112053B (zh) 2023-05-12
US20140273488A1 (en) 2014-09-18
KR20150130521A (ko) 2015-11-23
US20140271097A1 (en) 2014-09-18
US9093371B2 (en) 2015-07-28
US9704723B2 (en) 2017-07-11
TWI618135B (zh) 2018-03-11
US9023732B2 (en) 2015-05-05
TW201820398A (zh) 2018-06-01
US20140273406A1 (en) 2014-09-18
US20160064233A1 (en) 2016-03-03
US9449850B2 (en) 2016-09-20
US20150235865A1 (en) 2015-08-20
CN105103266A (zh) 2015-11-25
US9991134B2 (en) 2018-06-05
KR102223662B1 (ko) 2021-03-04
US9153442B2 (en) 2015-10-06
TWI676205B (zh) 2019-11-01
US9184055B2 (en) 2015-11-10
US20140273481A1 (en) 2014-09-18
US20140273489A1 (en) 2014-09-18
US20160027673A1 (en) 2016-01-28
US20140262038A1 (en) 2014-09-18
TWI736946B (zh) 2021-08-21
TW201946098A (zh) 2019-12-01
WO2014149862A1 (en) 2014-09-25
US9659792B2 (en) 2017-05-23

Similar Documents

Publication Publication Date Title
CN110112053A (zh) 组合处理腔室和处置腔室
US10354888B2 (en) Method and apparatus for anisotropic tungsten etching
CN105762060B (zh) 氧化硅和氧化锗的各向同性原子层蚀刻
TWI619144B (zh) 用於多重圖案化之利用可灰化硬遮罩間隙塡充的影像反轉
TW201534556A (zh) 用於進階圖案化之軟著陸奈米層
CN107017162B (zh) 具有高产量的超高选择比的多晶硅蚀刻
CN112368808A (zh) 天然氧化物穿通后的硅芯轴刻蚀
WO2020190941A1 (en) Reducing roughness of extreme ultraviolet lithography resists
KR20240108547A (ko) 금속-함유 포토레지스트의 재작업
KR20240032175A (ko) 금속-함유 포토레지스트의 재작업 (rework)

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant